LOCUS CM010635 23189089 bp DNA linear CON 13-SEP-2018 DEFINITION Brassica rapa cultivar FPsc (B3) chromosome A8, whole genome shotgun sequence. ACCESSION CM010635 QMKI01000000 VERSION CM010635.1 DBLINK BioProject: PRJNA41163 BioSample: SAMN00004054 KEYWORDS WGS. SOURCE Brassica rapa (field mustard) ORGANISM Brassica rapa Eukaryota; Viridiplantae; Streptophyta; Embryophyta; Tracheophyta; Spermatophyta; Magnoliopsida; eudicotyledons; Gunneridae; Pentapetalae; rosids; malvids; Brassicales; Brassicaceae; Brassiceae; Brassica. REFERENCE 1 (bases 1 to 23189089) AUTHORS Bowman,J., Kohchi,T., Yamato,K., Jenkins,J., Shu,S., Ishizaki,K., Yamaoka,S., Nishihama,R., Nakamura,Y., Berger,F., Adam,C., Aki,S., Althoff,F., Araki,T., Arteaga-Vazquez,M., Balasubrmanian,S., Bauer,D., Boehm,C., Briginshaw,L., Caballero-Perez,J., Catarino,B., Chen,F., Chiyoda,S., Chovatia,M., Davies,K., Delmans,M., Demura,T., Dierschke,T., Dolan,L., Dorantes-Acosta,A., Eklund,D., Florent,S., Flores-Sandoval,E., Fujiyama,A., Fukuzawa,H., Galik,B., Grimanelli,D., Grimwood,J., Grossniklaus,U., Hamada,T., Haseloff,J., Hetherington,A., Higo,A., Hirakawa,Y., Hundley,H., Ikeda,Y., Inoue,K., Inoue,S., Ishida,S., Jia,Q., Kakita,M., Kanazawa,T., Kawai,Y., Kawashima,T., Kennedy,M., Kinose,K., Kinoshita,T., Kohara,Y., Koide,E., Komatsu,K., Kopischke,S., Kubo,M., Kyozuka,J., Lagercrantz,U., Lin,S., Lindquist,E., Lipzen,A., Lu,C., Luna,E., Martienssen,R., Minamino,N., Mizutani,M., Mizutani,M., Mochizuki,N., Monte,I., Mosher,R., Nagasaki,H., Nakagami,H., Naramoto,S., Nishitani,K., Ohtani,M., Okamoto,T., Okumura,M., Phillips,J., Pollak,B., Reinders,A., Roevekamp,M., Sano,R., Sawa,S., Schmid,M., Shirakawa,M., Solano,R., Spunde,A., Suetsugu,N., Sugano,S., Sugiyama,A., Sun,R., Suzuki,Y., Takenaka,M., Takezawa,D., Tomogane,H., Tsuzuki,M., Ueda,T., Umeda,M., Ward,J., Watanabe,Y., Yazaki,K., Yokoyama,R., Yoshitake,Y., Yotsui,I., Zachgo,S. and Schmutz,J. TITLE WGS assembly of Brassica rapa FPsc JOURNAL Unpublished REFERENCE 2 (bases 1 to 23189089) AUTHORS Bowman,J., Kohchi,T., Yamato,K., Jenkins,J., Shu,S., Ishizaki,K., Yamaoka,S., Nishihama,R., Nakamura,Y., Berger,F., Adam,C., Aki,S., Althoff,F., Araki,T., Arteaga-Vazquez,M., Balasubrmanian,S., Bauer,D., Boehm,C., Briginshaw,L., Caballero-Perez,J., Catarino,B., Chen,F., Chiyoda,S., Chovatia,M., Davies,K., Delmans,M., Demura,T., Dierschke,T., Dolan,L., Dorantes-Acosta,A., Eklund,D., Florent,S., Flores-Sandoval,E., Fujiyama,A., Fukuzawa,H., Galik,B., Grimanelli,D., Grimwood,J., Grossniklaus,U., Hamada,T., Haseloff,J., Hetherington,A., Higo,A., Hirakawa,Y., Hundley,H., Ikeda,Y., Inoue,K., Inoue,S., Ishida,S., Jia,Q., Kakita,M., Kanazawa,T., Kawai,Y., Kawashima,T., Kennedy,M., Kinose,K., Kinoshita,T., Kohara,Y., Koide,E., Komatsu,K., Kopischke,S., Kubo,M., Kyozuka,J., Lagercrantz,U., Lin,S., Lindquist,E., Lipzen,A., Lu,C., Luna,E., Martienssen,R., Minamino,N., Mizutani,M., Mizutani,M., Mochizuki,N., Monte,I., Mosher,R., Nagasaki,H., Nakagami,H., Naramoto,S., Nishitani,K., Ohtani,M., Okamoto,T., Okumura,M., Phillips,J., Pollak,B., Reinders,A., Roevekamp,M., Sano,R., Sawa,S., Schmid,M., Shirakawa,M., Solano,R., Spunde,A., Suetsugu,N., Sugano,S., Sugiyama,A., Sun,R., Suzuki,Y., Takenaka,M., Takezawa,D., Tomogane,H., Tsuzuki,M., Ueda,T., Umeda,M., Ward,J., Watanabe,Y., Yazaki,K., Yokoyama,R., Yoshitake,Y., Yotsui,I., Zachgo,S. and Schmutz,J. TITLE Direct Submission JOURNAL Submitted (22-JUN-2018) US DOE Joint Genome Institute, 400 Mitchell Drive, Walnut Creek, CA 94598, USA COMMENT ##Genome-Assembly-Data-START## Assembly Method :: Meraculous v. May_2013 Assembly Name :: Brassica_rapa_FPsc_v1.3 Genome Coverage :: 155x Sequencing Technology :: Illumina Hiseq ##Genome-Assembly-Data-END## FEATURES Location/Qualifiers source 1..23189089 /organism="Brassica rapa" /mol_type="genomic DNA" /cultivar="FPsc (B3)" /db_xref="taxon:3711" /chromosome="A8" assembly_gap 1445..11444 /estimated_length=unknown /gap_type="between scaffolds" gene <19954..21308 /locus_tag="BRARA_H00001" /db_xref="Phytozome:Brara.H00001" mRNA join(<19954..20110,20290..20561,20650..21308) /locus_tag="BRARA_H00001" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00001" CDS join(19954..20110,20290..20561,20650..21150) /locus_tag="BRARA_H00001" /codon_start=1 /product="hypothetical protein" /protein_id="RID49186.1" /db_xref="Phytozome:Brara.H00001" /translation="MAPMSLPPGFRFHPTDEELVAYYLDRKVNGRTIELEIIPEVDLY KCEPWDLPEKSFLPGNDMEWYFYSTRDKKYPNGSRTNRATRAGYWKATGKDRVVESKK MKMGMKKTLVYYRGRAPHGLRTNWVMHEYRLTHPTSSSSIQESYALCRVFKKNIQIPK RKEEEMTTSVGKEEEEEEKKKWKKYDGETMERESEEVESLKIASAETSSSELTQGILL DEANSSSIFALHFSSSLLDDHDHQLFENYPQLPFHSPLPLQGFPQLSMNEAEIISMDN SKQQDFHYRDSINGTLDEIFSFASSSATLPPAL" gene 22640..25126 /locus_tag="BRARA_H00002" /db_xref="Phytozome:Brara.H00002" mRNA join(22640..22929,23112..23170,23245..23319,23408..23521, 23618..23688,23905..24202,24292..24581,24761..25126) /locus_tag="BRARA_H00002" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00002" CDS join(22851..22929,23112..23170,23245..23319,23408..23521, 23618..23688,23905..24202,24292..24581,24761..24818) /locus_tag="BRARA_H00002" /codon_start=1 /product="hypothetical protein" /protein_id="RID49187.1" /db_xref="Phytozome:Brara.H00002" /translation="MSSNTASSSAGSGDSSAARKNSKRPKYSKFTQQELPACKPILTP GWVISTFLIVSVIFIPLGVISLFASQDVVEIVDRYDNDCIPAPARTNKVAFIQGAAPK SCNRTLTVTKRMKQPIYVYYQLENFYQNHRRYVKSRSDSQLRSPKFENQISACKPEDD AGGKPIVPCGLIAWSLFNDTYTLSRNNTPLAVNKKGIAWKSDKEHKFGNKVFPKNFQK GNLTGGATLNPDIPLSEQEDLIVWMRTAALPTFRKLYGKIETDLENGDTIQVTLQNNY NTYSFSGKKKLVLSTTSWLGGKNDFLGIAYLTVGGICFFLALAFTIMYLVKPRRLGDP SYLSWNRNPGGGR" gene complement(25513..30783) /locus_tag="BRARA_H00003" /db_xref="Phytozome:Brara.H00003" mRNA complement(join(25513..27168,27416..28257,28347..28513, 28752..29093,29194..29274,29354..29477,29566..29671, 29756..29817,29902..30324,30399..30450,30675..30783)) /locus_tag="BRARA_H00003" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00003" CDS complement(join(25727..27168,27416..28257,28347..28513, 28752..29093,29194..29274,29354..29477,29566..29671, 29756..29817,29902..30324,30399..30445)) /locus_tag="BRARA_H00003" /codon_start=1 /product="hypothetical protein" /protein_id="RID49188.1" /db_xref="Phytozome:Brara.H00003" /translation="MAGSAPEGTQKKLNQVLEGSKMMEEEYPEELRTPPVSLVALFGC AELHSSITNHLHSQQPPINALAFPDFSHLPLLLLAHRDVINNPTSSSFRDPLSSDSSS SPSAAVPSGGILKRDWLLKHRTKVPALVAAFFPSNHISGDPTQWLQVCSNLDTLKSVI RPKNIKLVVVVVQSSPHEVISEDRLLALRKRAELDSKYVLFLNHSELPLSLPRIASAF SDLALSYYREEARRIKSRIEKRSSTSLDLIVRYCFKVAVYAEFRRDWGEALKFYEDAY HSLHEMIGTSTRLPPIQRLVEIKTIAEQLHFKISTLLLHGGKLSEAVIWFHQHKSSYD KVVGSTEYNFLHWEWMSRQFLVFAELLETSSATVQNFSSLNQGTAEIPLTEFEFYPAY YYQLAAHYLQNKKSALELLLSMSVAAQQIGGSSESITPSVYVGQFSQLREKGEALTLH FITDEEYIRYAISEAKRFQGSFEIVAWLKKSYESFTNLKSRRMAAFCAFEIAREYFGL SDPSNAKFFFDIAANLYRQEGWVSLLWEVLGYLRECSRNLGALKAFVELSLEMVALPV TSCDDFGNLRDKTYGPGGPATVSRRESIHREVFTLMCRETELISSTEESGFKLASDSP LHLEIDLVSPLRPVLLASVAFHEQMIKPRALCSITLSLLSHLPLPVEIDHLEVQFNQS TCNFVVRNSQKPLVASPSSTVQSGSQVENEQSLVLVPNNWLRLTYAIKPEQSGKLECL SVLAKLGPRFTICSRAESPAAMEDSPVWKHENCVQSLPTKDPILAVFGQKATQVEEPE PQVDVSLGASGPALVGENFTMPIVVTSKGHAVHSGELKINLVDVGGGGLFSPREAEPF SLESHHVEICGIDGAEGNDESESETGNIKKIQQSFGLVSVPDLEEGESWSCKLEIKWH RAKPVMLFVSLGYLPQGNEANAQKVHIHKSLQIEGKMPLFITNRFMLPYRRDHLLLNR IKPAPDSEDMSSLPLNEKSVLVVSAKNCTEIALKLMCMSIELDDEQGKTSCLIQQGGG GGETSDSANLAPGEEFKKVFTVIPTMRTPKLSLGSVHMKWRRQGDYTEDACVSTKHKL AEVNVDASPLVMSLNCPPYAILGESFTYAITICNQTQLLQEAKFALADAQSFVLSGSH SNTVSVLPKSEHVLSYKLVPLTCGQQQLPKITLTSVRYSAEFQPSTIASSVFVFPSAP QANSTAK" gene 30938..33009 /locus_tag="BRARA_H00004" /db_xref="Phytozome:Brara.H00004" mRNA join(30938..31346,31416..31475,31548..31796,31880..31956, 32050..32126,32206..32338,32460..33009) /locus_tag="BRARA_H00004" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00004" CDS join(30962..31346,31416..31475,31548..31796,31880..31956, 32050..32126,32206..32338,32460..32615) /locus_tag="BRARA_H00004" /codon_start=1 /product="hypothetical protein" /protein_id="RID49189.1" /db_xref="Phytozome:Brara.H00004" /translation="MASLSPSSSCLLRNLILTPSPHPFSRPIFVGGSRRRHLVQRLSA LREWREYEDAVKRKDLAGALRFLKTVQVIDKQAAESINIAAAPALDWEVLDACLNADD MRLVGSAFRFLKDRGLLPNFAKFSNIVLEGPREVTPTVLKSATGLEVNKLAPKKWGLS DSSSIALAAFLGGVSYLLSQDIDLRPNLAAILGLAFLDSLLLGGTCFAQISCFWPPHK RRVIVHEAGHLLVAYLMGCPIRGVILDPIVAMQMGVQGQAGTQFWDQKMESEIAEGRL SGSSFDRYSMVLFAGIAAEALVYGEAEGGENDENLFRSISVLLEPPLSVAQMSNQARW SVLQSYNLLKWHKAAHRAAVEALQVGSPLSIVIRRIEEAMSPSR" gene complement(38019..39306) /locus_tag="BRARA_H00005" /db_xref="Phytozome:Brara.H00005" mRNA complement(join(38019..38820,38910..39039,39130..39306)) /locus_tag="BRARA_H00005" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00005" CDS complement(join(38190..38820,38910..39039,39130..39268)) /locus_tag="BRARA_H00005" /codon_start=1 /product="hypothetical protein" /protein_id="RID49190.1" /db_xref="Phytozome:Brara.H00005" /translation="MGKGRAPCCDKSKVKRGPWSPKEDLTLITFIQKHGHHNWRSLPK LAGLMRCGKSCRLRWINYLRPDVKRGNFSKEEEDAIIHFHQTLGNKWSKIASFLPGRT DNEIKNVWNTHLKKRLFPNSSSYSSISCPNDRPTEADQKKNYAIVQEERNSRDNESQD PPSSSHLHGKHMHTKPELHEVNELHEIQLLLDHDDFDDITSAFLQTTETLFPVQPLDS LLQTHTLTGFHNTGGTTQEAIEPQSFDHSQPEIPCGFEETNGEFDLWSQPSPNSEEFD EWLSFMDNQAYFDDFTLFGEVCL" gene complement(<44604..>51088) /locus_tag="BRARA_H00006" /db_xref="Phytozome:Brara.H00006" mRNA complement(join(<44604..44654,50123..>51088)) /locus_tag="BRARA_H00006" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00006" CDS complement(join(44604..44654,50123..51088)) /locus_tag="BRARA_H00006" /codon_start=1 /product="hypothetical protein" /protein_id="RID49191.1" /db_xref="Phytozome:Brara.H00006" /translation="MSHRYSREEKKKWIPTRSQTIRKPPVQIPEIDTAALIEENKFTL IGRVTNPATQNTKALVDFFLQHWNVSGRFTGRDLGPLLFQFRFENERDLLTILNKAPF HFKRWMIIVQRWEPVVSEKFPDFLLFWIQINGVPLHYWEDKALRAIGKELGTVEDCIP TQARVRVLINGLNPLEMTRDISLPSGEIIEVEFSYDNLQKHCFRCHSLSHEKDDCPTS ENSRERDRSPNRIGISQRNTMARLDDNRRRYEERKRFKGHQVQHKREAPPSYTRRDYY EDRTTDSRYNSRQHQSYAPVTSEYRRGREDYNPGRSFSRESGARVGKRMHKVDDKCDI VNDT" gene complement(<52233..>53366) /locus_tag="BRARA_H00007" /db_xref="Phytozome:Brara.H00007" mRNA complement(join(<52233..52264,52727..53111,53178..>53366)) /locus_tag="BRARA_H00007" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00007" CDS complement(join(52233..52264,52727..53111,53178..53366)) /locus_tag="BRARA_H00007" /codon_start=1 /product="hypothetical protein" /protein_id="RID49192.1" /db_xref="Phytozome:Brara.H00007" /translation="MDSKIYHSQSSSYVGLLNSQQGSVLHENFPYESFQSSVNFGESE IPPPPFSSQQCEDTPVDRTDAVVGNDQKSGTFWKRVREYYAASPHGVEDGEKSEHLHC KQRWHIINDQVNKFCGAYSAAERQISSGESDTDVLKKAHDIFYSDKKSKFNLEHVWCV LRYEQKWFSLNTQKATASSKRKNGETDSSTNDLLKYKDNDA" gene complement(<56228..>57192) /locus_tag="BRARA_H00008" /db_xref="Phytozome:Brara.H00008" mRNA complement(join(<56228..56245,56356..56621,56664..>57192)) /locus_tag="BRARA_H00008" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00008" CDS complement(join(56228..56245,56356..56621,56664..57192)) /locus_tag="BRARA_H00008" /codon_start=1 /product="hypothetical protein" /protein_id="RID49193.1" /db_xref="Phytozome:Brara.H00008" /translation="MNGALPVGSVLLTRGLHAEAKCKRCGELKTPLHLFLTCPFAARI WDRIPALFKPDASTVTSVSNLLISNMRMINLPPTGLGTSPIYPWLYWHLWKARNKLIF EDRSWTEAELVLKIIKDARCWEEASQGKRHAQTNKSNPIPAPLPSLQYLNCFTDGAWD PNSGNSGQGWHFTTSSVAPIVAEALAVKAALIDAVTNDFSQLNVFSDSKSLINLLNSS ASTVLFQSVLFDIRALCCRFESISFFFVPRLGNVAADTLAKSALRLLVFQVC" gene <58781..64559 /locus_tag="BRARA_H00009" /db_xref="Phytozome:Brara.H00009" mRNA join(<58781..58898,59103..59175,60582..60653,60739..60810, 61013..61084,61174..61239,61423..61488,61636..61680, 61789..61850,61932..62314,62403..62601,62688..62846, 62946..63064,63147..63357,63441..63672,63754..63904, 64020..64559) /locus_tag="BRARA_H00009" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00009" CDS join(58781..58898,59103..59175,60582..60653,60739..60810, 61013..61084,61174..61239,61423..61488,61636..61680, 61789..61850,61932..62314,62403..62601,62688..62846, 62946..63064,63147..63357,63441..63672,63754..63904, 64020..64403) /locus_tag="BRARA_H00009" /codon_start=1 /product="hypothetical protein" /protein_id="RID49194.1" /db_xref="Phytozome:Brara.H00009" /translation="MARQRRRSLCLLITVWFLSGSVHVVRAQNRTGATTDPDEARALN SIFAAWRIPAPREWNISGELRLGDISNGSSSLEFFKDMKSLSTLVFRNSNLTGTIPSF IGEFSSLQQVDLSFNKLGGPIPASLFNLSRLTHLFLGNNTLNGPLPSQKSQTLRNIDV SYNDLSGSLPSWVNLPNLKLNLVANSFSLEGLDKSVLPGLSCLQKDFPCNRGKALYSE FSINCGGPPIRSVSGALFEREDEELGPASFVVSDVQRWAASSVGLFAGSSSNIYIATS QSQFIGTLDSELFQSARLSASSLRYYGLGLENGGYTVTLQFAEIQILGSTSNTWRGLG RRRFDIYVQGRLVETDFDVRRTAGDSTVRAVQRVYKANVTENYLEIHLFWAGKGTCCI PIQGAYGPLISAVSAKSDFTPTVGNRPPSKGKNRTGTIVGVIVGLGLLSILAGVAIFI IRKRRKRYTDDEELLSMDVKPYTFTYSELKSATQDFDPSNKLGEGGFGPVYKGKLNDG REVAVKLLSVGSRQGKGQFVAEIVAISAVQHRNLVKLYGCCYEGDHRLLVYEYLPNGS LDQALFGDKTLHLDWSTRYEICLGVARGLVYLHEEARLRIVHRDVKASNILLDSNLVP KVSDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKTDVYAFGVVALELVSG RPNSDENLEDEKRYLLEWAWNLHEKSREVELIDRELTEFNMEEVKRMIGIALLCTQTS HALRPPMSRVVAMLSGDVEVSDVTSKPGYLTDWRFDDTTSSSLSGFQTKETWPSDSLS TSFVAPGSEISPRSADSKPMLGVKINEGR" gene 67996..>73337 /locus_tag="BRARA_H00010" /db_xref="Phytozome:Brara.H00010" mRNA join(67996..68234,68350..68496,69733..69754,70012..70083, 70177..70242,70379..70444,70592..70636,70719..70780, 70879..71258,71340..71538,71633..71791,71866..71984, 72067..72277,72363..72604,72702..>73199) /locus_tag="BRARA_H00010" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00010" CDS join(68126..68234,68350..68496,69733..69754,70012..70083, 70177..70242,70379..70444,70592..70636,70719..70780, 70879..71258,71340..71538,71633..71791,71866..71984, 72067..72277,72363..72604,72702..73199) /locus_tag="BRARA_H00010" /codon_start=1 /product="hypothetical protein" /protein_id="RID49195.1" /db_xref="Phytozome:Brara.H00010" /translation="MPTCLHLTVWFILCIFGSIHLVRSQNRTGATTDPDEARALNSIF AAWGKQAPRDWNISGQLCSGVAIGENITIDDKAYNPLIKCDYMTSLSVLDLSFNKLHG PIPASLFNLSQLTHLFLGNNTLNGSLPTQKSQTLSNIDVSYNDLSGIPPSWVNLPNLQ LNLVANNFTLESLDNRVLPGLNCLQKNFPCNRGKALYSDFAINCGGQQIRSASGEVFE REDGALGPASFVVSDEQRWGASNVGLFGGSSNVYIVTLLSQFINTLDSELFQSARLSA SSLRYYGLGLENGGYTVTLQFAEIQIIGSSSNTWRGIGRRRFDIYVQGRLVERDFDIR RTANDSIVRAVEREYKVNVSENYIEIHLFWAGKGTCCIPIQGAYGPLISAVSAKPDFI PTVANKPPSKGNNRTGTILGVIVGLGLLSIIAGVVILIIRKRRKRYTDDEEILNMDVK PYTFSYSELKTATQDFNPSNKLGEGGFGPVYKGNLNDGREVAVKQLSVGSRQGKGHFV AEIVAISTVMHRNLVKLYGCCYEGDHRLLVYEYLPNGSLDQALFGGDKKTLHLDWPTR FEICMGVARGLAYLHEEGSVRIVHRDVKASNILLDSNLVPKVSDFGLAKLYDDKKTHI STKVAGTVYYAMRGHLTEKTDVYAFGIVVLELVSGRGNADESLEGEKRYLLEWAWNLH EKSHQVELIDRELTEFNVEEVKRMIGIALLCTQASHAVRPPMSRVVAMLSGDVEVSEV TSKPIYLPDWRFDDTTRSSFSAFQTKDTGASGSYSTSFVTPAENDFKPMLGVKINEGR " mRNA join(69672..69754,69851..69922,70012..70083,70177..70242, 70379..70444,70592..70636,70719..70780,70879..71258, 71340..71538,71633..71791,71866..71984,72067..72277, 72363..72600,72683..73337) /locus_tag="BRARA_H00010" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00010" CDS join(69735..69754,69851..69922,70012..70083,70177..70242, 70379..70444,70592..70636,70719..70780,70879..71258, 71340..71538,71633..71791,71866..71984,72067..72277, 72363..72600,72683..73199) /locus_tag="BRARA_H00010" /codon_start=1 /product="hypothetical protein" /protein_id="RID49196.1" /db_xref="Phytozome:Brara.H00010" /translation="MTSLSVLVLRNSNLTGTIPSYIGQFSSLKQVDLSFNKLHGPIPA SLFNLSQLTHLFLGNNTLNGSLPTQKSQTLSNIDVSYNDLSGIPPSWVNLPNLQLNLV ANNFTLESLDNRVLPGLNCLQKNFPCNRGKALYSDFAINCGGQQIRSASGEVFEREDG ALGPASFVVSDEQRWGASNVGLFGGSSNVYIVTLLSQFINTLDSELFQSARLSASSLR YYGLGLENGGYTVTLQFAEIQIIGSSSNTWRGIGRRRFDIYVQGRLVERDFDIRRTAN DSIVRAVEREYKVNVSENYIEIHLFWAGKGTCCIPIQGAYGPLISAVSAKPDFIPTVA NKPPSKGNNRTGTILGVIVGLGLLSIIAGVVILIIRKRRKRYTDDEEILNMDVKPYTF SYSELKTATQDFNPSNKLGEGGFGPVYKGNLNDGREVAVKQLSVGSRQGKGHFVAEIV AISTVMHRNLVKLYGCCYEGDHRLLVYEYLPNGSLDQALFGGDKKTLHLDWPTRFEIC MGVARGLAYLHEEGSVRIVHRDVKASNILLDSNLVPKVSDFGLAKLYDDKKTHISTKV AGTVGYLAPEYAMRGHLTEKTDVYAFGIVVLELVSGRGNADESLEGEKRYLLEWAWNL HEKSHQVELIDRELTEFNVEEVKRMIGIALLCTQASHAVRPPMSRVVAMLSGDVEVSE VTSKPIYLPDWRFDDTTRSSFSAFQTKDTGASGSYSTSFVTPAENDFKPMLGVKINEG R" gene 73840..76369 /locus_tag="BRARA_H00011" /db_xref="Phytozome:Brara.H00011" mRNA join(73840..74092,74298..74512,74607..74764,74857..75044, 75123..75375,75461..75609,75695..75829,75921..76369) /locus_tag="BRARA_H00011" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00011" CDS join(73888..74092,74298..74512,74607..74764,74857..75044, 75123..75375,75461..75609,75695..75829,75921..76177) /locus_tag="BRARA_H00011" /codon_start=1 /product="hypothetical protein" /protein_id="RID49197.1" /db_xref="Phytozome:Brara.H00011" /translation="MAMYLLYESSSGYGLFEAHGLDEIGQNTEAVRSSVSDLSRFGRV VQLTAFHPFESALDALNQVNAVSEGVMTDELRSFLELNLPKVKEGKKPKFSLGTSEPK LGSHILEATKIPCQSNEFVLELLRGVRLHFDRFIKDLKPGDLEKSQLGLAHSYSRAKV KFNVNRVDNMVIQAIFLLDTLDKDINSFAMRVREWYSWHFPELVKIVNDNYLYARVSK MIDDKSKLTEDHIPMLTEVLGDEDKAKEVVEAGKASMGQDLSALDLINVQTFAQKVMD LADYRKKLYDYLVSKMSDIAPNLAALIGEMVAARLISHAGSLTNLAKCPSSTLQILGA EKALFRALKTRGNTPKYGLIFHSSFIGRASAKNKGRIARYLANKCSIASRIDCFADGA TTAFGEKLREQVEERLDFYDKGVAPRKNVDVMKEVIENLQNKDEEGKEAVEASGKKSK KKKAKGEEEEEAVESEKKKKRKMETEEENEKSEKKKKKKSKSAGGDEETDDGLTQSKK KSKKKKSKSEE" gene 78606..79894 /locus_tag="BRARA_H00012" /db_xref="Phytozome:Brara.H00012" mRNA join(78606..78875,78970..79158,79250..79894) /locus_tag="BRARA_H00012" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00012" CDS join(78696..78875,78970..79158,79250..79642) /locus_tag="BRARA_H00012" /codon_start=1 /product="hypothetical protein" /protein_id="RID49198.1" /db_xref="Phytozome:Brara.H00012" /translation="MASAASGKVEKGHQLYRDGKYKEALLFYTEALSAAKSKSQKIAL HSNRAACYLKLHDFKQAAEECTWVLELDQKHSGALMLRAQTLVTLKDYHSALFDVTRL LDLNPDSDVYQNLEARLRTQLSLAPIPESEAELEDEDEAQQDTDEQSTRKDESRFESL VSITRDVRNKGEEIVAPKTPEVREDKSKENGSLSNAWQAIPKPKGHSTLDYARWNTVQ NDDSSEDDDSDEDSDEDDQPQYRFRVRTVGVRPVK" gene complement(80392..82385) /locus_tag="BRARA_H00013" /db_xref="Phytozome:Brara.H00013" mRNA complement(join(80392..80704,80801..80863,80936..80986, 81069..81153,81251..81314,81403..81592,81670..82385)) /locus_tag="BRARA_H00013" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00013" CDS complement(join(80575..80704,80801..80863,80936..80986, 81069..81153,81251..81314,81403..81592,81670..82370)) /locus_tag="BRARA_H00013" /codon_start=1 /product="hypothetical protein" /protein_id="RID49199.1" /db_xref="Phytozome:Brara.H00013" /translation="MSNNIVVLDNGGGLIKAGQGGERDPLVVIPNCLFKPLSSKKFIY PPPLSDLDVDIDLTSAAVRRPIDRGYLINPDLQRDIWSHLFSSLLRITPTSSSLLLTE PPLSIPSVQRATDELVFEDFGFSSLYLANPQSLVHLYEASRQPGSILSRTQCSLVVDC GFSFTHAVPVLHNFTLNYAVKRIDLGGKAFTNYLKELVSYRSINVMDQTFLMDDAKEK LCFVSLDLHRDLNLARERRTGNVLKSTYVLPDGVTHTKGYVKDPQSAKSFLTLGLSDG GANTVMDKVDVEKKKADMNKNEIDLTNERFLVPETLFQPADLGMNQAGIAECIVRAVS SCHSYLQPVLYQSIILTGGSTLFPQLKERLERELRPLVPDHFDVKITTQEDPILGVWR GGSLLASSPDFESMCVTKAEYEELGSARCRRRFFH" gene complement(82533..85709) /locus_tag="BRARA_H00014" /db_xref="Phytozome:Brara.H00014" mRNA complement(join(82533..83377,83475..83580,83687..83727, 83814..83869,83956..84002,84095..84172,84254..84568, 84650..84700,84812..84929,85000..85104,85202..85309, 85574..85709)) /locus_tag="BRARA_H00014" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00014" CDS complement(join(82791..83377,83475..83580,83687..83727, 83814..83869,83956..84002,84095..84172,84254..84568, 84650..84700,84812..84929,85000..85104,85202..85299)) /locus_tag="BRARA_H00014" /codon_start=1 /product="hypothetical protein" /protein_id="RID49200.1" /db_xref="Phytozome:Brara.H00014" /translation="MSVLIVTSLGDIVIDLHSSKCPLTCKNFLKLCKIKYYNGCLFHT VQKDFTAQTGDPTGTGLGGDSIYKFLYGEQARFFGDEIHLDLKHSKTGTVAMASAGEN LNASQFYFTLRDDLDYLDGKHTVFGEIAEGLDTLTRINEAYVDAKNRPYKNIRIKHTY ILEDPFEDPTQLADMIPDASPEGKPKEEVEVDVRLEDDWVPMDEQLGAHELEEIIRAK AAHSSAVVLESIGDIPEAEVKPPENVLFVCKLNPVTEDEDLHTIFSRFGTVISADVIR DFKTGDSLCYAFIEFEEKAACEEAYNKMDNALIDDRRIHVDFSQSVSKLWSQFRQKDS QKGKGNGCFKCGSTDHVAKDCVGGGNQASKFIVKDQNRQHGGGEGYDMVFEGDIAERP KREKSHDKDKIRRRSPHGYGEGKRQDRDEGRSPGGYDEGKRRDKDEGRSGRVYGEGKR RDRDEGDTEDKAASKYDGRRDDREDVRERDRRYDDGVSREKKQRERNERESREDEERR RRRRHEEMRDDRWSDKGRRRERRDR" gene 139847..144532 /locus_tag="BRARA_H00015" /db_xref="Phytozome:Brara.H00015" mRNA join(139847..140193,143599..144532) /locus_tag="BRARA_H00015" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00015" CDS join(139992..140193,143599..144362) /locus_tag="BRARA_H00015" /codon_start=1 /product="hypothetical protein" /protein_id="RID49201.1" /db_xref="Phytozome:Brara.H00015" /translation="MESEEEKESSISMVEAKLPPGFRFHPKDDELVCDYLMARSLGHN TKQPPPLLLIQVDLNKCEPWDIPKTACVGGKDWYFYSQRDRKYATGLRTNRATATGYW KATGKDRAILRKGKLVGMRKTLVFYQGRAPRGRKTDWVMHEFRLQGSFDPPTLNSPEV QEEDWVLCRVFHKNTQGDNMGSCFDETVSASLPPLMDSYINFDQEPSSYLGHDQHFFT NEQVPCFSNLSQNQTIHSNLTESVSELETPCKNPIPLFTGGSSPAMLPGLDSFSSSDQ MVLKALLSQLTKIDGGIEVKESQSYGEGSSESLLTDIAIPSTAWN" gene complement(<146192..>147160) /locus_tag="BRARA_H00016" /db_xref="Phytozome:Brara.H00016" mRNA complement(<146192..>147160) /locus_tag="BRARA_H00016" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00016" CDS complement(146192..147160) /locus_tag="BRARA_H00016" /codon_start=1 /product="hypothetical protein" /protein_id="RID49202.1" /db_xref="Phytozome:Brara.H00016" /translation="MAPCIDTSRSTGKALLCQDDDSRFHYINLFPGRISRSPITITSS LVPDFDRDDGDVWFKMLDEAKSDVEQEPVLSSYYHSSITSHRSLESALANILSVKLST LALPSNTLFELFISVLEESPAIIESAKQDLLAAKERDPACVSYVHCFLSFKGFLACQA HRIAHKLWAQDRKILALLIQNRVSEAFAVDIHPGAKIGKGVLLDHATAVVIGETAVVG DNVSILHGVTLGGTGKQCGDRHPKIGDGVLIGAGTCVLGNITIGEGAKVGSGSVVLKD VPPHTTAVGNPARLIGGKENPKMLDKIPGLSMDQTSYLTEWSDYVI" gene 150290..154503 /locus_tag="BRARA_H00017" /db_xref="Phytozome:Brara.H00017" mRNA join(150290..150669,150741..150919,150997..151101, 151174..151245,151350..151497,151635..151717, 151944..151999,152088..152224,152342..152432, 152526..152591,152683..152905,153032..153133, 153265..153350,153416..153538,153670..153739, 153832..153923,154008..154112,154211..154503) /locus_tag="BRARA_H00017" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00017" CDS join(150344..150669,150741..150919,150997..151101, 151174..151245,151350..151497,151635..151717, 151944..151999,152088..152224,152342..152432, 152526..152591,152683..152905,153032..153133, 153265..153350,153416..153538,153670..153739, 153832..153923,154008..154112,154211..154339) /locus_tag="BRARA_H00017" /codon_start=1 /product="hypothetical protein" /protein_id="RID49203.1" /db_xref="Phytozome:Brara.H00017" /translation="MAVLTSLTRFPSSFLSSNARHLFLSPSSPSFSIKRRFNLFSFKP SRSFAPMSSSSSSAPLQEKITAPYGSWKSPITADIVSGASKRLGGTAVDSRGRLVWLE SRPNESGRGVLVVEGEKEAIDITPKELAVRTLTQEYGGGAFRISDDQLVFSNYKDQRL YTQHILHKDSSPKPITPDYGSPAVTYADGVFDSRFHRYITVREDGRQDTSNPITTIVE VNLSGDTLDEPKVLVSGNDFYAFPRLDPNCERLAWIEWTHPNMPWDKAQLWVGYISEA GNIDKRVCVAGCDPEYVESPTEPKWSPRGELFFVTDRKNGFWNIHKWIESTNEVVSVY PLDAEFAKPLWVFGTNSYEIIECSEEKNIIACSYRQKGKSYLGILDDSKGSCSLLDIP LTDYDNITLGNQCLYVEGASAVLPPSVAKVTLDQHKTKALSSEIVWSSSPDVLKYKAF FSVPELIEFPTEVPGQNAYAYYYPPTNPLYNASMEEKPPLLVKSHGGPTAESRGSLNL NIQYWTSRGWAFVDVNYGGSTGYGREYRERLLRRWGIVDVDDCCGCAKYLVSSGKADV KRLCISGGSAGGYTTLAALAFRDVFKAGASLYGVADLKMLKEEGHKFESRYIDNLVGE EKDFYERSPINFVDRFSCPIILFQGLEDKVVTPDQSRKIYQALKEKGLPVALVEYEGE QHGFRKAENIKYTLEQQMVFFARVVGGFQVADDISPLKIDNFDTSSDA" gene complement(<156504..157108) /locus_tag="BRARA_H00018" /db_xref="Phytozome:Brara.H00018" mRNA complement(join(<156504..156513,156550..156789, 156875..157108)) /locus_tag="BRARA_H00018" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00018" CDS complement(join(156504..156513,156550..156789, 156875..157032)) /locus_tag="BRARA_H00018" /codon_start=1 /product="hypothetical protein" /protein_id="RID49204.1" /db_xref="Phytozome:Brara.H00018" /translation="MNLGELNKVWEIKALKKKPKEEEARKILEKVANQVQPIMTRRKW RVKLLSEFCPTNPRLLGVNVNRGVHVKLRLRRVNHDGDFLSYHQVLDTMLHELCHNAH GPHNASFYKLWDELRKVLSFASSDVFCNGRISC" gene <157402..>159204 /locus_tag="BRARA_H00019" /db_xref="Phytozome:Brara.H00019" mRNA <157402..>159204 /locus_tag="BRARA_H00019" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00019" CDS 157402..159204 /locus_tag="BRARA_H00019" /codon_start=1 /product="hypothetical protein" /protein_id="RID49205.1" /db_xref="Phytozome:Brara.H00019" /translation="MSKARDFLKTETCNEVAAVIDALFKSQESSEYKSARALYECCVA HFADFLTLKLLKAYRNCSTSSLLRFRMIYLLSQATTELRSRNFQFSPSALRDVKPLVI SCLEMEETRESDIKILRRIVSFVAYNVGMLEEGGWEELNGCILGLTDTSPCRAFHVFL DVPAVCDDFITLPVIQRVYDEAELVLLNAERVGVQDWVLAFQTVVKIGVHAADSEMES TLMERIRKLADDAVKKGKGEFVDRGLQDLKTFLARDGTLSKYNKEQRSFVAELAFKIA SCRHESKKERKKVKSEISSVLRKPNMYGHDDDDDDNDHIAGGFEIDWCNHLSTLSSPL EILRIFAVTDLEESSREVAIRRLNLLLSDHTTKKVVIEVSVMRQLQPLLISCLKEDRL SVSDSMFKLLGEVVFHVANEVLSNKEEDTWFDLWDYIVSQCKTQFEKAVYIFQSLTMM LDDMDILIPVIDVLLPEINTRLNPPVQLLLVEDNSCWVLAFVGAFCAAVHLVEVTSHA DSVKEITLKMIDSVRELVERGGMEVGVVRRAFTDLEKVVKKQVKWYSKSDYGFVKGLL SRLYAIKAMKMESRMVLWRINAIVERGVHDDLKE" gene complement(<159382..>160804) /locus_tag="BRARA_H00020" /db_xref="Phytozome:Brara.H00020" mRNA complement(join(<159382..159675,159817..159885, 159920..159921,159959..160223,160362..160596, 160602..>160804)) /locus_tag="BRARA_H00020" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00020" CDS complement(join(159382..159675,159817..159885, 159920..159921,159959..160223,160362..160596, 160602..160804)) /locus_tag="BRARA_H00020" /artificial_location="low-quality sequence region" /codon_start=1 /product="hypothetical protein" /protein_id="RID49206.1" /db_xref="Phytozome:Brara.H00020" /translation="MAPRKVETGGRDLISSLPDELLSEILYLLPTQTAASTSILSKRW KNLLPLVHNLDFDKSTMVFASLISRTLVLLGDSPIHKFSLEWKSEKAQHLIYPLIYNA LQREVLELHLISPKRQFVPSELFFSKTLVKLTLTLGCFARRNDNLVLDQQVWGSSIKR ITTFYRSCGLDDYSCFIFKTPSLVFLDYSSYVAQDYLVQFDDSLVEARLDIRLWKYYD HVLPLPISYGYQLSKHGERGWQVLPLLLRKSPNLETLIINGLVHKITNLCGDVCVCDH GKMMRSCCLLSCRVKVLKVYGYEGSCGELNQMRHFLKNLRFLKLVKVKVQEQVNYLSL TNDLTKLLSTASSSNCKIQFI" gene complement(164092..165277) /locus_tag="BRARA_H00021" /db_xref="Phytozome:Brara.H00021" mRNA complement(join(164092..164670,164754..165277)) /locus_tag="BRARA_H00021" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00021" CDS complement(join(164171..164670,164754..165222)) /locus_tag="BRARA_H00021" /codon_start=1 /product="hypothetical protein" /protein_id="RID49207.1" /db_xref="Phytozome:Brara.H00021" /translation="MSRPLVFFFLFLVLVVPCLSHGGGGDQDDDEAPPAKSSDLKSKS LITVKIACLVIIFVLTFISGVSPYFLKWSQAFLVLGTQFAGGVFLATALMHFLSDADE TFTDLLTAEGESEPSPAYPFAYMLACAGYMLTMLADSVIAHVYSKTPSKDVELQGAEK PNQGLGIGDSILLIVALCFHSVFEGIAIGISETKADAWRALWTITLHKVFAAIAMGIA LLRMIPDRPLFSSIMYSFAFAISSPIGVAIGIVIDATTQGRVADWIFAVSMSLACGVF VYVSVNHLLAKGYLPNKKVNVDEPRFKFLAVLSGVVVIAIVMIWDT" gene complement(167902..170237) /locus_tag="BRARA_H00022" /db_xref="Phytozome:Brara.H00022" mRNA complement(join(167902..168222,168301..168403, 168493..168649,168727..168825,168903..169007, 169165..169281,169358..169419,169522..169558, 169664..169761,169844..170237)) /locus_tag="BRARA_H00022" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00022" CDS complement(join(168180..168222,168301..168403, 168493..168649,168727..168825,168903..169007, 169165..169281,169358..169419,169522..169558, 169664..169761,169844..170156)) /locus_tag="BRARA_H00022" /codon_start=1 /product="hypothetical protein" /protein_id="RID49208.1" /db_xref="Phytozome:Brara.H00022" /translation="MASSNAIRSRLVPSLLKLRSRNPRRALFSTEASSSSNPSSKVSS SSQQQSIFSDFPPPNHLPPPPPPEAAAEALGKERKGLKYLSNGLIWAFTGATAAIGYT SYAYTLDEVNEKTKSFRESAMKPPPPALDSSSAIDKYQAMLYSAAIKVPARAIEMYLE LREQVEEHVKGFTEPLSEKLLPDLHPSEQNVVYTLVLDLNETLLYTDWKRERGWRTFK RPGVDAFLEHLGKFYEIVVYSDQMDMYVYPVCEKLDPNGYIRYKLARGATKYENGKHY RDLSKLNRDPKRILYVSGNAFDTSLQPENCVPIKPYKLESDDTALVDLIPFLEYVARN GPADIRPVLASYERKDVAKEFLERSIEYQKRMQGQRQGRLWRR" gene 174769..175981 /locus_tag="BRARA_H00023" /db_xref="Phytozome:Brara.H00023" mRNA join(174769..174869,174947..175092,175191..175542, 175614..175659,175737..175981) /locus_tag="BRARA_H00023" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00023" CDS join(174854..174869,174947..175092,175191..175542, 175614..175659,175737..175785) /locus_tag="BRARA_H00023" /codon_start=1 /product="hypothetical protein" /protein_id="RID49209.1" /db_xref="Phytozome:Brara.H00023" /translation="MGEFEGWNRWVEPAFLLWKPEDPDYERPVYDPEKAKFTAQEELD RMREEVNQSDGFDIDFDYYRCVFNYHRAYLDDVEFGEFGNEPETTGDFLTRLAQKSLE DNNAKEKREFEFVRLVKSNFHFSAGLMFLITFEVIDPYDGKIKPFQARVRYLNDTFTE YIFCRPKPNIGVKYYGNAKTNVAKKQRLESELLYATSMVSSE" gene complement(176528..>178584) /locus_tag="BRARA_H00024" /db_xref="Phytozome:Brara.H00024" mRNA complement(join(176528..176595,176679..176755, 176831..177055,177146..177280,177363..177562, 178078..178168,178441..>178584)) /locus_tag="BRARA_H00024" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00024" CDS complement(join(176726..176755,176831..177055, 177146..177280,177363..177562,178078..178168, 178441..178584)) /locus_tag="BRARA_H00024" /codon_start=1 /product="hypothetical protein" /protein_id="RID49210.1" /db_xref="Phytozome:Brara.H00024" /translation="MEEEEDDDEVYSYGGGKGYKANKRKTKKKKEKPTQPQKLVPSDD NFPTDLEEGTTQTELDWLTKRNGALRNVTDQGNRPLHMLVGLIDKVDTTGGLQNLEHL RSFMINWGAVLEEDCDCPQLALESKKDSSKPKPVLCTKKKKAKQTRTFKVKDLIILKE VDEKELMRLVNLGPVAVTIDVHTAFSTFKGDGIYTGPTNKCRKLDRHMLLVYGYGTDK LTGIHYWRVQNSAGLTWGMNGYGKIVRQISRGTEANKSLFTSVIYPQLLDHYEGQK" gene complement(193888..196669) /locus_tag="BRARA_H00025" /db_xref="Phytozome:Brara.H00025" mRNA complement(join(193888..194576,194642..194989, 195109..195312,195401..195613,195685..195801, 195878..196669)) /locus_tag="BRARA_H00025" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00025" CDS complement(join(194028..194576,194642..194989, 195109..195312,195401..195613,195685..195801, 195878..196645)) /locus_tag="BRARA_H00025" /codon_start=1 /product="hypothetical protein" /protein_id="RID49211.1" /db_xref="Phytozome:Brara.H00025" /translation="MMRKGDDRFRAVHEDEPLFITGRRTGRVIAYRVFSASVFFCICW IWLYRVTAPVEVDENRTGLVRFVWLVMLVTEIWFGLYWIVMQSPRWNPVWRFTFTDRL SRRYGDDLPRLDVFVCTADPVIEPPLMVVNTVLSVAALDYPPEKLAVYLSDDGGSELT FYALAEAAEFAKVWVPYCKRFNVEPRSPAAYLTCKASGFDSAETEEVARLYKEMAARI ETAARLGIIPDEARLKYGDGFSQWDSHATRRNHGTILQILVDGRKGNTVTVPTLVYLS REKRPEHHHHFKAGSMNALIRVSSKITCGRIILNLDCDMYSNNSKSARDALCILLDEK EGKKIAFVQFPQCFENLTKNDLYASMMRVGYDVEFNGLDGNGGPLYIGTGCFHRRDVI CGRTYGEVEVEEEEESEYISETEMIKALASCTYEENSQWGKEMGVKYGCPAEDVITGL EIKCRGWKSAYLNPKKKAFVGVAPTNLHQMLVQQRRWSEGNFQVLLSEYSPVWYGQGK IGLGLILGYCCYCLWAPSSVPVLLYSVLTSLCLLKGIPLFPKVWSSWWFIPFGYVTVA ANAYSLVEFLWCGGTLRGWWNDQRMWLYRRTSSFLFGLIDTIKKKLGVSESAFVITAK VAEEEAAERYEKEVMEFGVESPIFLLLGTLGMLNLFCLATAIMRLVMTSREDVQTMGL QFVITGVLVVLNWPLYEGMLLRRDKGKMPMTVTVNSFVLALSACTCVAFL" gene complement(197656..200155) /locus_tag="BRARA_H00026" /db_xref="Phytozome:Brara.H00026" mRNA complement(join(197656..198181,198257..198439, 198525..198620,198711..198770,198852..198905, 199013..199090,199169..199222,199319..199402, 199717..199748,199840..200155)) /locus_tag="BRARA_H00026" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00026" CDS complement(join(197897..198181,198257..198439, 198525..198620,198711..198770,198852..198905, 199013..199090,199169..199222,199319..199402, 199717..199748,199840..199894)) /locus_tag="BRARA_H00026" /codon_start=1 /product="hypothetical protein" /protein_id="RID49212.1" /db_xref="Phytozome:Brara.H00026" /translation="MGTASEEAVKQLRTLMEDVDDESLRESYRNIHQGYPTETLLRFL KARDYNVHKSHQMLLDCLQWRTQNEIDNILNKPIVPVELYRGIRDSQLVGLSGYSKEG LPVIAIGVGLSTYDKASVHYYIQSHIQMNEYRDRVVLPSATKKQGRPICTCLKVLDMS GLKLSALSQIKLMTAITTIDDLNYPEKTETYYIVNVPYIFSACWKTIKPLLQERTKKK IQVLKGCGKDELLKVMDYESLPHFCRREGSGSGRHISNGTVDNCFSLDHSFHKELYDY VHQQALVKGSSAPIRHGSVHVRFPEPDTEGTKIFDTLESEFQKLGNDHKT" gene complement(204721..208326) /locus_tag="BRARA_H00027" /db_xref="Phytozome:Brara.H00027" mRNA complement(join(204721..205201,205289..205444, 205513..205606,205688..205748,205828..205888, 205953..206036,206116..206310,206404..206483, 206602..206698,206837..206955,207113..207182, 207301..207392,207469..208326)) /locus_tag="BRARA_H00027" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00027" CDS complement(join(205052..205201,205289..205444, 205513..205606,205688..205748,205828..205888, 205953..206036,206116..206310,206404..206483, 206602..206698,206837..206955,207113..207182, 207301..207392,207469..207619)) /locus_tag="BRARA_H00027" /codon_start=1 /product="hypothetical protein" /protein_id="RID49213.1" /db_xref="Phytozome:Brara.H00027" /translation="MASKLAVDAIETSTKVHFSGFHFDSARSNHMAASAEEQEEEQQH GQPFVIGVAGGAASGKTTVCDMIMQQLHDQRAVVVNQDSFYHNVNEKELARVHDYNFD HPDAFDTEQLLCSMEKLRKGQAVDIPNYDFKSYKNNVFPPRRVNPSDVIILEGILIFH DPRVRDLMNMKIFVDADADVRLARRIKRDTVEKGRDIATVLDQYSKFVKPAFEDFILP TKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLI RDSKTTKHDFIFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGSVYSGVDFCKRLCGVSV IRSGESMENALRACCKGIKLGKILIHREGDNGQQLRYEKLPSDISERHVLLLDPILGT GNSAVQAIRLLISKGVPESNIIFLNLISAPQGVNVVCKRFPRIKIVTSEIELGLNDEF RVVPGMGEFGDRYFGTDDE" gene 209390..210025 /locus_tag="BRARA_H00028" /db_xref="Phytozome:Brara.H00028" mRNA 209390..210025 /locus_tag="BRARA_H00028" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00028" CDS 209406..209882 /locus_tag="BRARA_H00028" /codon_start=1 /product="hypothetical protein" /protein_id="RID49214.1" /db_xref="Phytozome:Brara.H00028" /translation="MFSSSIRSIIVTGLHRTPILKSPANPPRLFTSVPRYFHSSSTRF SDSRIAMSSADKTGSNTGAIENRASRIKEKLEKELEPVELVIEDVSYQHAGHAGMKGR GTDEETHFNVKIVSKGFEGMNLVKRHRLVYDLLREELDSGLHALSIVSKTPSESTR" gene 210538..212481 /locus_tag="BRARA_H00029" /db_xref="Phytozome:Brara.H00029" mRNA join(210538..210779,210940..211138,211223..212481) /locus_tag="BRARA_H00029" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00029" CDS join(210593..210779,210940..211138,211223..212117) /locus_tag="BRARA_H00029" /codon_start=1 /product="hypothetical protein" /protein_id="RID49215.1" /db_xref="Phytozome:Brara.H00029" /translation="MATIRELSRLIRDHGDEQVWITHYSSKHQILLVGEGDFSFSCSL ATRFRSASNICASSLDSYDEVVRKYKKARSNLETLKRLGASLLHGVDATKLQLHPHLN CRRFDRIIFNFPHAGFHGKETDSSLIKKHRELVFGFLHSASHMVRADGEVHVSHKNKP PFCHWKLEELASKCSLALTQCVAFEKSDYPGYENKRGDGSRCDMPFLLGECSTFKFRV SRVAKEIYAEKLKAREMKERESKWQRPALTFGLSYHQDSNLTQGHDPLVQSRQRTSPL FPYQEHRCSQFEDAIVSSIRATQSPTTFNHVRFQENSKQVRYTERSSRDFPFQPSLHP QEERSFFECSSRFEGVPLDIYVRRMQSTLTQTSFPHLYTGESPERRRQEPLFQSSNRL NGVSHEIYNGERGRSMLTSHSFPHPYTGDHKKEA" gene <213122..>213816 /locus_tag="BRARA_H00030" /db_xref="Phytozome:Brara.H00030" mRNA join(<213122..213127,213262..213343,213443..>213816) /locus_tag="BRARA_H00030" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00030" CDS join(213122..213127,213262..213343,213443..213816) /locus_tag="BRARA_H00030" /codon_start=1 /product="hypothetical protein" /protein_id="RID49216.1" /db_xref="Phytozome:Brara.H00030" /translation="MRQRIVLKMDMSESEKSIKKAMKIASGASGVRSVSIKGQNDQLV IVGEGIDTAELTRELRKKVCHATIVTVQAAPPPPPPPQQQQKQPVQPYLMEHNNEMAP ARRCICEIPNSGYCGFCRSMRETPYQMVASPYPPPVMYGGYREDPDNCRIM" gene <215520..>216041 /locus_tag="BRARA_H00031" /db_xref="Phytozome:Brara.H00031" mRNA <215520..>216041 /locus_tag="BRARA_H00031" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00031" CDS 215520..216041 /locus_tag="BRARA_H00031" /codon_start=1 /product="hypothetical protein" /protein_id="RID49217.1" /db_xref="Phytozome:Brara.H00031" /translation="MKQFSRLLFIFILYLSYVNADSNLITDLCKHCDDPKLCLSSIET RPESGEFAATTNQIEIIAISAASANASSTSAYIKEMLSREDLEPATESTLEDCQKNYQ DAVEQLDDSISAMLLDAHADVDVWLNAAISAIESCSNELESGAGNDAELSQRNKVFFK LCKNALVINKMLT" gene 218978..221056 /locus_tag="BRARA_H00032" /db_xref="Phytozome:Brara.H00032" mRNA join(218978..219607,219778..219926,220004..220122, 220194..221056) /locus_tag="BRARA_H00032" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00032" CDS join(219489..219607,219778..219926,220004..220122, 220194..220796) /locus_tag="BRARA_H00032" /codon_start=1 /product="hypothetical protein" /protein_id="RID49218.1" /db_xref="Phytozome:Brara.H00032" /translation="MTDSAYRVDTISRLAQWRILNLSSSTYRKSDPFKMGLWNWHLSV EKSKMLLNVKLYPEVSSLSRENPPVASFVLRVVSSTGERKAFTHPEVIDKRIKTNEDF LWTIEVPLTGKIIIDVEFLDLKVLSQDSGEFYSIWADGSTQNQSEVTAVTSLGRMLTE SIYTDITINASDGSIGAHRAVLAARSPVFRSMFLHDLKEKELSAINIPDMPLEACRAF LSYIYGNIQNEDFLTHRLALLQAADKYDIADLKDACHMSLVEDIDTKNVLERLQNAYL YQLPELKASCMRYLVKFGKIFEIREEFNVFMQCADRDLISEVFHEVLTTWKGF" gene complement(<222895..>224202) /locus_tag="BRARA_H00033" /db_xref="Phytozome:Brara.H00033" mRNA complement(<222895..>224202) /locus_tag="BRARA_H00033" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00033" CDS complement(222895..224202) /locus_tag="BRARA_H00033" /codon_start=1 /product="hypothetical protein" /protein_id="RID49219.1" /db_xref="Phytozome:Brara.H00033" /translation="MGPCRPCRLRGSYGAHGTYSLRRPNRPYGRPNIKRKIIRRLPEM EMEMRIPMHVVTEEVLTRMPAKSLMRFKCVSKLWSSLISSPYFKDRFLTVPTRQRPHL FMCLQDVNDHSSSVTLSLVPDANSDDTPSSSSSFVVDHTIPRMRGGYICQNLRGFMCY DLWQKPRIFNPATRQLVTLPPAFNPNTTKGTVSYYFGHDPITDQYKVVCSVGVRLTDT QEVRSHHRVFVLKPGGGGSWRKAYRLPPPDFIPHIAARGGVCINGVIYYLGWTAADSC MLVTFHIRSHDFKMIQVPLPEELPPPAKMKNVCLVEYGGKVTVVDQASLRDKGRLDLW ALEDAANQKWSSKRLVVKPSQLDFVRNTEFVVRGTSRNGKVFLIPTDLVSPFQILCYD LQSNHMRKIDIKGVPDHWFSKDKSTVEVMLMDHSETLMSLMNT" gene complement(<224820..>225026) /locus_tag="BRARA_H00034" /db_xref="Phytozome:Brara.H00034" mRNA complement(<224820..>225026) /locus_tag="BRARA_H00034" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00034" CDS complement(224820..225026) /locus_tag="BRARA_H00034" /codon_start=1 /product="hypothetical protein" /protein_id="RID49220.1" /db_xref="Phytozome:Brara.H00034" /translation="MCCGRICMLCTCLVLVVVAIGLVFGFGVFKNGYHKIHDTVHLDC DPRLGCNGSSSRRAYGFVPPPNRF" gene 230440..234275 /locus_tag="BRARA_H00035" /db_xref="Phytozome:Brara.H00035" mRNA join(230440..230702,230799..231040,231119..231209, 231308..231356,231451..231542,231643..231750, 231865..232410,232493..232634,232716..232783, 232859..232993,233084..233301,233391..233777, 234205..234275) /locus_tag="BRARA_H00035" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00035" CDS join(230512..230702,230799..231040,231119..231209, 231308..231356,231451..231542,231643..231750, 231865..232410,232493..232634,232716..232783, 232859..232993,233084..233301,233391..233773) /locus_tag="BRARA_H00035" /codon_start=1 /product="hypothetical protein" /protein_id="RID49221.1" /db_xref="Phytozome:Brara.H00035" /translation="MTVGAGISVSNSDLVVLGHRVLRGVPENVVVTPASGNSLIDGAF IGVSSDQTGSHRVFPLGKLEELRFMCVFRFKLWWMTQRMGTHGKEIPFETQFLIVEAN GGSDLEGDHPASYVVFLPILEGDFRAVLQGNQSNELEICLESGDPTVDQFKGDHLVFV AAGSDPFDVITKAVKAVEQHLQTFSHRERKKMPDMLNWFGWCTWDAFYTNVTASDVKQ GLQSLEAGGIPPKFVIIDDGWQSVSMDDTGVEFNADNAANFANRLTHIKENYKFQKDG REGHRVEDPALSLRHVITDIKSNNSLKYVYVWHALTGYWGGVKPGVSGMEHYESKVSY PVSSPGVMSNQNCESLESITKNGLGLVNPEKVFTFYNDLHSYLASVGIDGVKVDVQNI LETLGAGHGGRVKLAKKYHHALEASISRNFPDNGIISCMSHNTDGLYSAKKTAVIRAS DDFWPRDPASHTIHIASVAYNTLFLGEFMQPDWDMFHSLHPMAEYHAAARAVGGCAIY VSDKPGQHDFNLLRKLVLPDGSILRAKLPGRPTSDCFFSDPVRDNKSLMKIWNLNDFT GVIGVFNCQGAGWCKKEKRYMIHDQQPGTISGYVRTNDVHYLHKVAAFEWTGDSVVYS HLRGELVYLPKGTSLPITLKSREYEVFTVVPVKEYSNGTKFAPVGLIEMFNSGGAIVS LRCDDDGTNCVVKMKLRGSGLVGVYSSVGRPRSVTVDSEEVEYRCDGESGMVTFTLGV PEKELYLWDVVIQL" gene <235120..>237296 /locus_tag="BRARA_H00036" /db_xref="Phytozome:Brara.H00036" mRNA join(<235120..235347,235430..235497,235583..235611, 235697..235893,236087..236290,236413..236535, 236628..236744,236841..236894,236980..237096, 237198..>237296) /locus_tag="BRARA_H00036" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00036" CDS join(235120..235347,235430..235497,235583..235611, 235697..235893,236087..236290,236413..236535, 236628..236744,236841..236894,236980..237096, 237198..237296) /locus_tag="BRARA_H00036" /codon_start=1 /product="hypothetical protein" /protein_id="RID49222.1" /db_xref="Phytozome:Brara.H00036" /translation="MEFDDEAEHRRLFRVETNSPQRKAVFSLEQGGSLPGKTTKNSVI QSFKIVILSNKLNLLLPFGPLAILVHYLTDNKGWFFLLSLLGITPLAERLGYATEQLA CYTGPTVGGLLNATFGNVTELIISIIALKNGMIRVVQLTLLGSILSNMLLVLGCSFFC GGIVFSRKQQVFDKGNAVLNSGMLLIAVMSLLFPTLLHYTHSEVHAASSELVLSRSTS CIMLVAYAAYLFFQLKSQPSFLTESEETWDDDEVPEISKWEAIIWLLIFTAWVSLLSG YLVDAIEGASVSWNVPISFISVILLPIVGNAAEHAGAIMFAMKDKLDLSLGVAIGSSI QISMFAVPFCVVISWMMGEQMDLNFQLFETATLFISVIVVAFFLQEGTSNYFKGLMLI LSYLIVAASFFVHQDPDQG" gene 250083..>254139 /locus_tag="BRARA_H00037" /db_xref="Phytozome:Brara.H00037" mRNA join(250083..250277,250547..250744,250863..250974, 251092..251401,251493..251592,251684..251743, 253956..254030,254119..>254139) /locus_tag="BRARA_H00037" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00037" CDS join(250914..250974,251092..251401,251493..251592, 251684..251743,253956..254030,254119..254139) /locus_tag="BRARA_H00037" /codon_start=1 /product="hypothetical protein" /protein_id="RID49223.1" /db_xref="Phytozome:Brara.H00037" /translation="MSSLSALHQPESFPFDRDMSGVEENGALDEIRERRSDFEISEED ERRRSKIGSLKKKAINASTKFTHSLKKRGKKKIDYRFPPVVSIEDVRDEKEESVVLEF RRNLLERDLLPPRHDEYHTLLRFLKARDFNIEKTIQMWEDMLRWRKEYGTDTILEDFE FQELEEVLQYYPQGYHGVLHATVVKQMEITEMLESLRESQLHRRRLFC" gene 260135..>262335 /locus_tag="BRARA_H00038" /db_xref="Phytozome:Brara.H00038" mRNA join(260135..260293,260437..260604,260727..260813, 260977..261111,261195..261305,261385..261476, 261555..261660,261750..261836,261922..>262335) /locus_tag="BRARA_H00038" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00038" CDS join(260147..260293,260437..260604,260727..260813, 260977..261111,261195..261305,261385..261476, 261555..261660,261750..261836,261922..262335) /locus_tag="BRARA_H00038" /codon_start=1 /product="hypothetical protein" /protein_id="RID49224.1" /db_xref="Phytozome:Brara.H00038" /translation="MSNYQAEEDAAYMDHVDDDMEDVDDDLDDNFHGDDMAASDSDVD EFDYSSNKIADTSAEQARKGKDIQGIPWDRLSITREKYRQTRLDQYKNYENVPNSGNS SGKDCMVTQKGAIFYDFWRNSRSIKSSILHFQLRNLVWATSKHDVYLMSQFLVSQYST LTSGKHEILNVQGHVSPSEKHPGSLLEGFTKTQVSTLAVKDKFLVAGGFQGELICKHL DRPGVSFCSRTTYDDNAITNAIEIYNKPSGALHFTASNNDCGVRDFDMETYQLVNYFR FPWPVNHISMSPDGKLLTIVGDNPEGLLVDPNTGKTLETLAGHLDFSFASAWHPDGLT FSTGNQDKTCRVWDIRNLSKSVAVLRGNLGAIRSIRYTSDGKYMAMAEPADFVHVYDV SKGYETEQEIDFFGEISGISCSPDTEALFIGVWDRTYGSLLEYGRHHNYSYLDSFM" gene 263078..263957 /locus_tag="BRARA_H00039" /db_xref="Phytozome:Brara.H00039" mRNA 263078..263957 /locus_tag="BRARA_H00039" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00039" CDS 263130..263615 /locus_tag="BRARA_H00039" /codon_start=1 /product="hypothetical protein" /protein_id="RID49225.1" /db_xref="Phytozome:Brara.H00039" /translation="MAATSASALLSPTTFSTVISQKNPNSISFHGLRPLRLGGSSALP KLSTTSGRRSSSSAVVRAELSPSVVISLSTGLSLFLGRFVFFNFQRENVAKQVPEQNG KTHFEAGDDRAKEYVSLLKSNDPVGFNIVDVLAWGSIGHIVAYYVLATSSNGYDPSFF G" gene 268775..269834 /locus_tag="BRARA_H00040" /db_xref="Phytozome:Brara.H00040" mRNA join(268775..268970,269074..269122,269276..269834) /locus_tag="BRARA_H00040" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00040" CDS join(268920..268970,269074..269122,269276..269622) /locus_tag="BRARA_H00040" /codon_start=1 /product="hypothetical protein" /protein_id="RID49226.1" /db_xref="Phytozome:Brara.H00040" /translation="MEQTFIMIKPDGVQRGLVGKIICRFEQKGFTLKGLKLITVDRPF AEKHYQDLSAKPFFSGLVDYIISGPVVAMIWEGKNVVLTGRKIIGATNPAASEPGTIR GDFAIDIGRNIIHGSDSVESANKECALWFPDGPVNWQSSLHSWIYE" gene 270277..274409 /locus_tag="BRARA_H00041" /db_xref="Phytozome:Brara.H00041" mRNA join(270277..270876,270962..271364,271831..271890, 272858..272925,273231..273656,273734..273966, 274101..274409) /locus_tag="BRARA_H00041" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00041" CDS join(270445..270876,270962..271364,271831..271890, 272858..272925,273231..273656,273734..273966, 274101..274182) /locus_tag="BRARA_H00041" /codon_start=1 /product="hypothetical protein" /protein_id="RID49227.1" /db_xref="Phytozome:Brara.H00041" /translation="MSSGGEYNEDRHLLRSTDGDEVVNGRGEGDLDVESQSPAIRNST GGVRNLLKHLDRRFSLSGRRLSFKRLENIRVDRSHHHPSSSSPLSAAGEEDGVDDRDD EYGFDEGNDVLGDSAPPEWALLLIGCLIGVAAGICVAGFNKGVHVIHEWSWAGTPNEG AAWLRLQRLADTWHRILLIPVTGGVIVGMMHGLLEILDQIRQSTSSQGQGVDLLAGIF PVIKAIQAAVTLGTGCSLGPEGPSVDIGKSCANGFALMMENNRERRIALTAAGAASGI ASGFNAAVAGCFFAIETVLRPLLPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVV NQDKESDSSEGRNAGRGYSTLSPSHLKTEGIWRRTDNANSLELTVMENPDHKSFLDEE TILDDLKVLRVMPKNYVKVCLGTTLREARNILKDSHQNCLVVVDEDDFLAGILTHGDI RRCLSNKVSPIVDENTCTVSSVCTKKITYRGQERGLITCYPDTTVGVAKELMEARGVK QLPVVKRGEVSHKGKKRKLLGLLHYDSIWTFLRDEMSRRRSINERRKDKYEEVGAANV H" mRNA join(272842..272925,273231..273656,273734..273966, 274101..274409) /locus_tag="BRARA_H00041" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00041" CDS join(272848..272925,273231..273656,273734..273966, 274101..274182) /locus_tag="BRARA_H00041" /codon_start=1 /product="hypothetical protein" /protein_id="RID49228.1" /db_xref="Phytozome:Brara.H00041" /translation="MCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQDKE SDSSEGRNAGRGYSTLSPSHLKTEGIWRRTDNANSLELTVMENPDHKSFLDEETILDD LKVLRVMPKNYVKVCLGTTLREARNILKDSHQNCLVVVDEDDFLAGILTHGDIRRCLS NKVSPIVDENTCTVSSVCTKKITYRGQERGLITCYPDTTVGVAKELMEARGVKQLPVV KRGEVSHKGKKRKLLGLLHYDSIWTFLRDEMSRRRSINERRKDKYEEVGAANVH" gene 277998..283017 /locus_tag="BRARA_H00042" /db_xref="Phytozome:Brara.H00042" mRNA join(277998..278350,278478..283017) /locus_tag="BRARA_H00042" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00042" CDS 278599..282096 /locus_tag="BRARA_H00042" /codon_start=1 /product="hypothetical protein" /protein_id="RID49229.1" /db_xref="Phytozome:Brara.H00042" /translation="MKLLSLIICFSATLIVMTTHGKRLISDENNSYETALLTAFKQTS VKSDPNNILGNWKHVSGRGSCSWRGVSCSDYGRVIGLDLRNGGLTGTLNLVNLTALTS LQNLYLQGNDFSSGSVSSSSGDGCYLQNLDLSSNSLSDYSMVDYIFSTCTNLVSVNFS NNKLTGKLGSPPSSKALTTVDLSYNILSEDIPESFIPASLKYLDLTHNNFSGDFSDLS FGFCGNLTFLSLSQNNISGDHFPLSLTNCKLLETLNISRNNLVGKIPGGGEYWGIFQN LKHLSLAHNRFSGEIPPELSRLCRTLETLDLSGNSLSGELPPPFAACVSLQSLNLGNN FLSGEFLTTVVSKIQGIAYLYVAYNNISGSVPSSLTNCTNLRVLDLSSNGFTGNLPSG FCSYSPLLEKLLMANNYLSGTVPMELGMCKSLKTIDLSFNALTGPIPNEVWMLPNLSD LVMWANNLTGRIPEGVCVKGGNLETLILNNNLLTGSIPDSISKCTNMIWISLSSNRLT GTIPSGIGYLTKLAILQLGNNSLSGSVPPQLGDCKSLIWLDLNSNNLTGPLPGELASQ AGLVMPGSVSGKQFAFVRNEGGTDCRGAGGLVEFEDIRAERLERFPMVHSCPATRIYS GMTMYTFYANGSMIYFDVSYNSVSGFIPPSYGNMGYLQVLNLGHNRLTGTIPDSLGGL KAIGVLDLSHNDLQGYIPGSLGSLSFLSDLDVSNNNLTGPIPFGGQLTTFPVTRYANN SGLCGVPLRPCGSAPRRPVTAQVHPKKQTVATAVIAGIAFSFMCLVMLVMALYRAWKV QKKEQKREKFIESLPTSGSCSWKLSSVPEPLSINVATFEKPLRKLTFAHLLEATNGFS AETMIGSGGFGEVYKAQLKDGSTVAIKKLIRITGQGDREFMAEMETIGKIKHRNLVPL LGYCKIGEERLLVYEYMKWGSLETVLHEVSRKGGVFLNWAARKKIAVGAARGLAFLHH SCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLVSALDTHLSVSTLAGTPGYVPPEY YQSFRCTAKGDVYSYGVILLELLSGKKPIDPGEFGEDNNLVGWAKQLYREKRGVEILD QELVTEKSGDVELFHYLKIASQCLDDRPFKRPTMIQVMAMFKELKADSAEEDDSLDEF SLKETPLVEESREIRSLKI" gene <315855..>318464 /locus_tag="BRARA_H00043" /db_xref="Phytozome:Brara.H00043" mRNA join(<315855..316217,316432..316573,317338..317590, 317670..317822,318032..>318464) /locus_tag="BRARA_H00043" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00043" CDS join(315855..316217,316432..316573,317338..317590, 317670..317822,318032..318464) /locus_tag="BRARA_H00043" /codon_start=1 /product="hypothetical protein" /protein_id="RID49230.1" /db_xref="Phytozome:Brara.H00043" /translation="MSDWSGNSIEIWNPPKMSDCDGIVRAMLEQTDNGLNSISQIFPK TNLSTNHQSEQRSSLGERVSSRIRFNVPPLETENIMSPFAVSRSQTNVPSPLIAVSPG FSPSALLQSPKKFSNSFSQHIIQSRVANDGPPEMVESSGGDYATTTIFNNDVPHQPMN FDHMPHHEGFYDIPTEQSVYVPSHESHADLIGAPLVTSFESDEVANETDIMNIISLDS EDEDEDYKEDEEYKEDENVDEEDDSVDELPPRKRRKYEVSDMFGAKRTRKDERVILRM ECEEDNPDDGYQWRKYGRKIVNGNSNPRSYYKCTYGGCNVKKHVERGADDVKLLVVTY DGKHNHPIPTGRSSNRSGPRNRSGFSVSQTPRLGRLPSSSSACQYCYSSLEPHPDMAL LYMTGLSKLQSFPVNHNHGFMYRHDEPIIDRVIPDGTEVYNGMKDRLLPNFGFNF" gene complement(363607..366674) /locus_tag="BRARA_H00044" /db_xref="Phytozome:Brara.H00044" mRNA complement(join(363607..364055,364170..365279, 366296..366674)) /locus_tag="BRARA_H00044" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00044" CDS complement(join(363752..364055,364170..365279, 366296..366549)) /locus_tag="BRARA_H00044" /codon_start=1 /product="hypothetical protein" /protein_id="RID49231.1" /db_xref="Phytozome:Brara.H00044" /translation="MKVGVKLLAVCLCVATVTVVMVQAEDPYFHHVWNVTYGSASPLG VPQQVILINGQFPGPNLNSTSNNNVIVNVFNNLDEPFLITWNGIQHRKNSWQDGTAGT MCPIPPGKNFTYHFQPKDQIGSYFYYPTTAMHRAAGAFGGLRVNSRLLIPVPYADPED DYTVLINDWYTKSHTQLKKFLDSGRTIGRPDGILINGKAGKGDGSDQPLFTLKQGKTY RVRICNVGLKTSLNFRIQNHKMKLVEMEGSHVLQNDYDSLDVHVGQCFGVIVTANQEP KDYYMVASTRFLKKALATTGLLRYEGGKGLASPQLPAAPVGWAWSLNQFRSFRWNLTA SAARPNPQGSYHYGKINITRTIKLVNTQGKIDGKLRYALSGVSHTDPETPLKLAEYFG VADKVFKYDIISDNPTSDQIKNIKIEPNVLNITHRTFIEVVFENHEKSVQSWHLDGYS FFAVAVEPGTWTPEKRKNYNLLDAVSRHTVQVYPKCWAAILLTFDNCGMWNIRSENSE RRYLGQQLYASVLSPEKSLRDEYNMPESSLQCGLVKDKPKINPYAGA" gene 369667..372363 /locus_tag="BRARA_H00045" /db_xref="Phytozome:Brara.H00045" mRNA join(369667..369954,370586..371692,371893..372363) /locus_tag="BRARA_H00045" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00045" CDS join(369710..369954,370586..371692,371893..372190) /locus_tag="BRARA_H00045" /codon_start=1 /product="hypothetical protein" /protein_id="RID49232.1" /db_xref="Phytozome:Brara.H00045" /translation="MEGRLLTVLVCLVSTVAIVNAGDPYFFYTWNVTYGTASPLGVPQ KVILINGQFPGPNLNSTSNNNVVVNVFNNLDEPFLLTWSGIQHRKNCWQDGVAGTSCP IPAGQNFTYHFQPKDQIGSYFYYPTTSLHRFAGGFGGLRVNSRLLIPVPYADPEDDYT VLINDWYTSGHTALKNFLDSGRTLGLPNGVLINGKSGKVGGKNEPLFTMKPGKTYKYR LCNVGFKSTLNFRIQNHKMKLVEMEGSHVLQNDYDSLDVHVGQCFSVLVTANQEAKDY YMVASTRFLKKELSTVGVIRYAGSNVQASAELPKTPVGWAWSLNQFRSFRWNLTSNAA RPNPQGSYHYGKINITRSIKLVNTKNVVDGKVRYGFNGVSHVDTETPLKLAEYFQMAE KVFKYDVIKDEPAAQITALTVQPNVLNITFRTFVEIIFENHEKSMESFHLDGYSFFAV ASEPGRWTPEKRKNYNLLDAVSRHTVQVYPKSWSAILLTFDNAGMWNIRSENLERRYL GQQLYVSVLSPEKSLRDEYNIPLNTNLCGIVKGLPLPASYT" gene complement(<372739..>376606) /locus_tag="BRARA_H00046" /db_xref="Phytozome:Brara.H00046" mRNA complement(join(<372739..372857,372931..374044, 374135..374262,374750..374787,374868..375083, 375164..375325,375414..375592,375672..375778, 375965..376120,376215..376298,376408..>376606)) /locus_tag="BRARA_H00046" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00046" CDS complement(join(372739..372857,372931..374044, 374135..374262,374750..374787,374868..375083, 375164..375325,375414..375592,375672..375778, 375965..376120,376215..376298,376408..376606)) /locus_tag="BRARA_H00046" /codon_start=1 /product="hypothetical protein" /protein_id="RID49233.1" /db_xref="Phytozome:Brara.H00046" /translation="MERTRSKRVQNLPETVHSLIGLRSHLTSSWVKSVSNIAKNVSSS SEISSTSKEEDDSAFIEEQSIRNQLSTLTVQVNDQNKRRRQILNEFLDLKGNIRVFCR VKPLDSKNMRAPVASDTRNVFIKLTESKRKTYNFDRVFQPDSSQDDVFLEIEPVIKSV MDGYNACIFAYGQTGTGKTFTMEGLPESPGIVPRAIKGLFKQVEESNHKFVIKFSMLE IYMGNLRDLLVSQGTKPIGPIPPSLLIHTNPKGEIDIENLVTRKVNDFDEVFKLYKLG CRNRATAFTNSNSASSRSHCMIRVSITCAGATERRRVRNKIWLVDLGGSERVLKTKAT GRRFDEGKAINLSLSALGDVINSLQRKNAHIPYRNSKLTQVLKDSLGQDSKTLMLVHI GRKEDDLCETICSLDFATRAKNVHLGQEESKEAQEKKETVMMNLQKTMETIEKDRETT LKEIRYLNETLEKLYGKPHVTEEAEEVDETREEIQVTPKLRRNKSRRASDVFCSFMRP TASSNRRLSGADFSPISNSPGFKLRRNSMAHVRSESVCLPMMKNVYDSLCDSSERSVS KSTCAMSQNRTDDAATVYSQDISECDIKLVVSEHKTEVQHTGQGSARKACSKIGIFEK NVNQKTEFSRINSWLRSQSENRSCVLDKKPRRLNKNISLGRSSNGDQTLEDIEESKAG IKHPLLLKDVFELEYMCSADTEDQILSKYPNPNEEDYASLHHLPSFRYDGLSQHIDDA WFGVARSANREQDSPSSGLLLELKQPLPNSQEGLAFVEEVVPLLLRPQGILVERGRGA HTFMQKLEALCYRTLVGVGLIDVSYGSDFFNGLTQ" gene 384999..393659 /locus_tag="BRARA_H00047" /db_xref="Phytozome:Brara.H00047" mRNA join(384999..385274,385357..385565,386005..386173, 386263..386409,386701..386822,386905..387017, 387411..387550,387636..387801,387887..388850, 389017..389169,389327..389384,389914..390047, 390135..390259,390371..390713,390820..391003, 391103..391603,391699..393222,393301..393659) /locus_tag="BRARA_H00047" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00047" CDS join(385085..385274,385357..385565,386005..386173, 386263..386409,386701..386822,386905..387017, 387411..387550,387636..387801,387887..388850, 389017..389169,389327..389384,389914..390047, 390135..390259,390371..390713,390820..391003, 391103..391603,391699..393222,393301..393431) /locus_tag="BRARA_H00047" /codon_start=1 /product="hypothetical protein" /protein_id="RID49234.1" /db_xref="Phytozome:Brara.H00047" /translation="MGKFEIIEEVEGDRVGTTDYYFDRIGEPLSIKEEDAQYDLENPP SQPLAISERRGLVFIAHSSGFLVGRTKDVIAASKNSDGKGSRVCVQEIALVDVPVGDV RILSLSADDSILAASVDAEIHFFSVDSLLKKDAKPSFSYSPDESGFVKDFRWTRKDKH SYLVLSSNGKLFHGTDSAPPKHVMDGVDAVEWSSKGSYIAVAQDNSLRILSSKFNEKR CIALSFDSWIGDSDEDCVVKVDSIKWIRQNCILLGCFQLIDGMEENYLVQVIRSPDGK ITDGSSNLVALSFSDLFPCSMDDLVPVGVGPHLLFSYVDQCKLAITANRKSIDEHIVL LNWSPGDDESAVSVVDIDRETFLPRIGLQENGDDNMIMGLCVDMVSVEGTVNVRSGGD ELKELPPFCVLACLTLEGKLVMYNVASVSGLPDSSDVDLASSSDIEDAYAPSVGDDLP GQSSEESEQQRKFNFSVQNEQKHLNSENSVSLLPTEQRFPKEEISKKETESVKSSVSG DNNVKQEPYAGKLLQAADGQQSMIPRQFGTSFVQPPSSVGFETKKFAGFGHIFPVPDK PQKDISEQSKSMNFQTRFGSKSTPGLFAFSGPQNASVTPPQNTPAQLWSSGKGVSPPS FGSGLFPSVKDTQQKQPEQTGTGYVNPPTCIRENPVQAIETTRASALSILTPPLGQSQ DADEGVEKIDPLPSIRASQLSLQVKSSFEKSSNHQQHKTPLNAGPLRLEHAMSKQTSN INEMAREMDALLQSIEGPGGFKDSCTSLLTGHIEELEQGLEILAGKCQTCKIITHEQQ GEIQHLLDKTIQVLAKKTYMEGMYKQTSDDQYWQLWNRRRLNPELEAKRQHIVKLNKD LTHQLIELERYFNRLELDRYQDDGGLPLSRRGVPNRSAPSRRVQSLHSLHNTMSSQLA AAEQLSDCLSKQMTFLKIDSPVKKNVKQELFETIGIPYDTSFNSPDAVKANNASSAKN LLLSSIPASINAQSKQRQSSGTKSSDPETARRRRESLDRNWAAFEPPKTTVKRMLLQE QQKSGTNQPIVSSERLRPKVDTQEQSLLRLKDHASPVVSSNKGIMKSFQQNTSEAQST PFKSTRAMPQSNSPFNHSSASASKPSFNWSGNKSNSIASYAEESAPSQSKDTKTPTVF EQTEKKAGGFKLPEGKANMFPDRSAGSVQRPTGAPVQSQFSFNTNSSMPGNRLTFPAA TVSASSSPLSSTSLDSVSTLPTPSSRSLPTSTKDSVSAPTSIPISLASVPQTLSVAPT STVSATGISVPFGKSLTSASLDLNQAATSTPSPSPGPTAGFSFKLPASSPSSPEIVSS STGQSSVVPPSSTASQVSTSLVSATSSPTDSNRLFSSTSSSLTPPITSTAPGVFKFPQ VSTPSSAVSTAEPVSEPKKLETQSSSILSTGSTAEPVAKAATSQTELLPVKSEILTSE TTVTAPVSTSGFLSGFSSATQSSPVSMAPPSFSWPGSSQPQQPSPTPVSFPTSFSTSA SPLGEKKDTVDTQEDEMDEEAPEASPAAELSMSGFGGFGLGSTPNPAAPKSNPFGGSF GNATTATSNLFNLTVPSGELFRPASFSFQNPQPSQPTGFGGFSTTPSQTPTQGGFGQP SQVGVGQQALGSVLGSFGQSRQIGAGLPGATFGSPTGFGGSSPGSGLPNAPASGGFAA AGSSATGGFAAMASTGRGFAGASSSPTGGFAGLASGSGGFGGAAPGGGAGGGFGGVGS GSGGFGSFAPPNSGGFAGASGGGGFGGFGGQGQGQGGGGGFSGFGGNTGGAGGKPSEL FTQMRK" gene 393871..>394726 /locus_tag="BRARA_H00048" /db_xref="Phytozome:Brara.H00048" mRNA join(393871..394429,394513..>394726) /locus_tag="BRARA_H00048" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00048" CDS join(394266..394429,394513..394726) /locus_tag="BRARA_H00048" /codon_start=1 /product="hypothetical protein" /protein_id="RID49235.1" /db_xref="Phytozome:Brara.H00048" /translation="MNLGELNNNKVWEIKALKKKAREDEARKILEKVANQVQPIMTRR KWRVKLLSEFCPTNPRLLGVNVNRGVQVKLRLRRVNNDGDFLSYHEILDTMLHELCHN AHGPHNASFYKLWDELRKVLSFA" gene 397919..400146 /locus_tag="BRARA_H00049" /db_xref="Phytozome:Brara.H00049" mRNA join(397919..398295,398375..398568,398636..398975, 399256..399351,399475..400146) /locus_tag="BRARA_H00049" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00049" CDS join(398200..398295,398375..398568,398636..398975, 399256..399351,399475..399519) /locus_tag="BRARA_H00049" /codon_start=1 /product="hypothetical protein" /protein_id="RID49236.1" /db_xref="Phytozome:Brara.H00049" /translation="MMLCGSLRDRIQPWLRDYVKLQSLAVFLIYIQIGCALIGSLGAL YNGVLLINLAIALFALVAIESNSQSLGRTYAVLLFCALLLDISWFILFTEEIWSISVE TYGTLYIFSVRLTMAMEMIGFFVRLSSSLLWFQIYRLGASIVDTSSSLPRETDSDLRN SFLNPPTPAIIDRQCSGAAEEILSGSIYDPAYYTSLFEDAEAQSNMSSPKVNHYSAEY NGLPSAAEASRIKSPGSRSLHAIDEEKGLKKQGTSSSL" gene 400305..401991 /locus_tag="BRARA_H00050" /db_xref="Phytozome:Brara.H00050" mRNA join(400305..400579,400828..401991) /locus_tag="BRARA_H00050" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00050" CDS 400849..401790 /locus_tag="BRARA_H00050" /codon_start=1 /product="hypothetical protein" /protein_id="RID49237.1" /db_xref="Phytozome:Brara.H00050" /translation="MEEEEGAVAYWCHMCSRTVDPLMEAEIKCPFCASGFVEEMAEEE EEEHSPNTNSLLAPILMQVINESSLLTSNQSVDEDAQTEETESGNDVDSQLQEILRRR RARRSVSVMQLLDDGDGDGDRERGSLIVVSGASLSEYFIGPGFEALLQRFTDNDPNRY GTPPAQKEAVEALASVKILEPTLQCSVCLDDFEIGVEAKEMPCEHKFHGECLLPWLEL HSSCPVCRYELPSDETKTETARTQPNGDGGGSESSSFASIQEGSENSDGSHHPEEEEE EDSDDDGDDGVEFSIPWLLSSLFSSSQDSSNPSSGTH" assembly_gap 409409..419408 /estimated_length=unknown /gap_type="between scaffolds" assembly_gap 420152..430151 /estimated_length=unknown /gap_type="between scaffolds" gene 465791..467985 /locus_tag="BRARA_H00051" /db_xref="Phytozome:Brara.H00051" mRNA join(465791..466019,466253..466331,466441..466528, 466669..466740,466799..466849,466971..467015, 467115..467207,467281..467382,467478..467558, 467643..467985) /locus_tag="BRARA_H00051" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00051" CDS join(466255..466331,466441..466528,466669..466740, 466799..466849,466971..467015,467115..467207, 467281..467382,467478..467552) /locus_tag="BRARA_H00051" /codon_start=1 /product="hypothetical protein" /protein_id="RID49238.1" /db_xref="Phytozome:Brara.H00051" /translation="MAEQGMEGSQPVDLMKHPSGIIPTLQNIVSTVNLDCKLDLKSIA LQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEHFSKLAARKYARIVQ KLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHSAFSSYEPELFPGLIYRMKQPKI VLLIFVSGKIVITGAKMREETYTAFENIYPVLTEFRKIQQ" gene complement(468046..470324) /locus_tag="BRARA_H00052" /db_xref="Phytozome:Brara.H00052" mRNA complement(join(468046..468228,468309..468395, 468467..468544,468622..468717,468793..468879, 468958..469026,469104..469291,469353..469410, 469485..469544,469612..469647,469734..469845, 470014..470324)) /locus_tag="BRARA_H00052" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00052" CDS complement(join(468193..468228,468309..468395, 468467..468544,468622..468717,468793..468879, 468958..469026,469104..469291,469353..469410, 469485..469544,469612..469647,469734..469845, 470014..470180)) /locus_tag="BRARA_H00052" /codon_start=1 /product="hypothetical protein" /protein_id="RID49239.1" /db_xref="Phytozome:Brara.H00052" /translation="MASLLGRFCRKLVVPGSAHGSRMISTTACDSEAVKSLNLYSAIN QALHIALETDPRSYVFGEDVGFGGVFRCTTGLAERFGKNRVFNTPLCEQGIVGFGIGL AAMGNRAVVEIQFADYIYPAFDQIVNEAAKFRYRSGNQFNCGGLTIRAPYGAVGHGGH YHSQSPEAFFCHVPGIKVVIPRSPREAKGLLLSSIRDPNPVVFFEPKWLYRQAVEEVP EHDYMIPLSQAEVIREGNDITLVGWGAQLTVMEQACLDAEKEGISCELIDLKTLLPWD KETVEASVKKTGRLLISHEAPVTGGFGAEISATILERCFLKLEAPVSRVCGLDTPFPL VFEPFYMPTKNKILDAIKSTVNY" gene 477229..480760 /locus_tag="BRARA_H00053" /db_xref="Phytozome:Brara.H00053" mRNA join(477229..477279,477715..477937,478026..478151, 478234..478371,478460..478555,478631..478873, 478959..479193,479282..479451,479542..479619, 479712..479783,479847..479951,480022..480114, 480199..480291,480374..480497,480597..480760) /locus_tag="BRARA_H00053" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00053" CDS join(477722..477937,478026..478151,478234..478371, 478460..478555,478631..478873,478959..479193, 479282..479451,479542..479619,479712..479783, 479847..479951,480022..480114,480199..480291, 480374..480497,480597..480610) /locus_tag="BRARA_H00053" /codon_start=1 /product="hypothetical protein" /protein_id="RID49240.1" /db_xref="Phytozome:Brara.H00053" /translation="MASTFTATSSIGSMVAPNAHKTDKKLMNKLSSSSFGRRQNVFPK LRRSSPAVVCAAKELHFNKDGTTIRKLQAGVNKLADLVGVTLGPKGRNVVLESKYGSP RIVNDGVTVAREVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGFIAEGVK VVAAGANPVLITRGIEKTAKALVAELKKMSKEVEDSELADVAAVSAGNNAEIGSMIAE AMSRVGRKGVVTLEEGKSAENALYVVEGMQFDRGYVSPYFVTDSEKMSVEFDNCKLLL VDKKITNARDLVGVLEDAIRGGYPILIIAEDIEQEALATLVVNKLRGTLKIAALKAPG FGERKSQYLDDIAILTGATVIREEVGLSLDKAGKEVLGHAAKVVLTKETSTIVGDGST QDAVQKRVTQIKNLIEQAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRV EDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKAALDNDEEKVGADIVKRALSYPLK LIAKNAGVNGSVVSEKVLSNDNVKFGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAAS VAKTFLMSDCVVVEIKEPEPVPVGNPMDNSGYGY" gene complement(481030..482852) /locus_tag="BRARA_H00054" /db_xref="Phytozome:Brara.H00054" mRNA complement(join(481030..481974,482102..482333, 482424..482852)) /locus_tag="BRARA_H00054" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00054" CDS complement(join(481917..481974,482102..482333, 482424..482475)) /locus_tag="BRARA_H00054" /codon_start=1 /product="hypothetical protein" /protein_id="RID49241.1" /db_xref="Phytozome:Brara.H00054" /translation="MINCFKDPLHVLMTVLLSTNDDDDGHEEAVVDDHRNREDPKVDA KEDECEVVCPTLTLTSSLRKVDSNKKEKKKVQWVDLMGVKELAEIREFEPSGEDDIDS DGEKNCVCVIL" gene complement(<484134..>485300) /locus_tag="BRARA_H00055" /db_xref="Phytozome:Brara.H00055" mRNA complement(<484134..>485300) /locus_tag="BRARA_H00055" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00055" CDS complement(484134..485300) /locus_tag="BRARA_H00055" /codon_start=1 /product="hypothetical protein" /protein_id="RID49242.1" /db_xref="Phytozome:Brara.H00055" /translation="MGKNDFLTPKAIANRMKAKGLQKLRWYCQMCQKQCRDENGFKCH CMSESHQRQMQVFGQNQRRVLQGYSEEFEKTFLDLMRRSHRFSRIAATVVYNEYINDR HHVHMNSTEWATLTEFIKYLGKTGKCKVEETPKGWFITYIDRDSETIFKERLKNKRVK SDLAEEEKQEREIQKQIERAKEGEGEGEEKKKGGDFALKSGVVKVGFSLGGGAKQVVT TGESSKRVFEEEEENEREEKKRKKGGDLEKERRSALDELMKEEERKKERMNRKPYWLF QGIVVKVMSKALADKGYYKQKGVVRKVIDDYVGEIEMIDSKHVLRVDQEELETVIPQI GGLVKIVNGAYRGSVAKLLGVDTEKFCAKVQIEKGVYEGRVIKSIEYEDICKLA" gene complement(486172..488883) /locus_tag="BRARA_H00056" /db_xref="Phytozome:Brara.H00056" mRNA complement(join(486172..486481,486579..486815, 486919..487128,487361..487627,487738..487902, 488139..488546,488661..488883)) /locus_tag="BRARA_H00056" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00056" CDS complement(join(486341..486481,486579..486815, 486919..487128,487361..487627,487738..487902, 488139..488546,488661..488729)) /locus_tag="BRARA_H00056" /codon_start=1 /product="hypothetical protein" /protein_id="RID49243.1" /db_xref="Phytozome:Brara.H00056" /translation="MEDINRKEKLFLNYVPVYIVLPLFGIVAKDNKHAELETTERNLK RLKKEACIDGVMVDVWWGIVESKAPKEYNWNGYKELFKMVKRLELKIHAIMSFHKSSQ NRKTTSLPSWVVQVGKENPDIYYTDRKGFRNDECLSLGVDNEPLFDDVNGTKRTAIQI YSDYMNSFKENMAEFLEDGVIGAIEVGLGPNGELCYPSFPSDQRWTFPGIGEFQCYDK YLKKDFENAAKKAGHSMLDLSKEDFGDYNSKPDETTFFKENGTYTTEKGEFFLEWYSN KLIFHGDQILREANKIFTGLKIDLVAKVSGVHWLYYHPSHGAELTAGYYNLYDRDGYR PIARMLYKRNCFLNFSCLEMKYYNYSEEALSAPEELVKAVLSKAWKEGIEVIGANTSE IKNAEGYNQVLLNARPNGSNPKGKPKLKVHSFMYLRLSETIFSRNYDMFKKFVRNMHA DQDYCGDAEKYAHKVESNSAITIEEILAATKSSGSFKWDEETEAKVDG" gene complement(<489361..>490479) /locus_tag="BRARA_H00057" /db_xref="Phytozome:Brara.H00057" mRNA complement(<489361..>490479) /locus_tag="BRARA_H00057" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00057" CDS complement(<489361..490479) /locus_tag="BRARA_H00057" /codon_start=1 /product="hypothetical protein" /protein_id="RID49244.1" /db_xref="Phytozome:Brara.H00057" /translation="MSSETKDWDRQKIERSFPLLLGNILSIKPSKKGGEDKLIWLKHK SGAYTTKTRYYSAREKHQQQLDNNSEATITQEWLNEVWKITTAPKLKLFIWKIKHGAL PVGDRLEARHILTGAKCTHCGSDETITHLFFQCPFALRVWELVPFSGGFAPLSQNSFN EEWQRLLQLTVLPPVGLGRCPLAPWIIWSIWSARNQKIFQQRSFTAQETILKAMVDAK EWQDAQSFELPLILRKPHPQDTLAVEITCRSDAAWKKETHTAGMAWSFSRNQSERISS HSQSSAFVISSIMAEGLAMLAAVEHAIDLQMRSVVFKSDSLQLVAAIADGTGISELHG ILSDIYFLSQCFDVVSFRFCRCELLCFEDTLAKKALSDF" gene complement(<493462..>495126) /locus_tag="BRARA_H00058" /db_xref="Phytozome:Brara.H00058" mRNA complement(join(<493462..494411,494448..>495126)) /locus_tag="BRARA_H00058" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00058" CDS complement(join(493462..494411,494448..495126)) /locus_tag="BRARA_H00058" /codon_start=1 /product="hypothetical protein" /protein_id="RID49245.1" /db_xref="Phytozome:Brara.H00058" /translation="MARRLSYAEKGKNIASKGSPPRKGRVILPDFDNSELLKRHELTL IGRVTNPKAQRLWSLIPFLGDLWKCSSRPVGSDLGQGRFQFQFASDEDLEKVLDNGPY HFAKWMFIIQRWEPTVSPNFPSQIPFWIQVQDVPEHLWNEAILRGIGADIGTFDCWEI TASKARLRCYINGLQPLIFQTTLEFRNGDEVNAVLVYEKLEKFCKICYRLDHEREDCP QNPSPKMKRELPPHKKLDHPHDENLPRKDETRQSSGFMRDARRAGTGLHHYSHRNSED RRPYETSRRVENYSYSRTRNYSPSHRSHSSQVSQRQNGPRWVDSGRRLPAPEASHSRV PENSITREKEHTFPSNSLLHSSAQRTERNSSPQARHQRTSQNPNLPINISLEAINDAR EELREVMIQYVNVADPSESAARRERMRYAEEHGETEEVIRNMVIAATATATPETRTGN EDQPSAERVPALARLVPAHPVTIPTDDSERVPAKKRLGRPPLNKNKKQTNPLGVTAGQ TSKKRKLLRSGYHLKDAVLPLLQQEELLQIERLQ" gene 498110..499935 /locus_tag="BRARA_H00059" /db_xref="Phytozome:Brara.H00059" mRNA join(498110..499435,499560..499935) /locus_tag="BRARA_H00059" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00059" CDS join(498151..499435,499560..499723) /locus_tag="BRARA_H00059" /codon_start=1 /product="hypothetical protein" /protein_id="RID49246.1" /db_xref="Phytozome:Brara.H00059" /translation="MALECPFSHLSKPHNVFRRDSPQLFRCYVCNEESKEYSESYYCS TCKKESHIGCYKFQPQIKQHPYHPSHPLTLVITPNSNSLIPNSWPDEEVISPLDENLG GCKCCRRQLQDRYYHCSICKFSINATCAINPPLLTIVPKKSHEHMAFTLFPRRISLPC DACGVLLDKGSDHVYTCLSSNYIAHRKCISSLPCVIKITRHIHRLQHTPSLFSPNADT SGFACGVCHKPVDVNYGQYSCIKGCHYAVHSKCATRKDVWDGKELKGVREEQEKDGVV ELFQRIDDETILHCNHEHHLKYSGENNDVCDGNKYCQACLLLIVDSDSLYSCMKCNFI LHEACALLPRKIAHPLHKHPLTLLPFPTNLYLIQFKVFVEGMFKCSGCHQRGCGFMYR CTEKGCRFQLDVRCASLEESFIHGSHGHPLFLSLNKGTHSHFVTEKKVQEVVNTGVSY VKQHYIQVNGSIHVTFVESLFMLLVCWGKRYT" gene 513722..516147 /locus_tag="BRARA_H00060" /db_xref="Phytozome:Brara.H00060" mRNA join(513722..514140,514363..514449,514539..514802, 514875..515039,515130..515183,515258..515404, 515486..516147) /locus_tag="BRARA_H00060" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00060" CDS join(513967..514140,514363..514449,514539..514802, 514875..515039,515130..515183,515258..515404, 515486..515863) /locus_tag="BRARA_H00060" /codon_start=1 /product="hypothetical protein" /protein_id="RID49247.1" /db_xref="Phytozome:Brara.H00060" /translation="MGAAHLSREKVARGFLVCFWLWGLFSLSYAARSGVSKQKFEVKK HLNRLNKPAVKSIQSPDGDIIDCVPITKQPAFDHPFLKDHKIQMKPNYHPEGLFDDNK VSSTTKSKDKEPHIPQLWHRYGKCAEGTIPMRRTKEDDVLRASSVKRYGKKKHRTVPL PKSAEPDLINQSGHQHAIAYVEGDKYYGAKATINVWEPKIQQQNEFSLSQIWLLGGSF GQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINSDIAMGA SISPVSGYRNSQYDISILIWKDPKEGHWWMQFGNGYVLGYWPSFLFSYLTESASMIEW GGEVVNSQADGHHTSTQMGSGRFPEEGFSKASYFRNIQVVDSSNNLKAPKGLGTFTEQ SNCYDVQPGNNDDWGHFFYYGGPGKNENCP" gene complement(516427..518184) /locus_tag="BRARA_H00061" /db_xref="Phytozome:Brara.H00061" mRNA complement(join(516427..516661,516763..516840, 516926..517196,517280..517500,517694..517749, 517926..518184)) /locus_tag="BRARA_H00061" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00061" mRNA complement(join(516427..516661,516763..516840, 516926..517196,517280..517510,517694..517749, 517926..518184)) /locus_tag="BRARA_H00061" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00061" CDS complement(join(516611..516661,516763..516840, 516926..517196,517280..517491)) /locus_tag="BRARA_H00061" /codon_start=1 /product="hypothetical protein" /protein_id="RID49248.1" /db_xref="Phytozome:Brara.H00061" /translation="MEEVKGQRGNGTTDADFVLQWGERKRVRCMKVKKDQSRKSSDCL SNKRKLISRAVSSERGSPSRHLNRPNKVVESPGNVRRSFVASPEKEDRYYTTRGSMGV DESGKIIKEPVKETKKHVWPKLFITLSNKEKEEDFLAMKGCKLPQRPKKRAKLVQKTL LLVSPGTWLSDLCKERYEVREKKTSKKRPRGLKAMGSMESDSE" CDS complement(join(516611..516661,516763..516840, 516926..517196,517280..517491)) /locus_tag="BRARA_H00061" /codon_start=1 /product="hypothetical protein" /protein_id="RID49249.1" /db_xref="Phytozome:Brara.H00061" /translation="MEEVKGQRGNGTTDADFVLQWGERKRVRCMKVKKDQSRKSSDCL SNKRKLISRAVSSERGSPSRHLNRPNKVVESPGNVRRSFVASPEKEDRYYTTRGSMGV DESGKIIKEPVKETKKHVWPKLFITLSNKEKEEDFLAMKGCKLPQRPKKRAKLVQKTL LLVSPGTWLSDLCKERYEVREKKTSKKRPRGLKAMGSMESDSE" gene complement(<520585..>520941) /locus_tag="BRARA_H00062" /db_xref="Phytozome:Brara.H00062" mRNA complement(<520585..>520941) /locus_tag="BRARA_H00062" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00062" CDS complement(<520585..520941) /locus_tag="BRARA_H00062" /codon_start=1 /product="hypothetical protein" /protein_id="RID49250.1" /db_xref="Phytozome:Brara.H00062" /translation="MTINHTIFECPTALQCWALSNIPSSLGLFPCNSLYSNIDFLLFR AKETGVRSEALAAFPWIAWYIWKARNKKIFKDKDITPMDSMQLAVKEAASWTLAQRIP EDTEGDSDKQRRTRHKT" gene 524702..525143 /locus_tag="BRARA_H00063" /db_xref="Phytozome:Brara.H00063" mRNA 524702..525143 /locus_tag="BRARA_H00063" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00063" CDS 524777..524953 /locus_tag="BRARA_H00063" /codon_start=1 /product="hypothetical protein" /protein_id="RID49251.1" /db_xref="Phytozome:Brara.H00063" /translation="MAMKMMVVFMVVAVAFSAVGQAAAATVDAPAPSPTSDAAMFVPA LFASVVALASGLLF" gene complement(526045..528760) /locus_tag="BRARA_H00064" /db_xref="Phytozome:Brara.H00064" mRNA complement(join(526045..526437,526534..526691, 526907..527161,527343..527442,527574..527662, 527743..528198,528525..528760)) /locus_tag="BRARA_H00064" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00064" CDS complement(join(526155..526437,526534..526691, 526907..527161,527343..527442,527574..527662, 527743..528198,528525..528680)) /locus_tag="BRARA_H00064" /codon_start=1 /product="hypothetical protein" /protein_id="RID49252.1" /db_xref="Phytozome:Brara.H00064" /translation="MAKGDDALARKKNKSNRKKMNRKNDSATVSTRIAAIIAAKKRRQ TGKRSMCQGMCFSLPTPEDPFNEKLGKVDIAKKKKKKAKAKTRDALSVNKSLRQAKKP SRLSIGGPPKFLMLCLSDIESSFHQLEKPLFTSTWGIEFWKSFHSGKDVVETSGTSST LEQIAWIVSTATDAISTREKDEENPLSNSPFLLYLVPSQSKAAQVRSVCKALKRLGVH AVSLHNGAELDHQISGLKSLEPEFLVSTPERLLEIVALKGVDISGVSLLVIDELGSLC ASGYLDAVKSIKQAISSEHRSIVFNDSFSASYIPAVQSLLGRSVNRLSLSDSVASQAS CIIQTVNVCASEKKKLQKFSEVLDSTTSSRGINIVAKEESFRKLTALLKLKGLSVVIA NSEPTIIPAITKRGGQLAYLIDIEQFDSTGMNNFETVLLPDFVPSFQTYVEILTSMAR DSVRGVLHSFVTEEEDVSGLAGSLVNVLEDCGQCVPDSWRNLSASMSD" gene complement(<530044..>530472) /locus_tag="BRARA_H00065" /db_xref="Phytozome:Brara.H00065" mRNA complement(<530044..>530472) /locus_tag="BRARA_H00065" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00065" CDS complement(530044..>530472) /locus_tag="BRARA_H00065" /codon_start=1 /product="hypothetical protein" /protein_id="RID49253.1" /db_xref="Phytozome:Brara.H00065" /translation="LSTGHRTSKWGSPQWCLYCGEPDETRDYLFFACPYTYTLWLKVV GNLFGAEPDPDWGINILCLQTGTYDRITFILLRMVLQVTIYYIWKERNGRRHNNTAKP VDQLARIVDKAMRNRISSTRYFRKPKFRDLLCRWFGAHLT" gene complement(533469..535836) /locus_tag="BRARA_H00066" /db_xref="Phytozome:Brara.H00066" mRNA complement(join(533469..534014,534113..534205, 534304..534474,535001..535078,535238..535395, 535758..535836)) /locus_tag="BRARA_H00066" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00066" mRNA complement(join(533469..534020,534113..534205, 534304..534474,535001..535078,535238..535395, 535758..535836)) /locus_tag="BRARA_H00066" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00066" CDS complement(join(533699..534014,534113..534205, 534304..534474,535001..535078,535238..535383)) /locus_tag="BRARA_H00066" /codon_start=1 /product="hypothetical protein" /protein_id="RID49254.1" /db_xref="Phytozome:Brara.H00066" /translation="MRGRSYTLSPSPPRGHGRRGRSPSPSPRGGGRYRARSSLFVCQL SRDCREGDLRKSFKQFGPLKDIHLPRDHRTGYQRRFGFVQFEDPADAAEAKDHMDGYV LLGRKMAVEFAENNRKTPTKMRAKQRGDGRFRDRRRSSPRYHSRSPMSSRSPSPYRRR HSRKEGYDRRRRSYSRSPASNGSRGRSASPATSKSRSISRSPRRSISRSPRRRSISRS PRRNKSSSLRRRRSNTPIPASRNRSRRPRRIRSKTPVPPARSRSPRGEQ" CDS complement(join(533699..534020,534113..534205, 534304..534474,535001..535078,535238..535383)) /locus_tag="BRARA_H00066" /codon_start=1 /product="hypothetical protein" /protein_id="RID49255.1" /db_xref="Phytozome:Brara.H00066" /translation="MRGRSYTLSPSPPRGHGRRGRSPSPSPRGGGRYRARSSLFVCQL SRDCREGDLRKSFKQFGPLKDIHLPRDHRTGYQRRFGFVQFEDPADAAEAKDHMDGYV LLGRKMAVEFAENNRKTPTKMRAKQRGDGRFRDRRRSSPRYHSRSPMSSRSPSPYRRR HSSRRKEGYDRRRRSYSRSPASNGSRGRSASPATSKSRSISRSPRRSISRSPRRRSIS RSPRRNKSSSLRRRRSNTPIPASRNRSRRPRRIRSKTPVPPARSRSPRGEQ" gene 541246..542845 /locus_tag="BRARA_H00067" /db_xref="Phytozome:Brara.H00067" mRNA join(541246..541482,541605..541943,542023..542845) /locus_tag="BRARA_H00067" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00067" CDS join(541332..541482,541605..541943,542023..542687) /locus_tag="BRARA_H00067" /codon_start=1 /product="hypothetical protein" /protein_id="RID49256.1" /db_xref="Phytozome:Brara.H00067" /translation="MATESICLNPLSFARLTKNPSNQFRKRRNLSCRTRRSRFGAICS KTSDYQDYQSYARPLRLLPAEEVKVSIAKNPSLTVAKSQSLYMVKLQTSNVFGSGISD MNARVLLCLIDDKGDSLLQTIPETLSSNDESFKFQRGSVDEFTFLGPELGKIRAFWIS LESGQWRLGGVSLWVVKGPVPGVTNVEDAYCYRYDFEVDDILLGESSDLSMVELRPSR ITELTDSHQISSSSAQNLDRTDVSNEQSMEEYANLKLSLLLYDALLILLGSSLVSFSL GENSAIAFFFGGTVGFLYLLLLQRSVDELQAPGSSSSSQNSSQILSGRVKIPVLSLAL AIGLSVLAVRGYNPTAFAVTPRDIVVGTLGFLVCKVAVVLAAFKPLKDGS" gene 544668..546744 /locus_tag="BRARA_H00068" /db_xref="Phytozome:Brara.H00068" mRNA join(544668..544980,545177..546744) /locus_tag="BRARA_H00068" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00068" CDS join(544915..544980,545177..546418) /locus_tag="BRARA_H00068" /codon_start=1 /product="hypothetical protein" /protein_id="RID49257.1" /db_xref="Phytozome:Brara.H00068" /translation="MDLSSQRQSPNGSRGFRLQAPLVDSVSCYCRVDSGLKTVVEARK FVPGSKLCIQPDINPNAHRRSKNSKRERTRIQPPLLPGLPDDLAVACLIRVPRSDHRK LRLVCKRWYRLASGNFFYSQRKLLRMSEEWVYVFKRDRDGKISWNTFDPVSQHPQPLP PVPREYSEAVGFGCAVLSGCHLYLFGGKDPLRGSMRRVIFYNARTNKWHRAPDMLRKR HFFGCCVINNCLYVAGGECEGIQRTLRSAEVYDPNKNRWSFVADMSTAMVPLIGVVYD KKWFLKGLGSHQQVMSEAYDPESNSWSPVSDGMVTGWRNPCTSLNGRLYGLDCRDGCK LRVFDETTDSWNKFMDSKVHLGNSKALEAAALVPLNNKLCIIRNNMSMSLVDVSNPDK NNPRVWENIAVKGQSKGILSNIWSSIAGRAVKSHIVHCQVLQA" gene complement(556225..557849) /locus_tag="BRARA_H00069" /db_xref="Phytozome:Brara.H00069" mRNA complement(join(556225..556539,556749..556859, 557429..557849)) /locus_tag="BRARA_H00069" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00069" CDS complement(join(556397..556539,556749..556859, 557429..557768)) /locus_tag="BRARA_H00069" /codon_start=1 /product="hypothetical protein" /protein_id="RID49258.1" /db_xref="Phytozome:Brara.H00069" /translation="MEKSTRTLMIITIVITSMLVGFGSSDLDQDREECTDQLIALSPC LPYVGGNAKAPTKDCCGGFDQVITKSEKCVCILVKDKDDPNLGLKFNATLAAHIPTLC HITAPNITKCISLLHLSPNSTLAKEFESLGRIEYEGKTNSTSPSHNVKDGTGGGKAEQ VKSTGEKKSWLAVELLIFALFSHLLFIIPSFTSSSFI" gene complement(564491..569700) /locus_tag="BRARA_H00070" /db_xref="Phytozome:Brara.H00070" mRNA complement(join(564491..565046,565160..565322, 565404..565606,565696..565833,565949..566035, 566112..566184,566277..566471,566556..566632, 566894..566965,567281..567441,567524..567710, 567798..567917,568001..568084,568178..568371, 568483..568650,568735..568965,569058..569145, 569226..569387,569478..569700)) /locus_tag="BRARA_H00070" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00070" CDS complement(join(564915..565046,565160..565322, 565404..565606,565696..565833,565949..566035, 566112..566184,566277..566471,566556..566632, 566894..566965,567281..567441,567524..567710, 567798..567917,568001..568084,568178..568371, 568483..568650,568735..568965,569058..569145, 569226..569387,569478..569591)) /locus_tag="BRARA_H00070" /codon_start=1 /product="hypothetical protein" /protein_id="RID49259.1" /db_xref="Phytozome:Brara.H00070" /translation="MESQDSDEPMQKKPHLLDPVSPTATAPNSSPSHPVAKSVDATVL QLQNQKLVQQLDLQKKRMYDVETKIHELHLNQSSYDDQLISVNRLWNQLVDDLVLLGV RAGANQEALKYLDIADKKRGSVPPCAADEMFLCRLLQVDSIGASNSDEVVRKVEEALS LRHSSTVELMGVFENTIATQRTKAESISQNLQAVKSAEDATVQLSNINDLMKEEARNL REMIDALNARHKEQTEQIQAYVSSHSTDQSELKHLKGELEEIKAELEENRRKLINLKM QKDAACEGHVTSSPAVANGSVSPEKPVDKTKLRELKDSIDEIKIVAEGRLSELQAAQE YNLSLSRQCEDIENELKDDQYIYSSRLYNLIKEQLHHWNDDVDRYKLLSEAAQAERSF VMRREKDLNQREESLEAAKQKITSVGSRIEVLEQKLQSCIVEKNGLELETEEAIQDSE RQDIKREFITMASTLSKEMEMMEAQLKRWKNTAHDALDLRQQAQSLRVSLSNKADEQK GLENKCAEQMAEIKSLKALIEKLLKEKLELQNLASIYTRECNDERGLAEIKESQRKAQ AQAEELKNVLDEHFLELRVKAANETETACQERLATAKAEIAELRTQLDVSEREVLELK EGIKVKEQEAEALIAEMETVGQAYEDMQTQNQHLLQQVAERDDYNIKLVSESVKTKHA YNTHLSEKQVMEKQLQNVNASVETLKARIAHSEEQMKGCFAEAYKLIQEDRHLVLSLE TAKGELADAEKEFRWIKSAVSSSEKEYEQISRRTDEIKLELDDERSEKKKLEEELMEL NKELEELGSESVEAATLRLQEEVKNCKNILKCGVCFDRPKEVVIMKCFHLFCKQCIQR SLEIRHRKCPGCGTAFGQSDVRVVKM" gene complement(574991..576459) /locus_tag="BRARA_H00071" /db_xref="Phytozome:Brara.H00071" mRNA complement(join(574991..575489,575849..576459)) /locus_tag="BRARA_H00071" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00071" CDS complement(join(575071..575489,575849..576359)) /locus_tag="BRARA_H00071" /codon_start=1 /product="hypothetical protein" /protein_id="RID49260.1" /db_xref="Phytozome:Brara.H00071" /translation="MGTLVGHVLPGLAFLALGLWHLFNNIKLFCLRPNIFYSSIWFPV SKIRYLELYFIMFSSSASISMELFVGPTKHQPFDSDGTIPSNHLHNFEHSFISMSFLV YAVLALVLDRARPRAAASEGLTILAAAAAFSQELLLFHFHSTDHVGFEGQYHLILQLI IFVSLLTTLMGVALPKSFLVSLVRSSSIVFQGVWFIFLGCMLYTPSLIPKGCFIYVED GHQLVNCSTQEALHRAKALVNLEFSCLFVINTICVVTLFLIMDRNYGGNIEYSSLTTN YQRGQYDEEQQHFETQKMSFVQMGKLVDHEDKM" gene complement(<588276..>588818) /locus_tag="BRARA_H00072" /db_xref="Phytozome:Brara.H00072" mRNA complement(<588276..>588818) /locus_tag="BRARA_H00072" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00072" CDS complement(588276..588818) /locus_tag="BRARA_H00072" /codon_start=1 /product="hypothetical protein" /protein_id="RID49261.1" /db_xref="Phytozome:Brara.H00072" /translation="MGSRAGHILPGFAFLALGLWHLFNNIKLFCLRPNTFISSPWFPV SKIRHLELYFMMFSASASISMELFIGPRRHHPFDSDGTIPSNHLQNVEHSSISMAFLV YAVSALVLDRARPRAAASEGLTILAAAAAFTQQLLLFHFHSADHMGVEGQYHFIVQLI IFVSLITTLTTFILRIYVFY" gene 606997..607741 /locus_tag="BRARA_H00073" /db_xref="Phytozome:Brara.H00073" mRNA 606997..607741 /locus_tag="BRARA_H00073" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00073" CDS 607018..607587 /locus_tag="BRARA_H00073" /codon_start=1 /product="hypothetical protein" /protein_id="RID49262.1" /db_xref="Phytozome:Brara.H00073" /translation="MTKLILFLAVQISLLIAISSAGDDGEHFARTIDRKLLGLHKKEK LTHFKVYWHDILSGPNPSSIRIQPPVANSTTYFGGITMIDNALTSKVEMNSTLIGQAQ GFYAGAAQKELGFFMAMNFAFKTGKYNGSTITILGRNTAMSEVREMPIIGGSGLFRFA RGYVEARTKWLNFQNGDATVEYSCYVLHY" gene complement(609151..610763) /locus_tag="BRARA_H00074" /db_xref="Phytozome:Brara.H00074" mRNA complement(609151..610763) /locus_tag="BRARA_H00074" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00074" CDS complement(609376..610626) /locus_tag="BRARA_H00074" /codon_start=1 /product="hypothetical protein" /protein_id="RID49263.1" /db_xref="Phytozome:Brara.H00074" /translation="MGCCLSKKPSPIPLSSGDVKSPDPVKPDVNKPTKPVIELPVKPA IEIQESPYPGVEEAKPIISEKVEKEEENATSKDVSASVAVEKSSVRTSSCTKEEVDAI LIQCGKLSRSNSTRRYSGSKRSFDFDQNERTHGGGGGGDVEDERRKTPQRNRDRGRVE RANGSPRERRRRTPSRERERERGGGAGGSRRASRSPAKRSEQATNPSGSLVISSNIKF VTVPATDPSSKRVTVKRSIGEACRTAAMTNVQPRRKALGEIDQNATKGDKKKKMIKRE NEDKKLTTPQVISRSRSLRRSRDFDFSPETLLLQSSEQDMKSSYTELLLKDIKDFHGK SSNDDEEEDPFSRLPSCVTKACSIVEAVADLNSSSSDLNQFRFGSTVKKADLVEPSFE KYVTVRRGCCSLEEQESCGSNNLT" gene <611960..>612491 /locus_tag="BRARA_H00075" /db_xref="Phytozome:Brara.H00075" mRNA join(<611960..612093,612224..>612491) /locus_tag="BRARA_H00075" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00075" CDS join(611960..612093,612224..612491) /locus_tag="BRARA_H00075" /codon_start=1 /product="hypothetical protein" /protein_id="RID49264.1" /db_xref="Phytozome:Brara.H00075" /translation="MVPYEKEYLSSDTIANESTSDGDWTSNYIQEYLNILKFIGLPNH KYTCRKQSSHSEDSIVTQRKHPSINVMQALIPLRLSYATTVNKIQGKSLKQVALYLPG RVFSHNQIYVALSRVISREGLKNTKQISRRR" gene <614574..>615153 /locus_tag="BRARA_H00076" /db_xref="Phytozome:Brara.H00076" mRNA join(<614574..614675,614705..614845,614884..>615153) /locus_tag="BRARA_H00076" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00076" CDS join(614574..614675,614705..614845,614884..615153) /locus_tag="BRARA_H00076" /codon_start=1 /product="hypothetical protein" /protein_id="RID49265.1" /db_xref="Phytozome:Brara.H00076" /translation="MAKLIFFLAVQIIFLAAVSSAGDDGEDFARTIDTKLTHFKVYWH DILSGPKPSSIRIKPPVTNSTSYFGGVTMFDNALTATGQGFYSGAAQKEFSFLVGMNF AFKTGKYNGSTITILGRNPVLSKVREMPIVGGSGLFRFARGYVEARTKLLNLKNGDAI VEYSCYVLHY" gene complement(616204..617416) /locus_tag="BRARA_H00077" /db_xref="Phytozome:Brara.H00077" mRNA complement(join(616204..616531,616992..617113, 617209..617416)) /locus_tag="BRARA_H00077" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00077" CDS complement(join(616512..616531,616992..617113, 617209..617363)) /locus_tag="BRARA_H00077" /codon_start=1 /product="hypothetical protein" /protein_id="RID49266.1" /db_xref="Phytozome:Brara.H00077" /translation="MASSKVCRLSSKIHSLTQRLSKTTNVHASSIPSPLKSSLPSAAT SRINQSFRRLPVELSSCVSLFPLHSAVASARLVSSLSAESMSWGLVPQGISMPL" gene <622919..625469 /locus_tag="BRARA_H00078" /db_xref="Phytozome:Brara.H00078" mRNA join(<622919..623093,623172..623326,623394..623488, 623579..624342,624412..624572,624638..624714, 624791..624938,625014..625163,625253..625469) /locus_tag="BRARA_H00078" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00078" CDS join(622919..623093,623172..623326,623394..623488, 623579..624342,624412..624572,624638..624714, 624791..624938,625014..625163,625253..625342) /locus_tag="BRARA_H00078" /codon_start=1 /product="hypothetical protein" /protein_id="RID49267.1" /db_xref="Phytozome:Brara.H00078" /translation="MIRGKHEKRPSSNGTEKVLVAVKASREISKTALVWALTHIVHPG DCITLVVVVTSRNAGRKLWTLPKFAGDCASVHRKPHSDAIPEIRSDLTDTCSQMILQL HDVYDPNKVNVRIKIVSGSPCGAVASEAKESQATWVVLDKHLKQEVKRCIDELQCNIV AIKRSQAKVLRLNLVGSPTTKDAVKENKSRSLGSVGAVVTTPLSSPEVGTPFTGTEAG TSSVASSDLGTSSPIFTAEVKKDDTNAAQETKISRRSDSETSGNIRGAVSLSRNAPPV PPPLCSICQHKAPVFGKPPRFFSYKELELATKGFSQSNFLAEGGFGSVHRGVLPEGQI VAVKQHKLASTQGDVEFCSEVEVLSCAQHRNVVMLIGFCIEQSRRLLVYEYICNGSLD AHLYGRHKDTLEWPARQKIAVGAARGLRYLHEECRVGCIVHRDLRPNNILITHDYEPL VGDFGLARWQPDGELGVDTRIIGTFGYLAPEYTQSGQITEKADVYSFGVVLIELITGR KAMDISRPKGQQCLTEWARSRLEEYAVEELVDPKLEKRYSETEIICMIHTASLCIRRD PHVRPRMSQVLRLLEGDMAVNERFSGRLSTERSQRSVR" gene complement(<625928..>626421) /locus_tag="BRARA_H00079" /db_xref="Phytozome:Brara.H00079" mRNA complement(join(<625928..626167,626206..626314, 626363..>626421)) /locus_tag="BRARA_H00079" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00079" CDS complement(join(625928..626167,626206..626314, 626363..626421)) /locus_tag="BRARA_H00079" /codon_start=1 /product="hypothetical protein" /protein_id="RID49268.1" /db_xref="Phytozome:Brara.H00079" /translation="MTNYSTIPTSSHPSPPIDLEPNLVYFRANYVIAVLVILFLSLIY HPTSLLVLAILVPLVVFNRQSDDRTVMICLSVLTVVMLLFTHATANVLGAMLTAVVLV LVHAAVRRSDNLFLDEEAVAASEYSGLTSYPSS" gene complement(652942..654473) /locus_tag="BRARA_H00080" /db_xref="Phytozome:Brara.H00080" mRNA complement(join(652942..653415,654034..654228, 654300..654473)) /locus_tag="BRARA_H00080" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00080" CDS complement(join(653117..653415,654034..654228, 654300..654348)) /locus_tag="BRARA_H00080" /codon_start=1 /product="hypothetical protein" /protein_id="RID49269.1" /db_xref="Phytozome:Brara.H00080" /translation="MSEEGPKLFTNKPKKKDIIAQLKHVEANGTTVVPPSNPAAAAAA SYTMGGAPPPPPPPKESFARRYKYMWPLLLTVNLAVGGYLFFRTKKKDIDEPASEEIA PKSGSVAAAPVTIEKPVSSAVVVKAREPIPEKQQRELFKWMLEEKRKVKPQNAEEKKR IDEEKAILKQFIGSKTIPTL" gene complement(657607..660571) /locus_tag="BRARA_H00081" /db_xref="Phytozome:Brara.H00081" mRNA complement(join(657607..657877,657980..658213, 658290..658516,658599..658684,658768..658860, 658946..659100,659185..659346,659422..659601, 660003..660262,660423..660571)) /locus_tag="BRARA_H00081" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00081" CDS complement(join(657831..657877,657980..658213, 658290..658516,658599..658684,658768..658860, 658946..659100,659185..659346,659422..659601, 660003..660262,660423..660478)) /locus_tag="BRARA_H00081" /codon_start=1 /product="hypothetical protein" /protein_id="RID49270.1" /db_xref="Phytozome:Brara.H00081" /translation="MSRYDSRTGDSTSYRDRRSDTGFGGASGYGSSASKRESSSVGDE SPKKPDLDGLTPFEKNFYVESPSVAAMTEAEVEEYRKKREITVEGRDIPKPVKSFRDV GFPDYVLEEVKKAGFTEPTPIQSQGWPMALKGRDLIGIAETGSGKTLSYLLPAIVHVN AQPILAPGDGPIVLVLAPTRELAVQIQQEASKFGSSSKIKSTCIYGGVPKGPQVRDLQ KGVEIVIATPGRLIDMMESNNTNLRRVTYLVLDEADRMLDMGFDPQIRKIVSHIRPDR QTLYWSATWPKEVEQLSKKFLYNPYKVIIGSSDLKANRAIRQIVDVISESQKYNKLVK LLEDIMDGSRILVFLDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFRSGK SPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYSFFTAAN ARFAKELVSILEEAGQKVSHELASMGRSTAPPPPGLGGFRDRGSRRAWS" gene 660862..663053 /locus_tag="BRARA_H00082" /db_xref="Phytozome:Brara.H00082" mRNA join(660862..661109,661181..661311,662143..662232, 662458..662510,662651..662753,662833..663053) /locus_tag="BRARA_H00082" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00082" mRNA join(660862..661109,661184..661311,662143..662232, 662458..662510,662651..662753,662833..663053) /locus_tag="BRARA_H00082" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00082" CDS join(660884..661109,661181..661311,662143..662232, 662458..662510,662651..662753,662833..662961) /locus_tag="BRARA_H00082" /codon_start=1 /product="hypothetical protein" /protein_id="RID49271.1" /db_xref="Phytozome:Brara.H00082" /translation="MAMVSAASSGLVRAALDTKPKKLRYNPNAPRNVKRNPNSTTSFV PPSSPSSSPAATVLTTTSVSVSDLLKRPASKEDIGGDEDSCVGYEKWFPSPPKVGKPR SVFNAASLAYIGDSIYEIYARRHFLFPPLSIEEYNDRVRAVVRCEAQYALLHKLLDED FLTKDEREILRWGKNVGSVKTRSTRRAGVAVYNKASSLETLIGYLYLANGKRLEEIMQ KLGFSSSSSTEIMVKEAKHKLSALK" CDS join(660884..661109,661184..661311,662143..662232, 662458..662510,662651..662753,662833..662961) /locus_tag="BRARA_H00082" /codon_start=1 /product="hypothetical protein" /protein_id="RID49272.1" /db_xref="Phytozome:Brara.H00082" /translation="MAMVSAASSGLVRAALDTKPKKLRYNPNAPRNVKRNPNSTTSFV PPSSPSSSPAATVLTTTSVSVSDLLKRPASKDIGGDEDSCVGYEKWFPSPPKVGKPRS VFNAASLAYIGDSIYEIYARRHFLFPPLSIEEYNDRVRAVVRCEAQYALLHKLLDEDF LTKDEREILRWGKNVGSVKTRSTRRAGVAVYNKASSLETLIGYLYLANGKRLEEIMQK LGFSSSSSTEIMVKEAKHKLSALK" gene <667283..>679312 /locus_tag="BRARA_H00083" /db_xref="Phytozome:Brara.H00083" mRNA join(<667283..667514,667632..668031,678604..>679312) /locus_tag="BRARA_H00083" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00083" CDS join(667283..667514,667632..668031,678604..679312) /locus_tag="BRARA_H00083" /codon_start=1 /product="hypothetical protein" /protein_id="RID49274.1" /db_xref="Phytozome:Brara.H00083" /translation="MMMNKDMLYNHQQQHGEENMSNLTSASGDHASVSSGNRTETSGS NFHYNTNPNQQQEEQCLAPQPSQKKKRNQPGNPDPEAEVLALSPKTLMATNRFICEIC NKGFQRDQNLQLHKRGHNLPWKLKQRSNKDVIRKKVYVCPEPNCVHHHPSRALGDLTG IKKHFFRKHGEKKWKCDKCSKKYAVQSDWKAHAKTCGTKEYKCDCGTLFSRAFCDALA EESARAIPNPILIQSSSPHQTQHNINFSSSSQNITSHNNNPHGHDELPMKQEEPHHHF HNIPPWLISSNPNPNGNNANYFPLASSSASFHHSSPAMSATALLHKAAQMGRTKSTAT PEEEEERVDDYYNTMAASMMTSPSEHGFGFQDYYMMNQHPHHHHGVGEAFDGGFIAVD EKNDVGDDGGGETRDFLGLKSLMSDNEMLSFANNLGNCINTSASEQQERYSHQD" assembly_gap 668595..678594 /estimated_length=unknown /gap_type="between scaffolds" gene complement(679162..>679975) /locus_tag="BRARA_H00084" /db_xref="Phytozome:Brara.H00084" mRNA complement(join(679162..679600,679689..>679975)) /locus_tag="BRARA_H00084" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00084" CDS complement(join(679597..679600,679689..679975)) /locus_tag="BRARA_H00084" /codon_start=1 /product="hypothetical protein" /protein_id="RID49273.1" /db_xref="Phytozome:Brara.H00084" /translation="MSQSFVFLYFLFFLSFFLLSSHCSPSQKKERSGERQFTPTCSLL WILGGIRRRSPIRPKLPPSLRSLSHRSSDINSYDEDDDYFATTAPPPQPLSR" gene complement(<680636..>680991) /locus_tag="BRARA_H00085" /db_xref="Phytozome:Brara.H00085" mRNA complement(join(<680636..680787,680919..>680991)) /locus_tag="BRARA_H00085" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00085" CDS complement(join(<680636..680787,680919..680991)) /locus_tag="BRARA_H00085" /codon_start=1 /product="hypothetical protein" /protein_id="RID49275.1" /db_xref="Phytozome:Brara.H00085" /translation="MALSKLQSVVLLVICSLLVTSQSRGLSENTEHRSDCVYKGPCKT YQECKSRCGPPNFPPTTIASCQVRAHGTICC" gene complement(684338..689545) /locus_tag="BRARA_H00086" /db_xref="Phytozome:Brara.H00086" mRNA complement(join(684338..684797,684886..685014, 685093..685188,685579..685746,685818..685994, 686069..686207,686289..686424,686900..687068, 687152..687390,687492..687715,687802..688107, 688636..688859,689064..689106,689203..689545)) /locus_tag="BRARA_H00086" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00086" mRNA complement(join(684338..684797,684886..685014, 685093..685188,685579..685746,685818..685994, 686069..686207,686289..686424,686900..687068, 687152..687390,687492..687715,687802..688107, 688636..688859,688997..689106,689203..689545)) /locus_tag="BRARA_H00086" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00086" CDS complement(join(684597..684797,684886..685014, 685093..685188,685579..685746,685818..685994, 686069..686207,686289..686424,686900..687068, 687152..687390,687492..687715,687802..688107, 688636..688829)) /locus_tag="BRARA_H00086" /codon_start=1 /product="hypothetical protein" /protein_id="RID49277.1" /db_xref="Phytozome:Brara.H00086" /translation="MRMLKVATCNLNQWAMDFECNMKNIKASIVQAKAAGAAIRLGPE LEVTGYGCEDHFLELDTVTHAWDCLKELLLGDWTNDILCSIGMPVIKGAERYNCQVLC MNKRIIMIRPKMCLANDGNYRELRWFTAWKQRGELEEFHLPIEISEALSQESVPFGYG YIQFIDTAVAAEVCEELFSPVPPHAELALNGVEVFMNASGSHHQLRKLDIRLNAFMGA THARGGVYMYSNQQGCDGGRLYYDGCACIVVNGDVVAQGSQFSLKDVEVITSQVDLDA VASLRGSISSFQEQASCKVKVSSVYVPCRLTQSFNLKMTLSSPKKIMYHFPQEEIAFG PACWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGCMCQLVVKEIANGDEQVKTDAKR IGNYTNGEFPTESKEFAKRIFYTVFMGSENSSEATKMRAKQLADEIGAWHLDVCIDGV VSAVLTLFQTVTGMRPRYKVDGGSNVENLGLQNIQARMRMVLAFMLASLLPWVHSKPG FYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSISKQDLRLFLRWAATNLGYQSLAEI EAAPPTAELEPIRSDYSQLDEVDMGMTYEELSVYGRMRKIFRCGPVSMFKNLCYKWGT KLSPAEVAEKVKYFFKYYSINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNSKWPYQ FKKIDEIVDGLNGDSVAFPGEEASYGKEVGVVAANSGDPSAGL" CDS complement(join(684597..684797,684886..685014, 685093..685188,685579..685746,685818..685994, 686069..686207,686289..686424,686900..687068, 687152..687390,687492..687715,687802..688107, 688636..688829)) /locus_tag="BRARA_H00086" /codon_start=1 /product="hypothetical protein" /protein_id="RID49278.1" /db_xref="Phytozome:Brara.H00086" /translation="MRMLKVATCNLNQWAMDFECNMKNIKASIVQAKAAGAAIRLGPE LEVTGYGCEDHFLELDTVTHAWDCLKELLLGDWTNDILCSIGMPVIKGAERYNCQVLC MNKRIIMIRPKMCLANDGNYRELRWFTAWKQRGELEEFHLPIEISEALSQESVPFGYG YIQFIDTAVAAEVCEELFSPVPPHAELALNGVEVFMNASGSHHQLRKLDIRLNAFMGA THARGGVYMYSNQQGCDGGRLYYDGCACIVVNGDVVAQGSQFSLKDVEVITSQVDLDA VASLRGSISSFQEQASCKVKVSSVYVPCRLTQSFNLKMTLSSPKKIMYHFPQEEIAFG PACWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGCMCQLVVKEIANGDEQVKTDAKR IGNYTNGEFPTESKEFAKRIFYTVFMGSENSSEATKMRAKQLADEIGAWHLDVCIDGV VSAVLTLFQTVTGMRPRYKVDGGSNVENLGLQNIQARMRMVLAFMLASLLPWVHSKPG FYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSISKQDLRLFLRWAATNLGYQSLAEI EAAPPTAELEPIRSDYSQLDEVDMGMTYEELSVYGRMRKIFRCGPVSMFKNLCYKWGT KLSPAEVAEKVKYFFKYYSINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNSKWPYQ FKKIDEIVDGLNGDSVAFPGEEASYGKEVGVVAANSGDPSAGL" gene 689203..690995 /locus_tag="BRARA_H00087" /db_xref="Phytozome:Brara.H00087" mRNA join(689203..689441,689655..690123,690198..690260, 690334..690417,690508..690566,690666..690995) /locus_tag="BRARA_H00087" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00087" CDS join(689677..690123,690198..690260,690334..690417, 690508..690566,690666..690723) /locus_tag="BRARA_H00087" /codon_start=1 /product="hypothetical protein" /protein_id="RID49276.1" /db_xref="Phytozome:Brara.H00087" /translation="MDQFSGGGNWSMIPNVQAQGNFGTPTNQDHLFLQQQQQPQQFHH PQQQQTQQQFQPQQQQQEMQFQQFQQQQQFIQQQQFHHQQHRLLHSPQQPQSSLQSPP PQQTVVHTPQSMMHTPQQQQQLVHTPQQSVQTPQQHQSLASHFHLYPLVEKLSDAVET GTRDQNSDALVSELNGHFDKCQQLLNSISGSLGSKTTMTVDGQKRNLEESEQLLQQRR DLIMEYRKSIEDLVKIEP" gene 692208..693751 /locus_tag="BRARA_H00088" /db_xref="Phytozome:Brara.H00088" mRNA join(692208..692328,692706..692791,692921..693132, 693323..693376,693461..693751) /locus_tag="BRARA_H00088" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00088" CDS join(692755..692791,692921..693132,693323..693376, 693461..693505) /locus_tag="BRARA_H00088" /codon_start=1 /product="hypothetical protein" /protein_id="RID49279.1" /db_xref="Phytozome:Brara.H00088" /translation="MSDLEVQVPTAFDPFADANAEDAGAGAGTKEYVHIRVQQRNGRK SLTTVQGLKKEYSYTKILKDLKKEFCCNGTVVQDSELGQVIQLQGDQRKNVSTFLVQA GLVKKDNIKIHGF" gene 694488..>697274 /locus_tag="BRARA_H00089" /db_xref="Phytozome:Brara.H00089" mRNA join(694488..694712,694885..696746,696817..696927, 697022..>697274) /locus_tag="BRARA_H00089" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00089" CDS join(694909..696746,696817..696927,697022..>697274) /locus_tag="BRARA_H00089" /codon_start=1 /product="hypothetical protein" /protein_id="RID49280.1" /db_xref="Phytozome:Brara.H00089" /translation="MARRSRIRSRIKKSHFYTFRCLRPKTLDDQGPHVINGPGYTRIV HCNQPHLHLATKLLRYRSNYVSTTRYNMLTFLPKCLYEQFHRVANFYFLVAAILSVFP LSPFNKWSMIAPLVFVVGLSMGKEALEDWRRFMQDVGVNSRKACVHKGHGEFGRRMWK KLRVGDVVRVDKDEFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRCLDATLALE KDESFQSFSGIIKCEDPNPNLYTFVGNLECDGQVYPLDPNQILLRDSKLRNTTYIYGV VVFTGHDTKVMQNSTKSPSKRSSIEKRMDYIIYTLFALLLFVSFISSLGFAVMTKVQM GNWWYLRPDRPESLTNPKNPLYAWVVHLITALLLYGYLIPISLYVSIELVKVLQASFI NQDLQLYDSESGTPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTSYG VRASEVELAAAKQMAMDLEEQGDEITNVPMSTVRTQRYTKLASRTSSDFELETVITAS DEKDRKQTTGIKGFSFEDNRLMDDNWVNEPNPDEILMFFRILAVCHTAIPEVDEDTGK CTYEAESPDEVAFLVASREFGFEFTKRTQTSVFIAERFSPSGQPVDREYKVLNLLDFT SKRKRMSAIIRDEEGQILLLCKGADSIIFERLSKKGKDYLGATTKHLNEYGEAGLRTL ALGYRKLDEAEYSEWNSEFHKAKTSVGADRDELLEKVSDMMEKELILVGATA" assembly_gap 702060..712059 /estimated_length=unknown /gap_type="between scaffolds" assembly_gap 723732..733731 /estimated_length=unknown /gap_type="between scaffolds" gene 758465..759800 /locus_tag="BRARA_H00090" /db_xref="Phytozome:Brara.H00090" mRNA 758465..759800 /locus_tag="BRARA_H00090" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00090" CDS 758716..759639 /locus_tag="BRARA_H00090" /codon_start=1 /product="hypothetical protein" /protein_id="RID49281.1" /db_xref="Phytozome:Brara.H00090" /translation="MDPWDKTNSLDHHHHRRQDHRHPSFSSTLLDQIYNSIDSSSAVN RRAVAGDSVRSRNLKTAEPVFFKHWSSSSSSDSSGFSSSESDSFYRRSRSSRSPPEIC HPKPIRTTVERLERPNNNNNNNNNNKVKSKALKMYSDLKRVKQPISPGGRLATFINSI FTGNTKKPNKTATSSSTTCSSASSFSKSCLSKTPSSSEKSKRSVRFCESTRQRQNFDT LESRVMEENRRVIEAAKELIRTYQKNKDVVNIIGKEEEDDEEDDDDGASCASSDLFEL DHLSVIGIDSYREELPVYETTRFHTNRIISR" gene 781438..794783 /locus_tag="BRARA_H00091" /db_xref="Phytozome:Brara.H00091" mRNA join(781438..781704,781798..781965,782063..782152, 782751..782887,782967..783057,783160..783242, 783390..783496,783819..783971,784386..784450, 784719..784803,784881..784959,785035..785143, 785291..785350,785467..785585,786078..786456, 786558..786745,786846..786918,787658..787808, 787879..788051,788147..788491,788785..788952, 789032..789325,789795..789971,790224..790487, 790884..790982,791064..791248,791545..791880, 792232..792385,792469..792579,792792..792959, 793078..793204,793485..793643,793723..793835, 793906..793965,794401..794783) /locus_tag="BRARA_H00091" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00091" CDS join(781522..781704,781798..781965,782063..782152, 782751..782887,782967..783057,783160..783242, 783390..783496,783819..783971,784386..784450, 784719..784803,784881..784959,785035..785143, 785291..785350,785467..785585,786078..786456, 786558..786745,786846..786918,787658..787808, 787879..788051,788147..788491,788785..788952, 789032..789325,789795..789971,790224..790487, 790884..790982,791064..791248,791545..791880, 792232..792385,792469..792579,792792..792959, 793078..793204,793485..793643,793723..793835, 793906..793965,794401..794583) /locus_tag="BRARA_H00091" /codon_start=1 /product="hypothetical protein" /protein_id="RID49282.1" /db_xref="Phytozome:Brara.H00091" /translation="MEGSSSSSLASKSDGELEEMLDRMLTRLALCDDSKLEALVSKLL PLTISSLSSQSPAVRNKVLEILSHVNKRVKHHHEIGLPLLELWKLYTDPAASPMVRNF AIVYVEMAFERAPAKEREDIAPKTLENVSKFPQQHQEIILRIAIKVIGECHASRISDD VSVKYSTLIASQDKEIFLDFCLHMLLYQPPPQGGGCPPGLSVFQVNRITGKQELKGDM LTKRKLGILNVIGTMDLPGESVYPLYIAASVDSQEPVAKRGEELLKKKASVTNLDDPK LIKRLFLLFNGTTATEHATPEHSVAPGNIALKMKLMSGFCRSIAAANSFPATLQCIFG CMYGSGTTLRLKQMGMEFTVWVFKHGKIDQLKLMGPVILNAILKMLDGTGSEADALSR ETKTFSFQAIGLIAQRLPQLFREKTEMAVRLFNALKLETQSLRSTIQEAIISLAAAYK DSPEKILKDLEVLLLENSLAEQNEARFCALRWATSLYDSQHCPSLYICMLSAADMKLD IRELALEGLFLKEEGRSIVSNHDHKYPKFVEMLEYILKQQPKLLDSSEMRSQKLLFPS QVYVVMIKFLVKCFEIQMEEINTQAVGTEFLSSAQRMCLLLEHSLAFEGSAELHACAS KALVSVGSYLPEMVEVYCSQKVVWLRRLLSHTDLSTRESASRLLGMASCALSDAESCS LLSELIASVSQPPQKLRFEAHHGGLCAVGYVSAHCLYRIPAVSEAVVQNAVKCLVDVV NSETAPLASVAMEALGHIGICGPLPLLVNDSSPGTQVLEVLQEKLSKLLSGDDIKSVQ KIALSLGHICSNEMSSSHLKIALDLLFSLSRSKAEEILFAAGEALSFLWGGVPVTADM ILKTNYTSLSTDSNFLMREVKSLSKKLSDAKTGDEDSRVTTRETISGKLFDTLLYSSR KDERCAGTVWMLSLIMYCGQHPSIQLMLPKIQEAFSHLLGDQNELTQELASQGMSIVY ELGDSSMKQSLVDALVNTLTGTSKRKRAIKLDEETEVFQEGTIGESPSGGKISTYKEL CNLANEMGQPDLIYKFMDLANHQASLNSKRGAAFGFSKIAKQAGDALRPHLRLLIPRL IRYQYDPDKNVQDAMAHIWKALIQDPKKAVDEHLNHIFDDLLVQCGSRLWRSREASCL ALADIIQGRKFDQVGEHLKRLWIAAFRAMDDIKETVRNAGDKLCRSVTSLTIRICDIT LTEISDARQAMGIVLPFLLSEGIMSKVSSVRKASIAVVMKLAKGAGVALRPHLSDLVC CMLESLSSLEDQGLNYVELHAANIGIETEKLENLRISISKGSPMWETLDLCINIVDTE SLEQLIPRLTQLVRSGVGLNTRVGVASFISLLVQKVGTEIKPFTGMLLRLLFPVAKEE KSSAAKRAFSSACGVVLKYSSPSQAQSLIEETAALHSGDRSSQIACASLFKSFSSTAS DIMSGHQSAIVPVIFLSRFEDDKQISSLFEEVWEDITSGERVTLQLFLQEIVNHICES ITSSSWASKKKAGKAISKLTEVLGESLSPQHNKLLQCLINEMPGRLWEGKDALLDALG ALSVSCHEAITKEDPKTPTVILDLICSACRKKVKKYRESAFSCLEKVIIAFGDPEFFS AVFPMLYEMCNTASVKTNSQVQSASDAVKTESEKGEDGQVPLEKIMECVKSCIQVATI DDIISRKADLIHVLLISLSPGFLWNVKMSGISCLGKLCSRFQSLWNDSMDGPLPSDAT KFAHELFHSLVPKLLECINTVKIAQVHVATSQCMLELIEVYSKVSTLHPVEVDFKGEI NSLIELEKSEEAKSLLRKSRDALAILS" gene <795679..>796194 /locus_tag="BRARA_H00092" /db_xref="Phytozome:Brara.H00092" mRNA <795679..>796194 /locus_tag="BRARA_H00092" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00092" CDS 795679..796194 /locus_tag="BRARA_H00092" /codon_start=1 /product="hypothetical protein" /protein_id="RID49283.1" /db_xref="Phytozome:Brara.H00092" /translation="MAFLDSVLRHWSLLHILFLSLSLCFLLVRSQEPPFFTQSPPPPP PPPPPPPPPPPPPPPPPPPPPPPPPSSAPPPLVNVTDIFPPPPVAETIAPLPSPTPQQ QPPQPRSESLPPPPEPRRFGSPDEPKRGGLNKGETVGLVFAGLAAMLQVLVVVFLVLK RRQLLQLKETQ" gene complement(796412..797809) /locus_tag="BRARA_H00093" /db_xref="Phytozome:Brara.H00093" mRNA complement(join(796412..796736,796828..796945, 797035..797152,797231..797296,797603..797809)) /locus_tag="BRARA_H00093" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00093" CDS complement(join(796674..796736,796828..796945, 797035..797152,797231..797240)) /locus_tag="BRARA_H00093" /codon_start=1 /product="hypothetical protein" /protein_id="RID49284.1" /db_xref="Phytozome:Brara.H00093" /translation="MNENIEEQKKGKYVLIRDGEGNELGGLFYKPLPCFGFGIGWLSF LLGFFFPFAWYFATFLYLTNYYRRDPRERSGLAASAIAALIFTVALLITVLVLLFSGR " gene <799560..>799913 /locus_tag="BRARA_H00094" /db_xref="Phytozome:Brara.H00094" mRNA join(<799560..799712,799854..>799913) /locus_tag="BRARA_H00094" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00094" CDS join(799560..799712,799854..799913) /locus_tag="BRARA_H00094" /codon_start=1 /product="hypothetical protein" /protein_id="RID49285.1" /db_xref="Phytozome:Brara.H00094" /translation="MMYAQRRKWSAEEEEALLAGICKYGPGKWSYIINDPEFRAQLSN RTNIDLKDKWRNMTIKEEAKTLVNKI" gene 805363..808286 /locus_tag="BRARA_H00095" /db_xref="Phytozome:Brara.H00095" mRNA join(805363..805487,806627..806696,806781..806884, 806985..808286) /locus_tag="BRARA_H00095" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00095" CDS join(806629..806696,806781..806884,806985..808051) /locus_tag="BRARA_H00095" /codon_start=1 /product="hypothetical protein" /protein_id="RID49286.1" /db_xref="Phytozome:Brara.H00095" /translation="MAGSAPEGTQFDTRQFDQKLNEVLEGQDEFFTSYDEVHESFDAM GLQENLLRGIYAYGFEKPSAIQQRGIVPFCNGLDVIQQAQSGTGKTATFCSGVLQQLD FTLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQAGVHV VVGTPGRVFDMLRRQSLRADSIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVF SATMPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEDWKLETLCDLYETL AITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLIT TDLLARGIDVQQVSLVINFDLPTQPENYLHRIGRSGRFGRKGVAINFVTKDDERMLFD IQKFYNVVVEELPSNVADLL" assembly_gap 815867..825866 /estimated_length=unknown /gap_type="between scaffolds" gene 828958..830918 /locus_tag="BRARA_H00096" /db_xref="Phytozome:Brara.H00096" mRNA join(828958..829170,829480..829732,829825..829934, 830015..830191,830291..830918) /locus_tag="BRARA_H00096" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00096" mRNA join(828958..829111,829480..829732,829825..829934, 830015..830191,830291..830918) /locus_tag="BRARA_H00096" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00096" CDS join(829495..829732,829825..829934,830015..830191, 830291..830455) /locus_tag="BRARA_H00096" /codon_start=1 /product="hypothetical protein" /protein_id="RID49287.1" /db_xref="Phytozome:Brara.H00096" /translation="MQVFQRKDSMPTNPNIQGSQSFSLTKHMMMMSTQSGLQLQDQDS SSTQSSGESGGGGEAASFVEHNRYGCSSIVNTNLSDSVFPPPTSDLASWPLPCPETPH FNGFLAPEYASQPTALSSHLEMMGLASSRVPLPHNIQENEPIFVNAKQYHAILRRRKH RAKLEAQNKLIKSRKPYLHESRHLHALKRVRGSGGRFLNTKKLQDSSNTPFRFSGYPS THHVSALMSGT" CDS join(829495..829732,829825..829934,830015..830191, 830291..830455) /locus_tag="BRARA_H00096" /codon_start=1 /product="hypothetical protein" /protein_id="RID49288.1" /db_xref="Phytozome:Brara.H00096" /translation="MQVFQRKDSMPTNPNIQGSQSFSLTKHMMMMSTQSGLQLQDQDS SSTQSSGESGGGGEAASFVEHNRYGCSSIVNTNLSDSVFPPPTSDLASWPLPCPETPH FNGFLAPEYASQPTALSSHLEMMGLASSRVPLPHNIQENEPIFVNAKQYHAILRRRKH RAKLEAQNKLIKSRKPYLHESRHLHALKRVRGSGGRFLNTKKLQDSSNTPFRFSGYPS THHVSALMSGT" gene complement(830291..833563) /locus_tag="BRARA_H00097" /db_xref="Phytozome:Brara.H00097" mRNA complement(join(830291..830909,830995..831114, 831232..831353,831429..831599,831662..831763, 833021..833563)) /locus_tag="BRARA_H00097" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00097" CDS complement(join(830636..830909,830995..831114, 831232..831353,831429..831599,831662..831763, 833021..833446)) /locus_tag="BRARA_H00097" /codon_start=1 /product="hypothetical protein" /protein_id="RID49289.1" /db_xref="Phytozome:Brara.H00097" /translation="MPIIASSLSSCLVQVYFRKITVMSSPDRAVLSLLADIFLSFDGA ILGVTLAFGAARAASKYASTSAALNKIKDAPEVSVSDLRSLIPPSDDESSHANQRVVV VLGTVEPKVTGDGSHKNNNDSALTSQETGEKALIIHRTQTYMYSGWKTLFHLSSGHRF LLERSLPKQGADFMRMVPFVIVDKNQRSQSSFLVVNMDGARQPLPLTTVYNRLQPINS SPYSFLQALFFPEFPVGMLDVEKILPPGRDLTAVGICSLNNGVPEIKSCQDLPYFLSD MTKDKMIMHLTETTSVLFWGGVILGCLSVGILGFAAVRAWNRWKLRRELLQRRPDQHM VDDETEEDADEIPDGELCVICVTRRRIPAFIPCGHVVCCRYCALTVERGLNPKCPVCL QSIRGSMRIYYS" gene 834178..835389 /locus_tag="BRARA_H00098" /db_xref="Phytozome:Brara.H00098" mRNA join(834178..834288,834375..834651,834973..835389) /locus_tag="BRARA_H00098" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00098" CDS join(834391..834651,834973..835251) /locus_tag="BRARA_H00098" /codon_start=1 /product="hypothetical protein" /protein_id="RID49290.1" /db_xref="Phytozome:Brara.H00098" /translation="MAGEGEEDVPRDAKIVKSLLKSMGVEDYEPRVVHQFLELWYRYV VEVLTDAQVYSEHASKSTIDCDDVKLAIQSKVSFSFSQPPPREVLLELAASRNKIPLP KSIAGPGIPLPPEQDTLLSPNYQLVIPKKSASTEPEETEDDEEMADPAQSSSQEQQTS ELPSQTPQRVSFPLSRRPK" gene complement(<836009..>837131) /locus_tag="BRARA_H00099" /db_xref="Phytozome:Brara.H00099" mRNA complement(join(<836009..836336,836384..836447, 836628..836740,836779..837042,837127..>837131)) /locus_tag="BRARA_H00099" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00099" CDS complement(join(836009..836336,836384..836447, 836628..836740,836779..837042,837127..837131)) /locus_tag="BRARA_H00099" /codon_start=1 /product="hypothetical protein" /protein_id="RID49291.1" /db_xref="Phytozome:Brara.H00099" /translation="MIVPAESIARFHCVSKLWRSILRHRHDFTELFMARSITRPRLLF TFKVEDKLLIFSSTQPQNVGGDNCSLVATRYKDFPKHFPTENRDDLKKGSDSCNPVTG EFIDLAKVKAAGTERSYIGYDPIKKKYIACYDFRFEKFSFIERDSEILTTTGLKKKLV LWVLEDAEKHKWSKSIFVLSHLYNEKIGHKCYIVGITSAGEIVFMPVGHVNPNFHLFF YNMERDTCTTVNIKGFEEFKHHSLHITTYLDYVENMMPL" gene complement(<841121..>841495) /locus_tag="BRARA_H00100" /db_xref="Phytozome:Brara.H00100" mRNA complement(<841121..>841495) /locus_tag="BRARA_H00100" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00100" CDS complement(841121..841495) /locus_tag="BRARA_H00100" /codon_start=1 /product="hypothetical protein" /protein_id="RID49292.1" /db_xref="Phytozome:Brara.H00100" /translation="MDPRVPATSVFRWSRSRRKIHIRRRKTQVVRLGGKNNVVSRGGF SLKKMVRRMKLKWLRLHYVRLVKKMKGFYCNLVKEFVDAGVELEAIQTQMAVEAAAFA VPGLGLSFSSLSAHDRARYFLV" gene complement(<847985..>849808) /locus_tag="BRARA_H00101" /db_xref="Phytozome:Brara.H00101" mRNA complement(<847985..>849808) /locus_tag="BRARA_H00101" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00101" CDS complement(847985..849808) /locus_tag="BRARA_H00101" /codon_start=1 /product="hypothetical protein" /protein_id="RID49293.1" /db_xref="Phytozome:Brara.H00101" /translation="MRAVNYLYTSSNPRFRGIFNALCAVTLFLFFFNKNPLLKTQSFV DGSPPPRGRHMIETYSGDTTAICSGLHQHIGYTDQCQFLKSNPLCYPDSFFDYLSFFY CTCQHFKILGYIALGVWLVALFYLLGNTAADYFCPSLEKLSKLLRLPPTVAGVTLLPL GNGAPDVFASIAAFVGSDKGEVGLNSVLGGAVFVTCVVAGVVSLCVADKEVKIDKKCF IRDLCFFLFTLVALLVILTVGRVSVGIAAAFVSIYVLYASLVAANEIIRKHSKRLKLD SFTPLLPIQGSVFSPPSGGEEDVYSPLLELDTEEGPPKLHDSLPQWMWATNVAIYSAK ANVHDEERPPWGWSEDGGEVETSLCYKITYFLETPLTVPRRLTIPLIEEDSWSKTYAV ASVSLAPLLLAFLWSSLQAYFIGIAVGSILGFLAYRNTEHDRPPQRYLIPWVLGGFIM SIVWFYMIANELVALLVTFGGIYGINPSILGLTVLAWGNSMGDLVSNIALSMNGGDGV QIALSGCYAGPMFNTLVGLGVSMLLGAWSKRPESYMIPEDNSLFYTLGFLILGLLWAL VMLPRNEMRPNKVMGIGLIALYLIFVTFRLSSAMGFIPWAA" gene 851036..854498 /locus_tag="BRARA_H00102" /db_xref="Phytozome:Brara.H00102" mRNA join(851036..851203,851275..851414,851540..851626, 851706..851837,851934..852006,852105..852127, 852206..852269,852527..852572,852826..853006, 853096..853272,853358..853522,853596..853736, 853811..853908,853987..854136,854226..854498) /locus_tag="BRARA_H00102" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00102" CDS join(851277..851414,851540..851626,851706..851837, 851934..852006,852105..852127,852206..852269, 852527..852572,852826..853006,853096..853272, 853358..853522,853596..853736,853811..853908, 853987..854136,854226..854280) /locus_tag="BRARA_H00102" /codon_start=1 /product="hypothetical protein" /protein_id="RID49294.1" /db_xref="Phytozome:Brara.H00102" /translation="MGSASKEYEFLSEIGLSSSHNLGNYVGGKWLGNGPLVSTLNPAN NQPIAQVVEASLEDYEIGLKACEEAAKTWMQVPAPKRGDIVRQIGDALRSKLDYLGRL LSLEMGKILAEGIGEVQEVIDMCDFAVGLSRQLNGSVIPSERPNHMMLEMWNPLGIVG VITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKLVAEVLEKNHLPGAI FTAMCGGAEIGEAIAKDTRIPLVSFTGSSKVGLTVQQTVSARSGKTLLELSGNNAIIV MDDADIQLAARSVLFAAVGTAGQRCTTCRRLLLHESVYDKVLEQLLTSYKQVKIGDPL EKGTLLGPLHTPESKKNFEKGIEVIKSQGGKVLTGGKAVEGEGNFVEPTIIEISSDAA VVKEELFAPVLYALKFKTFEEAVAINNSVPQGLSSSIFTRSPENIFKWIGPMGSDCGI VNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGNELPLAQGINF G" gene complement(<855179..>857074) /locus_tag="BRARA_H00103" /db_xref="Phytozome:Brara.H00103" mRNA complement(join(<855179..856897,856934..>857074)) /locus_tag="BRARA_H00103" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00103" CDS complement(join(855179..856897,856934..857074)) /locus_tag="BRARA_H00103" /codon_start=1 /product="hypothetical protein" /protein_id="RID49295.1" /db_xref="Phytozome:Brara.H00103" /translation="MESPDIRGGDGSDFATLETAEKIILRWDSTTSEEAKENLIFQSD REERLVSSVSISDSRHEVKARSTIQIAMARLEDELRNILLSQTSTFEPDSLLLDSSAS SFATRADLEDTETAPPDEGEGEEQEEMNLVLPDGSDSNSGSDPGSSRLSSRRSSCKST SSIREIDLVSSEAVSDLRSIVHRMIGAGYSRECLQVYGNVRKSAMETIFKQLGIVKLG IGDVQRLDWEVVEVKIRRWIRAAKACVRVVFASEKRLCEQIFDGGEVEETCFMEIVKS SALQLFTFPEAISISRRSPEKLFKILDLHDALADLLPDMEEIFNSESSETILVQATEI QSRLAEAARGTLVEFENAIFREPSVVPVPGGTIHPLTRYVMNYLNLIADYKQTLVELI MSKPCAGLKCTNDPVKPDMDISQLEGCSPLALHCIWTMVMLQFNLEGKSLHYKDEALS HIFIMNNVHYIVQKVKSSTELREIIGDLYLRRLTGIFRHAATKYQRATWVRVLNSLRD EGLHVSGSFSSGVSKSALRERFKAFNTMFEEVHRVQSTWSVPDVQLREELRISLSEHL IPAYRSFLGRFRGHIESGRHPENYLKYSVENLETAVLDFFEGYTTAPHLRRSQ" gene 859428..862315 /locus_tag="BRARA_H00104" /db_xref="Phytozome:Brara.H00104" mRNA join(859428..859645,859947..860008,860086..860188, 860282..860358,860452..860513,860595..860682, 860767..860842,860933..860963,861179..861387, 861477..861653,861735..862315) /locus_tag="BRARA_H00104" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00104" CDS join(859523..859645,859947..860008,860086..860188, 860282..860358,860452..860513,860595..860682, 860767..860842,860933..860963,861179..861387, 861477..861653,861735..861989) /locus_tag="BRARA_H00104" /codon_start=1 /product="hypothetical protein" /protein_id="RID49296.1" /db_xref="Phytozome:Brara.H00104" /translation="MQNQRLKQQQQQAMMQQAMMQQQHHSLYHPSLMAPPPPPPQMEP LPSGNLPPGFDPSTCRSVYAGNIHTQVTEVLLQEIFASTGPVESCKLIRKDKSSYGFV HYFDRRSAGLAIMSLNGRHLFGQPIKVNWAYATGQREDTSSHFNIFVGDLSPEVTDAA LFESFSAFNTCSDARVMWDQKTGRSRGFGFVSFRNQQDAQTAINEMNGKWISSRQIRC NWATKGATFGEDKHSSDGKSVVELTNGSEDGREISISNEEAPENNPQYTTVYVGNLAP EVTQLDLHRMFHTLGAGVIEEVRVQRDKGFGFVRYNTHDEAALAIQMGNSQPFLFSRP IKCSWGNKPTPTGTPSNPLPPPAPVPVPGLSPMDLLAYERQLALAKMHPQAQHSLRHV NAAGASAAMYDGGFQNVAAAHQQLMYYQ" gene complement(862776..863999) /locus_tag="BRARA_H00105" /db_xref="Phytozome:Brara.H00105" mRNA complement(862776..863999) /locus_tag="BRARA_H00105" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00105" CDS complement(862966..863895) /locus_tag="BRARA_H00105" /codon_start=1 /product="hypothetical protein" /protein_id="RID49297.1" /db_xref="Phytozome:Brara.H00105" /translation="MEEEDETQSSPVDSSSPPAPSSPPVLPASNVTVASVTKPPPSSQ SPSRNALALAVHTPSVTVNKNGRIGGGGGGRDDCWSEEATRVLINAWGDRFAEPGKGT LKQQQWHEVAEIVNGSGQCKYTKTDVQCKNRIDTVKKKYKQEKAKNGPSKWAFFKKLE SLIGGGGGGKAPVVGARSSGPMRWHFRKRSASETESESEPEHSAESLPPPLQPLPKRL KMGESGVGEVAKAILGFTEAYEKAESGKIKLMLELEKERMKFVKEMELQRMQFLRTQM EITQKNQEEERGKLRINDDDDDRNVKNNGDVSS" gene 865868..867034 /locus_tag="BRARA_H00106" /db_xref="Phytozome:Brara.H00106" mRNA join(865868..866211,866447..867034) /locus_tag="BRARA_H00106" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00106" CDS join(866014..866211,866447..866713) /locus_tag="BRARA_H00106" /codon_start=1 /product="hypothetical protein" /protein_id="RID49298.1" /db_xref="Phytozome:Brara.H00106" /translation="MSAVAINHLFGLPETIEKLIFPTSRSGEGNETRGGSNNNIPIDI LESPKEYIFYVDIPGISKSDIQATVEEERTLVIKSNGKRKREDHDVSEEGCKYIRLER RLPQNLVKKFRLPEDADVEAVAAKYQDGVLTVTVGKVPPQPPKSKTVQIAVS" gene <873368..>882739 /locus_tag="BRARA_H00107" /db_xref="Phytozome:Brara.H00107" mRNA join(<873368..873557,882136..882260,882348..882548, 882629..>882739) /locus_tag="BRARA_H00107" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00107" CDS join(873368..873557,882136..882260,882348..882548, 882629..882739) /locus_tag="BRARA_H00107" /codon_start=1 /product="hypothetical protein" /protein_id="RID49299.1" /db_xref="Phytozome:Brara.H00107" /translation="MGIVSGQNIPSVALFAFGDSNFDAGNRKYLTSATLAQNFWPYGK SRDDPNGKFSDGKIAPDFIAKFMGIPHDIPPALKPDADVSRGASFAVDYASILGNGTP KDSLTLNQQVRKFSQMISNWKEDYIAKSLFMISIGMEDYYNFTKTTPEADASAQQAFV ISVINRLKYNIEMLYSSGASKFVVHNVAPLGCLPIVRQEFNTGNECYE" gene complement(888426..890299) /locus_tag="BRARA_H00108" /db_xref="Phytozome:Brara.H00108" mRNA complement(join(888426..888914,889138..889390, 889463..889663,889818..889933,890008..890299)) /locus_tag="BRARA_H00108" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00108" CDS complement(join(888538..888914,889138..889390, 889463..889663,889818..889933,890008..890248)) /locus_tag="BRARA_H00108" /codon_start=1 /product="hypothetical protein" /protein_id="RID49300.1" /db_xref="Phytozome:Brara.H00108" /translation="MANPKPHLFSLSFLSLLLLPHFPTVSLAQTLFVFGDGLYDAGNK QFVSSNRVDASFPPYGMTVGEPTGRWSDGRIVPDYLASFMGVPHIPPILNGTADFSHG ANFAIADATVLGSPPETLTFSQQVIKFSDNKNKWSAQARSEAVYLFYIGSDDYLKFAK NNPNPSDDQKQAFVDRVITAIEAELKVIYGTGGRKFALQNLAPLGCLPAVKQANGNVQ ECVKLPSEMAELHNKKLLQLLVELSRQLSGFQYSFYDFFSSIKHRVIKSKTYTFETGM AACCGTGSVNGTSCSTNNVCSKPDDYLFFDGKHLTQEGNLQVGHLMWGADPVVVGPNN LRELLFLPLNTTVMLADIQEAMAAMSPKQNKIESLYDIKMMESEMENQWLYQVGKAVS FLI" gene <909051..>910658 /locus_tag="BRARA_H00109" /db_xref="Phytozome:Brara.H00109" mRNA join(<909051..909133,909246..909488,909763..909806, 910225..>910658) /locus_tag="BRARA_H00109" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00109" CDS join(909051..909133,909246..909488,909763..909806, 910225..910658) /locus_tag="BRARA_H00109" /codon_start=1 /product="hypothetical protein" /protein_id="RID49301.1" /db_xref="Phytozome:Brara.H00109" /translation="MPKCWSGIVQRYDDKISQDIEVLRTLNRMQQSSNGSSVGAVCGS SGEQADAKVWVLNREVVVVSRRRHQEHVKRLEPNSSSGLCAGFRICNQTIVDLQRSHA IVSACRWFIMKIREWTPIGSMKTATKAICDAKEWKEAQLKVISLNPIKTTRQIHGTPE VVCRSDAAWKKELDAAGLEWSFLERHNERFASHSKPIAFVISSLVAEGLAIRAAMEHA AILQLENVISESDLLQLIAWIISGHSFSDLHGILSDISLLSLSFNHVPF" gene 912823..916425 /locus_tag="BRARA_H00110" /db_xref="Phytozome:Brara.H00110" mRNA join(912823..913090,914934..915052,915371..915836, 915908..916425) /locus_tag="BRARA_H00110" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00110" CDS join(912835..913090,914934..915052,915371..915836, 915908..916236) /locus_tag="BRARA_H00110" /codon_start=1 /product="hypothetical protein" /protein_id="RID49302.1" /db_xref="Phytozome:Brara.H00110" /translation="MAGKCTLASVLGLLLVFTLFQNPLTVCGQSIPAVALFTFGDSNF DAGNKQTLTQVNVAQGFWPYGKSRDDPNGKFSDGFIAPDFVATFMEIPIPIPAALKPG VNVSRGASFAVADATLLGAPVQSLTLYQQITKFNEMKAANWNDDFIKQSLFMIYIGAN DYFNFTKNNPNADASAQQAFVTSVTNQLKNEISFLYASGARKLMIQTLAPLGCLPIVR QDYRTGMEKCYEPLNNLAIQHNEKIGPMLNEMALNAPDFQFTVFDFYNVILRRITPQR SLGYRFLRTNVSCCGIGTHTAYGCGLPNVHSKLCSYQRSYLFFDGRHNSEKAQESFGH LMFGADPNVIQPMNIRELVTYPVGEPMREAWLPTTSATVQARASSSSTSRGYELN" assembly_gap 926359..936358 /estimated_length=unknown /gap_type="between scaffolds" gene 939561..943388 /locus_tag="BRARA_H00111" /db_xref="Phytozome:Brara.H00111" mRNA join(939561..939833,940101..940219,942355..942811, 942909..943388) /locus_tag="BRARA_H00111" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00111" CDS join(939578..939833,940101..940219,942355..942811, 942909..943237) /locus_tag="BRARA_H00111" /codon_start=1 /product="hypothetical protein" /protein_id="RID49303.1" /db_xref="Phytozome:Brara.H00111" /translation="MAGKCNLASVLGGFLVLTLLHNLLNVSGQNIPAVALFTFGDSNF DAGNKQTLTKANVAQGFWPYGKSRDDPNGKLSDGFITPDFVAKFMGIPIPIPAALKPN VNVSRGASFAVADATLLGAPVESLTLNQQVRKFNQMKAENWNDDFIKKSVFMIYIGAN DYLNFTKNNPNADASAQQAFVTSVTNKLKNDISLLYSSGASKFVIQTLAPLGCLPIVR QDYNTGMDQCHELLNNLAKQHNEKIGPMLNELARTPGFQFTVFDFYSVILRRTQRPSN YRFFVTNASCCGVGTHNAYGCGLPNVHSKLCEYQRSYLFFDGRHNTEKAQESFGHLLF GADPNVIQPMNVRELIVYPVDEPMSEVWLPTTSAMVQASDSSSSASRGYEFY" gene complement(<952313..>955415) /locus_tag="BRARA_H00112" /db_xref="Phytozome:Brara.H00112" mRNA complement(join(<952313..952548,952630..952885, 952953..953183,955066..955193,955280..>955415)) /locus_tag="BRARA_H00112" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00112" CDS complement(join(952313..952548,952630..952885, 952953..953183,955066..955193,955280..955415)) /locus_tag="BRARA_H00112" /codon_start=1 /product="hypothetical protein" /protein_id="RID49304.1" /db_xref="Phytozome:Brara.H00112" /translation="MFDAGNYKYINNNTAFQSNFFPYGQTTFKFPTGRVSDGRLITDF IAENAWLPLIPPNLQPSNSNNQFTYGANFAFGGAGALVETFPGMVIDLGTQLNSFKNV VRSLKSALGDAEAKTIFSRAVYLFYIGGNDLVYPLVANSSLFQSNTKEKFVDFVIGNT TSVVEEVYKIGGRKFGFLNIGAYECAPVISILDTTNIGSCSKPVAELIYLYNKKFPDA LRRLQHELSGFRYALHDYHTSLLERINNPSKYGFKEGKMGCCGSGPLKGINTCGNQMG KSYELCENVTDYLFFDASHLTEKAHRQIAELIWSGPPNVTGPYNLQALFELN" gene complement(960508..962443) /locus_tag="BRARA_H00113" /db_xref="Phytozome:Brara.H00113" mRNA complement(join(960508..960886,960979..961234, 961316..961546,961931..962058,962142..962443)) /locus_tag="BRARA_H00113" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00113" CDS complement(join(960651..960886,960979..961234, 961316..961546,961931..962058,962142..962406)) /locus_tag="BRARA_H00113" /codon_start=1 /product="hypothetical protein" /protein_id="RID49305.1" /db_xref="Phytozome:Brara.H00113" /translation="MKSSRLFSTILFLYTIILSISSINCKENNNNLVTNQAALFVFGD SLFDVGNNNYINTTTRSNFFPYGQTFFKVPTGRVSDGRLITDFIAEKAWLPLIPPNLQ PGNSNSQLTYGVNFASAGAGALVETFPGMVIDLGTQLNSFRNVERSLRSALGDAEAKK IFSRAVYMFSIGSNDLFFPLVANSSLFQSNTKERFVDFVIGNTTSVLEEVYKMGGRKF GFLNMGAYECAPPSLLLDPTNIGSCSKPVAELINLHNKKFPDALNRLQRELSGFRYAL HDYHTSLLDRINNPSKYGFKVGQMGCCGSGPFRGINTCGGRMGQSYELCENVNDYLFF DSSHLTEKAHQQIAELVWSGPPNVTRPYNLKALFELN" gene complement(967555..970035) /locus_tag="BRARA_H00114" /db_xref="Phytozome:Brara.H00114" mRNA complement(join(967555..967912,968053..968305, 968388..968612,969149..969273,969587..970035)) /locus_tag="BRARA_H00114" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00114" CDS complement(join(967662..967912,968053..968305, 968388..968612,969149..969273,969587..969923)) /locus_tag="BRARA_H00114" /codon_start=1 /product="hypothetical protein" /protein_id="RID49306.1" /db_xref="Phytozome:Brara.H00114" /translation="MRKSTLMEKVTQRTISSSSSSSSSTFFLCFFIFSLSILFLAGKS AAKVSYDGDNDDNVTALFIFGDSFLDAGNNNYINTTTLDQANFPPYGQTFFGLPTGRF SDGRLISDFIAEYAKLPLIPPFLEPGDSQKKLCGVNFASAGAGALVETFQGSVISLRT QLDHYKKVERLWRIKFGKEESKKRISRAVYLISIGSNDYSSPFLTNQSLSVSMSQHVD IVIGNLTTFIHEIYKIGGRKFGFLNVPDLGCFPALRILKQKNDSCLRDASILASIHNK ALSNLLFKMQRQVKGFKFSLFDMNKSLKLRMDHPSKFGFKEGEEACCGTGKWRGVFSC GGKRIVKEYKLCENPDDYIFWDSLHLTQNTYKQFANLIWNGGHMSDSLVVGPYNVNRL FQIS" gene complement(973362..975497) /locus_tag="BRARA_H00115" /db_xref="Phytozome:Brara.H00115" mRNA complement(join(973362..974246,975117..975497)) /locus_tag="BRARA_H00115" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00115" CDS complement(join(973537..974246,975117..975372)) /locus_tag="BRARA_H00115" /codon_start=1 /product="hypothetical protein" /protein_id="RID49307.1" /db_xref="Phytozome:Brara.H00115" /translation="MCGGAIISDFIPPPRSRRVTSEFLWPDLKKNAKKSSKKRSSFFD LDDEFEADFKGFKDDSSVDCDDAKPFVFAGARTPAVSAATADSVFGKKVADGEGERSA KRKRKSQYRGIRQRPWGKWAAEIRDPREGSRVWLGTFKTAEEAARAYDAAARRIRGSK AKVNFPEEKENPPAKKVAPNPSPVLSQNLDNSFDNMCFMEEKHQVNNNSNQFGGNGYH QYFSSDQGSNSFGCSEFGWNDQAPITPEISSAFINNNSATFAEEADPAKQLKVMDFET TYNSTEWDSSLDFFSGDAVATQDNGANPMELWSIDEIDSMIGGVF" gene complement(983070..983721) /locus_tag="BRARA_H00116" /db_xref="Phytozome:Brara.H00116" mRNA complement(join(983070..983371,983477..983721)) /locus_tag="BRARA_H00116" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00116" CDS complement(join(983191..983371,983477..983664)) /locus_tag="BRARA_H00116" /codon_start=1 /product="hypothetical protein" /protein_id="RID49308.1" /db_xref="Phytozome:Brara.H00116" /translation="MTKISVGLQLVTTDSKEKLSNIVIKSSLRPNRSNPNIAERCFLK TCHLCMKQLHQDKDIFMYRGDLGFCSRECRESQILIDEKKELEASTKMMLAAYRRCNS GENRNLLNDLRRRRQLFIVP" gene 991115..993472 /locus_tag="BRARA_H00117" /db_xref="Phytozome:Brara.H00117" mRNA join(991115..991438,991551..991632,991917..991997, 992228..992341,992431..992532,992649..992707, 992795..992897,992987..993052,993134..993472) /locus_tag="BRARA_H00117" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00117" mRNA join(991115..991290,991376..991438,991551..991632, 991917..991997,992228..992341,992431..992532, 992649..992707,992795..992897,992987..993052, 993134..993472) /locus_tag="BRARA_H00117" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00117" CDS join(991425..991438,991551..991632,991917..991997, 992228..992341,992431..992532,992649..992707, 992795..992897,992987..993052,993134..993226) /locus_tag="BRARA_H00117" /codon_start=1 /product="hypothetical protein" /protein_id="RID49309.1" /db_xref="Phytozome:Brara.H00117" /translation="MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGVKTKE GVVLAVEKRITSPLLEPSSVEKIMEIDDHIGCAMSGLIADARTLVEHARVETQNHRFS YGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTF WQCNAKAIGSGSEGADSSLQEQFNKDLSLEEAETIAVSILKQVMEEKVTPNNVDIAKV APAYHIYTPQEVEAVISRL" CDS join(991425..991438,991551..991632,991917..991997, 992228..992341,992431..992532,992649..992707, 992795..992897,992987..993052,993134..993226) /locus_tag="BRARA_H00117" /codon_start=1 /product="hypothetical protein" /protein_id="RID49310.1" /db_xref="Phytozome:Brara.H00117" /translation="MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGVKTKE GVVLAVEKRITSPLLEPSSVEKIMEIDDHIGCAMSGLIADARTLVEHARVETQNHRFS YGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTF WQCNAKAIGSGSEGADSSLQEQFNKDLSLEEAETIAVSILKQVMEEKVTPNNVDIAKV APAYHIYTPQEVEAVISRL" gene 993879..996449 /locus_tag="BRARA_H00118" /db_xref="Phytozome:Brara.H00118" mRNA join(993879..994048,994418..994499,994600..994691, 994772..994849,994944..995005,995132..995276, 995357..995575,995651..996008,996110..996449) /locus_tag="BRARA_H00118" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00118" CDS join(993962..994048,994418..994499,994600..994691, 994772..994849,994944..995005,995132..995276, 995357..995575,995651..996008,996110..996267) /locus_tag="BRARA_H00118" /codon_start=1 /product="hypothetical protein" /protein_id="RID49311.1" /db_xref="Phytozome:Brara.H00118" /translation="MTRDIEDEIRDEKNPRPLDEDDIALLKTYGLSPYSAANKKVEKE IKELAKRINDLCGIKESDTGLALPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTEDA KYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRTKYQIQIPLPPKIDPSVTMMTVE EKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLL ARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGA RFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKV EFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRA RRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN" gene complement(<996763..>1000345) /locus_tag="BRARA_H00119" /db_xref="Phytozome:Brara.H00119" mRNA complement(join(<996763..996840,996924..996980, 997054..997210,997294..997427,997505..997909, 998027..998310,998391..998698,998831..998893, 998981..999046,999118..999189,999269..999340, 999424..999495,999578..999643,999739..999810, 999884..1000016,1000252..>1000345)) /locus_tag="BRARA_H00119" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00119" CDS complement(join(996763..996840,996924..996980, 997054..997210,997294..997427,997505..997909, 998027..998310,998391..998698,998831..998893, 998981..999046,999118..999189,999269..999340, 999424..999495,999578..999643,999739..999810, 999884..1000016,1000252..1000345)) /locus_tag="BRARA_H00119" /codon_start=1 /product="hypothetical protein" /protein_id="RID49312.1" /db_xref="Phytozome:Brara.H00119" /translation="MENRVVVAALFAVCIVGFELRLIHGATDASDTSALNMLFSSMHS PGQLTQWTASGGDPCGQNWRGVTCSESRVTQLKLPGLELSGTLGYMLDKLTSLTELDL SSNNLGGDLPYQLPPNLQRLNLANNQFTGAAQYSISNMVSLKYLNLGHNQFKGQVAVD FSKLTSLTTLDFSFNSFTLSLPGTFTSLTSLKSLYLQNNQFSGTLNVLAGLPLETLNI ANNDFTGWIPSTLKGINLIKDGNSFNNGPAPPPPPGTPPIHRSPSHKSGGGANRDSTS NGDSKKSGIGAGVIAGIIISLLVVTALVAFFLVKRRRRSKRSSSMDIEKTDNQPFTLP PSDFHENNSIQSSSSVETKKLDTSLSINLRPPPADRSFDDDEDSTRKPIVVKKSTVAV PSNVRVYSVADLQIATSSFSVDNLLGEGTFGRVYRAEFNNGQVLAVKKIDSSALPHSM TDDFTEIVSKIAVLDHPNVTKLVGYCAEHGQHLLVYEYHSKGSLHDFLHLSEEESKAL VWNSRVKVALGTARALEYLHEVCSPSIVDKNIKSANILLDSEMNPHLSDTGLASFLPT ANELLNQTDEGYSAPEVSMSGQYSLKSDVYSFGVVMLELLTGRKPFDSTRSRSEQSLV RWATPQLHDIDALGKMVDPALEGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVQSL VVLRANMSKRTVGVDPSQRSAETTNDYM" gene 1007911..1011464 /locus_tag="BRARA_H00120" /db_xref="Phytozome:Brara.H00120" mRNA join(1007911..1008123,1008600..1008659,1008742..1008920, 1009001..1009136,1009209..1009305,1009387..1009446, 1009532..1009632,1009832..1009866,1009973..1010030, 1010329..1010403,1010472..1010629,1010709..1010966, 1011043..1011464) /locus_tag="BRARA_H00120" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00120" CDS join(1007992..1008123,1008600..1008659,1008742..1008920, 1009001..1009136,1009209..1009305,1009387..1009446, 1009532..1009632,1009832..1009866,1009973..1010030, 1010329..1010403,1010472..1010629,1010709..1010966, 1011043..1011271) /locus_tag="BRARA_H00120" /codon_start=1 /product="hypothetical protein" /protein_id="RID49313.1" /db_xref="Phytozome:Brara.H00120" /translation="MKHHHKLTVALCLIWASSILYGEMFAFWVPSLFTCSWPHHHLLK SDGVESDVKFTKVAIVTDPQLMDKTSFRLSSKTLALEVAQFYTDVNMRRSFFQSVLPF KPDVVLFLGDYFDGGPFLPEEEWYESLSRFKHVFGMNSQGQAGDVPTFYISGNHDIGY SRVASHKLDVISRYEKAFGSRNRRFMIGSTEFISIDAQAIDGNPQKELALEVWKFVQN VSSDAKSHPRVLLTHIPLYRPDQTPCGPHRASSVIDQRLWRHFKDQEVMYQNYITAES SKKLLELIKPTLVLSGHDHDQCTLTHKSEAGSVTEHTLGTISWQQGNLYPSFMLLSVP NAIHQNSSDQDNMLHTQLCFLPRQLFIYIWYLSLFVVTLLALLLWPNHGVSFLNNAAD TISNVMKLSFLSDVTKEKNEDENCEYEMVWDAEGSMHLVKKVLQAPVKRQSDKSHVER GNAVMRSAARKNDIENVMDSNVGAGVSDPLMRSASKSRTKLVIQRVIRTIMMSIVIAA FNVPIYMMLLFKDWVEK" gene complement(<1011810..>1011956) /locus_tag="BRARA_H00121" /db_xref="Phytozome:Brara.H00121" mRNA complement(<1011810..>1011956) /locus_tag="BRARA_H00121" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00121" CDS complement(1011810..1011956) /locus_tag="BRARA_H00121" /codon_start=1 /product="hypothetical protein" /protein_id="RID49314.1" /db_xref="Phytozome:Brara.H00121" /translation="MAELKSKLNKGHAFTSKCASLVKEQRARLYILRRCATMLCCWYI HGDE" gene 1014971..1016524 /locus_tag="BRARA_H00122" /db_xref="Phytozome:Brara.H00122" mRNA join(1014971..1015075,1015432..1015524,1015611..1016524) /locus_tag="BRARA_H00122" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00122" gene <1015036..>1015633 /locus_tag="BRARA_H00123" /db_xref="Phytozome:Brara.H00123" mRNA join(<1015036..1015075,1015432..1015524,1015611..>1015633) /locus_tag="BRARA_H00123" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00123" CDS join(1015036..1015075,1015432..1015524,1015611..1015633) /locus_tag="BRARA_H00123" /codon_start=1 /product="hypothetical protein" /protein_id="RID49315.1" /db_xref="Phytozome:Brara.H00123" /translation="MDQQPEPVTYVCGDCGQENTLKSGDVIQCRECGYRILYKKRTRR VVQYEAR" CDS 1015882..1016343 /locus_tag="BRARA_H00122" /codon_start=1 /product="hypothetical protein" /protein_id="RID49316.1" /db_xref="Phytozome:Brara.H00122" /translation="MCNVGFEWNRSNLLVGGGWVVRNERGVVQCHSRRAFNNIHSLDE AKLVVILWALESMGSHRMSNIIVACDFSELVGAVERPQAWPSFLHQVGEIELAMARIE GCRLISVGNEANKGATFIAQSVTRQGLIRSYVQNGHPPWLFELFVNESRCL" gene <1038779..>1039030 /locus_tag="BRARA_H00124" /db_xref="Phytozome:Brara.H00124" mRNA <1038779..>1039030 /locus_tag="BRARA_H00124" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00124" CDS 1038779..1039030 /locus_tag="BRARA_H00124" /codon_start=1 /product="hypothetical protein" /protein_id="RID49317.1" /db_xref="Phytozome:Brara.H00124" /translation="MCRLLCCCFCRKKKKPRDVKMGKKGKKDGGLVVVKPTKTKKRRN EPVDVSGCADLCCCFGGGGGGGDCGGGGCGGGGCGGGGG" gene <1043365..>1044241 /locus_tag="BRARA_H00125" /db_xref="Phytozome:Brara.H00125" mRNA join(<1043365..1043718,1044014..>1044241) /locus_tag="BRARA_H00125" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00125" CDS join(1043365..1043718,1044014..1044241) /locus_tag="BRARA_H00125" /codon_start=1 /product="hypothetical protein" /protein_id="RID49318.1" /db_xref="Phytozome:Brara.H00125" /translation="MKGYIIALIVCGCFAIIVVGLILWCLQNRKKKKAWSPSPPPPVS DVEKCRSSVVPRDGGLLVLTGTAVTTPVVAAAVTTGISKDISGGSGGDEGGGECDGGA NGGGLLWLWRFWRAWRNSNYSKILTIRKWKGLFENVFVTTQFVETIHSMGKLICRPIG MEILLKGEILDLRNIKRINNLHIRVSEDLHVKN" gene <1058982..>1059311 /locus_tag="BRARA_H00126" /db_xref="Phytozome:Brara.H00126" mRNA <1058982..>1059311 /locus_tag="BRARA_H00126" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00126" CDS 1058982..1059311 /locus_tag="BRARA_H00126" /codon_start=1 /product="hypothetical protein" /protein_id="RID49319.1" /db_xref="Phytozome:Brara.H00126" /translation="MDTFVIVIIIVCAGIVLPSLVLCCVLTHRKQRPLVSQSRDLEMG QTGSKDGGLVVLTRNVSTTATTVAAAVIIADSGGGGGCCCGCDDGGGGDGGGDGGGCG GCGGCGG" gene 1079336..1081508 /locus_tag="BRARA_H00127" /db_xref="Phytozome:Brara.H00127" mRNA join(1079336..1079622,1079835..1079963,1080051..1080158, 1080241..1080375,1080748..1080789,1080890..1080949, 1081029..1081107,1081202..1081508) /locus_tag="BRARA_H00127" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00127" CDS join(1079386..1079622,1079835..1079963,1080051..1080158, 1080241..1080375,1080748..1080789,1080890..1080949, 1081029..1081107,1081202..1081293) /locus_tag="BRARA_H00127" /codon_start=1 /product="hypothetical protein" /protein_id="RID49320.1" /db_xref="Phytozome:Brara.H00127" /translation="MVVATRFSRLQHLLLLQPRFQQSRVLRRPLIRTPTLIRSVMGSS SSSSSKLLFRQLFEKESSTYTYLLADISHPDKPALLIDPVDKTVDRDLKLINELGLKL VYAMNTHVHADHVTGTGLLKTKVPGVKSVISKASGSKADKFVEHGERVSIGDLYLEVR ATPGHTAGCVTYVTGEGADQPQPRMAFTGDAVLIRGCGRTDFQGGCSDQLYESVHSQI FTLPKDTLIYPAHDYKGFEVSTVGEEMQHNPRLTKDKETFKTIMSNLNLPYPKMIDVA LPANMVCGLQDLPSQAN" gene <1101317..>1102518 /locus_tag="BRARA_H00128" /db_xref="Phytozome:Brara.H00128" mRNA join(<1101317..1101426,1102044..>1102518) /locus_tag="BRARA_H00128" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00128" CDS join(1101317..1101426,1102044..1102518) /locus_tag="BRARA_H00128" /codon_start=1 /product="hypothetical protein" /protein_id="RID49321.1" /db_xref="Phytozome:Brara.H00128" /translation="MVKNVFNIQYGNQQNESCRMYEGYVVLCSLTRREDKTLKQTNRK GDALRHTYPSPKIRYGSRRRQLHSSPASLLLAMLCSLLSCFSFLCYFASRISRGVWFC GSLRRGWLRSSSLLSLQASFDGWGLPPRTPPAAVHTDDCSFSLSWLDFIDLRLNSVSD KPSWLQHGNVEAAKTTFHHPQLRRSDNFHQNPSS" gene complement(1108534..1112250) /locus_tag="BRARA_H00129" /db_xref="Phytozome:Brara.H00129" mRNA complement(join(1108534..1109028,1109277..1109479, 1109554..1109847,1110192..1110315,1110402..1112250)) /locus_tag="BRARA_H00129" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00129" CDS complement(join(1108725..1109028,1109277..1109479, 1109554..1109847,1110192..1110315,1110402..1112080)) /locus_tag="BRARA_H00129" /codon_start=1 /product="hypothetical protein" /protein_id="RID49322.1" /db_xref="Phytozome:Brara.H00129" /translation="MAADSNDNRRDVVVKIDGEDNDSSNNGEGGKFWRESSYNFWHGD QKDKNGKPHGGGDDGSFDFMHRRNEKTAETDPPSKLINQFLDKQKAAGDEISLDMEPN MPELQSNTVSPSPVTGSASPGGYRNETVDAVRRRHNRVTLSPSVKESDSSEEDENRVD ESEVVKCSSNRSLRTKTLMKMKTRSRLMDPPTPSYPEMVSGRTPKSGHLKTGKNTKPG TPVQDLEEEEDPFSEEDFPEGYKKDKLNLGIVMEWIFLILIIAGLICSLVIPFLRGKK LWNLALWKWEVMVLVLICGRLVSSWIVKIFVFFVESNFMLRKRVLYFVYGIRKPVQNC LWLGLVLIAWHFLFDKKVERETNTTVLKYVTKVLVCLLVAVIIWLIKTLLVKVLASSF HMSTYFDRIQESLFTQYVIETLSGPPRVVIHIEEEKVANGMGGAKQSPSGPKTVSSAS PQVTIGSGRLQRSPTRVGKSPALSRSGSKKEGEDDGIRIDHLQRMNTKNVSAWKMKRL MNVIRKGALSTLDEQIDTSTHEDDKATQIRSEFEAKLAARKIFQNVAEPGSRYIYIED FMRFLTEDESERAMDLFEGASESHKISKSCLKNWVVNAFRERRALALTLNDTKTAVNR LHRIINVLVSIVIVIIWLLILGIATTKFLLVISSQLLLVVFVFGNSCKTIFEAVIFVF VMHPFDVGDRCEIDGVQMIVEEMNILTTVFLRYDNQKIVYPNSLLGTKPIANYYRSPD MQDAIEFFVHIATPHEKITALKQRILSYVDNKKDHWHPSPMMVFRDMCGLNSVKIAMW PTHKMNHQDMGERFVRRGQLLEEIGRSCRELDIEYRLYPLNINVKSIPPAATPITSDR IPLSWTQQRNA" gene 1113542..1114218 /locus_tag="BRARA_H00130" /db_xref="Phytozome:Brara.H00130" mRNA 1113542..1114218 /locus_tag="BRARA_H00130" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00130" CDS 1113570..1113791 /locus_tag="BRARA_H00130" /codon_start=1 /product="hypothetical protein" /protein_id="RID49323.1" /db_xref="Phytozome:Brara.H00130" /translation="MVIVPSGTSDKIGTIQRRLAWPLRKDDTHKSRNGPNFFFSFFFS NRSPSSSSSVKPVSRFHDSQFKFWMSIRS" gene <1120777..>1121895 /locus_tag="BRARA_H00131" /db_xref="Phytozome:Brara.H00131" mRNA <1120777..>1121895 /locus_tag="BRARA_H00131" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00131" CDS 1120777..1121895 /locus_tag="BRARA_H00131" /codon_start=1 /product="hypothetical protein" /protein_id="RID49324.1" /db_xref="Phytozome:Brara.H00131" /translation="MARKRKRSGDGINTPLDLTVEILHRLPAKSLARTRCVSKQWRTI IDDYIVKNSVVTRSLSQPSPDAPHFILDTLLDCGVVFSYTYSRQIRSERNQIVEKMFA MTATAREFQYVRGLIGFWSCTRGQFTLHNPTTRRSVPLPDTGIPPRRFYLFGYDPLRN QYKVACIARPTSEPEQSCKVFTLGDLGQEWRNIKCCIERHSPFGTAVCIGGTIYYTAK AENQRNVIISFNVVSEKFSHVFQVPEKLNVRYGKSSLVDYQGKLGCICYNYLNNEDMD VWVIENAEKQEWSKITHMAVLQGIPSSMCRFGVTHPGGEIVIVPYFYYYLGSEGYYYN PNINSRRSFVIQSPRLGGTDLVRIWPVTDPVENIMSLM" gene 1131122..1136728 /locus_tag="BRARA_H00132" /db_xref="Phytozome:Brara.H00132" mRNA join(1131122..1131162,1131555..1131673,1135066..1136728) /locus_tag="BRARA_H00132" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00132" mRNA join(1131224..1131673,1135066..1136728) /locus_tag="BRARA_H00132" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00132" CDS 1135066..1136406 /locus_tag="BRARA_H00132" /codon_start=1 /product="hypothetical protein" /protein_id="RID49325.1" /db_xref="Phytozome:Brara.H00132" /translation="METVKPRAVAPKGKFRRTFAKVLNIHKLTGVAPDVKKIKLDSKN SNISESFYKLEEEYERRLSLEALLAKLFATLSTIKAGYAQLQHSQSPYDAIGIQKADN LVVSELKTLSELKQCFLKKQMDPNPERTLVLAEIQELRSLLKTYEVMGRKLESQCKLK DSEILFLREKLEETTKQNKLTEKRLNQSGQLCNPLDNLHLSALNPTHFVAYLNHTVKS IRGFVKLMVQQMKLAGWDLSMAANSIQPGVVYYKQDHKCFPFEHFVSNVMFEAFHLPH FSTDSRSFKKQSKTEKQSKTEREAFFERFTELRSMKARDYLNARPRSRFARFCRAKFL QLIHPKMEEAFFGHTHLRNQVSAGVFPETSLCSGFLEMAKRVWLLHCLALSFEHEAEI FRVQKGCRFSEVYMKSVAEEALEETELPRVAFTVVPGFRVGNSLVQCEVYLSGS" CDS 1135066..1136406 /locus_tag="BRARA_H00132" /codon_start=1 /product="hypothetical protein" /protein_id="RID49326.1" /db_xref="Phytozome:Brara.H00132" /translation="METVKPRAVAPKGKFRRTFAKVLNIHKLTGVAPDVKKIKLDSKN SNISESFYKLEEEYERRLSLEALLAKLFATLSTIKAGYAQLQHSQSPYDAIGIQKADN LVVSELKTLSELKQCFLKKQMDPNPERTLVLAEIQELRSLLKTYEVMGRKLESQCKLK DSEILFLREKLEETTKQNKLTEKRLNQSGQLCNPLDNLHLSALNPTHFVAYLNHTVKS IRGFVKLMVQQMKLAGWDLSMAANSIQPGVVYYKQDHKCFPFEHFVSNVMFEAFHLPH FSTDSRSFKKQSKTEKQSKTEREAFFERFTELRSMKARDYLNARPRSRFARFCRAKFL QLIHPKMEEAFFGHTHLRNQVSAGVFPETSLCSGFLEMAKRVWLLHCLALSFEHEAEI FRVQKGCRFSEVYMKSVAEEALEETELPRVAFTVVPGFRVGNSLVQCEVYLSGS" gene 1138087..1139551 /locus_tag="BRARA_H00133" /db_xref="Phytozome:Brara.H00133" mRNA 1138087..1139551 /locus_tag="BRARA_H00133" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00133" CDS 1138111..1139226 /locus_tag="BRARA_H00133" /codon_start=1 /product="hypothetical protein" /protein_id="RID49327.1" /db_xref="Phytozome:Brara.H00133" /translation="MILANLPNDLESEILARVPAKSLSQLKTTCKRWYALLRDPKFVE KNKKMSSEAARESMLLSNHEVYSIAGDIHSSGDVAQPLEFTGKLSKDLDLYTISHCDG LMLCQAKNNSSVVVWNPCTGETKMIEPRTRYQIRDRFALGYDSSRRGYKILRFGYYQN EEKVWFVECEMYDLSSGSWRVVDSFTLDYRMYCSGVSLRGDTYFVAGDQDSSFFLMKF DFTAERFVRLPLPFQTFDPEDTAVLSVVRDEKLSVCHQEIHSWSNVMRIWVSNKVDEE GKVLSWRKDFVLTVDFDKFQLRCVVNVASFLLDEEKKVAVCCDVCDEDMKGEAKNRIY IVGEDMYKQVHNDGIVNASLLNCPLVLTYVPSLVHIH" gene complement(1145034..>1146201) /locus_tag="BRARA_H00134" /db_xref="Phytozome:Brara.H00134" mRNA complement(1145034..>1146201) /locus_tag="BRARA_H00134" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00134" CDS complement(1145185..1146201) /locus_tag="BRARA_H00134" /codon_start=1 /product="hypothetical protein" /protein_id="RID49328.1" /db_xref="Phytozome:Brara.H00134" /translation="MNLGVKMVQKKVAVLYHYPCHDGVFAALAAHLYFSANSIPSLFF PNTVYSPITISQLPLQDISHLYLLDFTGPPGFVQQVSPKVDSVVILDHHKTAIDTLGD VSTTCKNVTKVLDITRSGATIAFDYFTQKLKDETRGNCREMSDFKRMRRVFEYIEDAD IWKWKLPESKAFNSGIIDLGIEYNFNQNSSLFQQLLSLDHDSVINRGKESLCRKHKLI HEALEHSYEIVLGGAEEFGRCLAVNADEIAELRSELGNQLAEKSKGMRLRGVGAVVYR VPELGDETKLKISLRSVAEEDTTVVSQRFGGGGHKNASSFLLSSTEFEQWKVKRISCY NTIN" gene complement(1146478..1147938) /locus_tag="BRARA_H00135" /db_xref="Phytozome:Brara.H00135" mRNA complement(1146478..1147938) /locus_tag="BRARA_H00135" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00135" CDS complement(1146519..1147898) /locus_tag="BRARA_H00135" /codon_start=1 /product="hypothetical protein" /protein_id="RID49329.1" /db_xref="Phytozome:Brara.H00135" /translation="MSAVKKVSSFRLASLLRRENDPSAAIKLFRNPDPESTNPQKPFR YSLLCYDLIITKLGRSKMFDELDQILLQLKSDTRIVPTEILFCNVIKYFARGRLPTRA LHVFDEMPQYRCQRTMKSANSLLNALLKCGAFDETKEVLTRVGEFGKPDACTYNILIN GYSQSGRFDEALKLFDEMVKKKVKPTGVTFGTIIRMLCNDLKVKEALKMKHDMLKVYG VFPTVHIYASLIKALCQVGELGLAFKLKDEVKADSAIYSTLISSLIKAGRSDEVSGIL EEMREMGCEPDTVTYNVLINGFCLENDLKSAYKVLDEMVEKGLKPDVISYNVILGALF RIQNWKEGAYLFEDMPRRGCVPDVLSYRIVFDGLCEGVQFEEAAVILDEMVFKGYKPR RDRLKRYLQRLCESGKVEILGDVISSLNKGNAYDADFWSVTVPEVCKEPVLSDSIDLL LNKLKEECP" gene 1150793..1153540 /locus_tag="BRARA_H00136" /db_xref="Phytozome:Brara.H00136" mRNA join(1150793..1151220,1152049..1152136,1152565..1152694, 1152771..1153540) /locus_tag="BRARA_H00136" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00136" CDS join(1150864..1151220,1152049..1152136,1152565..1152694, 1152771..1153374) /locus_tag="BRARA_H00136" /codon_start=1 /product="hypothetical protein" /protein_id="RID49330.1" /db_xref="Phytozome:Brara.H00136" /translation="MPLSRSLLSRRFSISFRESQGGKTPPEADSHQPPPPTTTKMMLP PPPSNGAAGTSWSAMLPELLGEIIRRVEDSEDQWPHRRDVVSCACVSKKWREIAQEMV RSPLNSGKITFPSCLKLPGPREFSNQCLIKRNKKTSTFYLYLALTPSFTDKGKFLLAA RRFRTGAYTEYIISLDADDFSQGSNAYVGKLRSDFLGTNFTVYDSQPPHNGAKPSNGK ASRRFASKQISPQVPAGNFEVGHVSYKFNLLKSRGPRRMISTLRCPSSSPPPPSSSST DHQNPCDVVTKIMKKPNKDGSGLTILKNKAPRWHEHLQCWCLNFHGRVTVASVKNFQL AATVDQSQPGGKGDEETVLLQFGKVGDDTFTMDYRQPLSAFQAFAICLTSFGTKLACE " gene complement(<1159342..>1159900) /locus_tag="BRARA_H00137" /db_xref="Phytozome:Brara.H00137" mRNA complement(join(<1159342..1159396,1159749..>1159900)) /locus_tag="BRARA_H00137" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00137" CDS complement(join(1159342..1159396,1159749..>1159900)) /locus_tag="BRARA_H00137" /codon_start=1 /product="hypothetical protein" /protein_id="RID49331.1" /db_xref="Phytozome:Brara.H00137" /translation="KQKPSLYRDGVTKEIVPSGTSDKIGTIQRRLAWPLRKDDTHKSR NGPNFFWRLHLRLEEGPGASMAFL" gene 1164417..1169222 /locus_tag="BRARA_H00138" /db_xref="Phytozome:Brara.H00138" mRNA join(1164417..1164536,1164701..1164885,1165314..1165708, 1165782..1165866,1165948..1166274,1166353..1166443, 1166522..1166676,1166754..1167752,1168222..1168608, 1168694..1169222) /locus_tag="BRARA_H00138" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00138" CDS join(1164718..1164885,1165314..1165708,1165782..1165866, 1165948..1166274,1166353..1166443,1166522..1166676, 1166754..1167752,1168222..1168608,1168694..1168981) /locus_tag="BRARA_H00138" /codon_start=1 /product="hypothetical protein" /protein_id="RID49332.1" /db_xref="Phytozome:Brara.H00138" /translation="MPHGKLEKMASMDVHLRQLVPGKVSEDDKLVEYDALLLDRFLDI LQELHGEDLRETVQELYEHSAEYEGKHEPKKLEELGNVLTSLDPGDSIVIAKAFSHML NLANLAEEVQIAYRRRIKKLKKGDFVDESSATTESDLEETFKKLVGDLNKSPEEIFDA LKNQTVDLVLTAHPTQSVRRSLLQKHGRIRDCLAQLYAKDITPDDKQELDEALQREIQ AAFRTDEIKRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIEERVPYNA PLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAATMYFNQIEDLMFELSMWRCNDE LRVRADELHVNRRKDAAKHYIEFWKSIPPTEPYRVVLGDVRDKLYHTRERARQLLSNG TSDVPEEATFNNLEEFLEPLELCYRSLCSCGDRPIADGSLLDFLRQVSTFGLSLVRLD IRQESDRHTDVLDAITTHLEIGSYREWSEERRQEWLLSELSGKRPLFGSDLPKTEEIA DVLDTFHVIAELPSDSFGAYIISMATAPSDVLAVELLQRECHVKRPLRVVPLFEKLAD LEAAPAAVARLFSVDWYKNRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELVKVA KEYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTINGSLRVTVQGEVIEQSFGEEHL CFRTLQRFTAATLEHGMRPPVSPKPEWRELLDEMAVVATEEYRSVVFQEPRFVEYFRL ATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAIRHVV EKDVKNLHMLQDMYQHWPFFRVTIDLIEMVFAKGDPGIAALYDKLLVSEELWPFGEKL RANFEETKKLVLQTAGHKDLLEGDPYLKQRLRLRNSYITTLNVCQAYTLKRIRDPSYN VTLRPHISKEIAESSKELIELNPTSEYAPGLEDTLILTMKGVAAGLQNTG" gene complement(1172137..1175481) /locus_tag="BRARA_H00139" /db_xref="Phytozome:Brara.H00139" mRNA complement(join(1172137..1172686,1172762..1173073, 1173143..1173661,1173895..1174090,1174360..1175481)) /locus_tag="BRARA_H00139" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00139" CDS complement(join(1172534..1172686,1172762..1173073, 1173143..1173661,1173895..1174090,1174360..1175192)) /locus_tag="BRARA_H00139" /codon_start=1 /product="hypothetical protein" /protein_id="RID49333.1" /db_xref="Phytozome:Brara.H00139" /translation="MSPSGKPVPPRDSSLTSEINKPDFRELDLGSPVSPLRSQPRGLT TTTTTSSSSSSSSGSVTRQHAPVNGRSGSVRGSSQSGSSSGNLRTSQARSDSVTSNSQ QPLVSSSTQSSATSPATAANVLPTGNICPSGKIQLTGMTQSRSRSDVLGSGTGTYGHG SIMRGGGGSGVSPAKPVAPVTVGGSIRSSPAAMLGSDAEEVKRVGNEMYRKGLFGEAL KLYDRAIALSPANAAYRSNRAAALTGLGRVGEAVKECEEALRLDPNYGRAHQRLASLL IRLGQVDNARKHLCVLGKPSDPMELQKLEAVEKHISKCADARKLGDWKAALMGVDAAI VAGADFSPQLGMCKVEALLKLHRLDAAQSKLLEALKVEPFPASCSQTRFSGIACEAYT YFVKAQIEMALGRFENAVMAAEKASKLDPRSNEVAMLHNTVTLVARSRVRGNDLYKSE RYTEASSAYAEGLRLDPCNAILYCNRAACWFKLGMWERSIEDCNHALRFQPRYTKPLL RRAASNSKVERWAAAVSDYEALRKELPHDKEVAESLFHAQVALKKSRGEEVLNMEFGG EVEEVYSREQFKAAMNLPGVSVIHFSTASDHQCKQLSPFVDSLCTRYPSIHFLKVDID KCPSIGNAENVRVVPTVKIYKNGTRVKEIVCPSKEVLEYSVRHYSS" gene 1182937..1185280 /locus_tag="BRARA_H00140" /db_xref="Phytozome:Brara.H00140" mRNA join(1182937..1183087,1183166..1183366,1183470..1183619, 1183712..1183897,1184220..1184300,1184435..1184635, 1184710..1184850,1184963..1185280) /locus_tag="BRARA_H00140" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00140" CDS join(1182959..1183087,1183166..1183366,1183470..1183619, 1183712..1183897,1184220..1184300,1184435..1184635, 1184710..1184850,1184963..1185160) /locus_tag="BRARA_H00140" /codon_start=1 /product="hypothetical protein" /protein_id="RID49334.1" /db_xref="Phytozome:Brara.H00140" /translation="MAFHCLNPITATPFSSTRLHRSTWRRTSRSFSVSATMASPAKRV LIPVAHGTEPFEAVAMIDVLRRGGADVTVASVENQVGVDACHGIKIVADALLSDVNDS VFDLIMLPGGLPGGETLKNCKPLENMVKKQDSEGRLNAAICCAPALALGTWGLLEGRR ATCYPVFMEKLGATCGTAVESRVEVDGRIVTSRGPGTTMEFSVTLVEQLFGKEKAAEV SAPLVMRPNPGDEYTITELNQMNWSFDSTPQILVPIADGSEEMEAVAIIDFLRRAKAN VVVAALGNSLEVVASRKVKLVADVLLDEAVKNSYDLIVLPGGLGGAEAFASSEKLVNM LKKQAESSKPYGAICASPALVFEPHGLLKGKKATAFPAMCNKLSDQSHIEHRVLVDGN LITSRGPGTSLEFALTIVEKFYGREKGLQLAKATLV" gene complement(<1185347..>1187113) /locus_tag="BRARA_H00141" /db_xref="Phytozome:Brara.H00141" mRNA complement(<1185347..>1187113) /locus_tag="BRARA_H00141" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00141" CDS complement(1185347..1187113) /locus_tag="BRARA_H00141" /codon_start=1 /product="hypothetical protein" /protein_id="RID49335.1" /db_xref="Phytozome:Brara.H00141" /translation="MELPVKAPVSGCRKISYKLETKNLSYRLYGNTSKFSNLCGLLNE KEEKVILKDVSCDARSGEITAIAGPSGAGKTTLLEILAGKVSQGKVSGQVLVNGRPMN GPEYRRVSGFVPQEDALFPFLTVQETLTYSALLRLKTNRKEAKAKVKKLMEELGLEHV ADSRIGEGSRSGISGGERRRVSIGVELVHDPNVILIDEPTSGLDSASALQVVMLLKDM TIKQGKTIVLTIHQPGFRILEQINRIVLLSSGLVVQNGSVNSLYQKIKFFGHQIPRRV NVLEYAIDIAGSLEPVLTQSCREISCYGHGKTWKSNNAGREFHQPDSHSNSVLEEVQI LGQRSCKNIFRTKQLFTTRALQASIAGLILGSIYLNVGNQKQEGRVLRTGFFAFTLTF LLSSTTEGLPIFLQDRRILMRETSRRAYRVLSYVLADTLIFIPFLLIISMLFATPVYW LVGLRRELDGFLYFSLVIWIVLLMSNSFVACFSALVPNFIMGTSVISGLMGSFFLFSG YFIAKDRIPVYWEFMHYLSLFKYPFECLMINEYRGDVFLKEQDLEESQKWSNLGIMAS FIVGYRVLGFFILWYRCYKTKR" gene complement(1188649..>1189436) /locus_tag="BRARA_H00142" /db_xref="Phytozome:Brara.H00142" mRNA complement(join(1188649..1188960,1189044..>1189436)) /locus_tag="BRARA_H00142" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00142" CDS complement(join(1188736..1188960,1189044..>1189436)) /locus_tag="BRARA_H00142" /codon_start=1 /product="hypothetical protein" /protein_id="RID49336.1" /db_xref="Phytozome:Brara.H00142" /translation="DETKEKIRASMKQIWAERLRSKRLKEKFTSLWSESIAEAARRGG SGEVELDWDSYEKAKLEILSEEKARTKEQNRVRAEEAKTEKKVRRVVERQKERQERDQ RGGKTRKPQQSKESATTASRSKLKKRLTKIHKKKTSLGKVAIGKDKVVSVAAKLEKLD LELIMKERRRGDISLSDQIQAAKNQRGNDFSSRFGLFAMKSMDFD" gene 1191814..1193866 /locus_tag="BRARA_H00143" /db_xref="Phytozome:Brara.H00143" mRNA join(1191814..1192111,1192256..1192429,1192727..1192895, 1192986..1193047,1193131..1193252,1193323..1193440, 1193537..1193866) /locus_tag="BRARA_H00143" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00143" CDS join(1191869..1192111,1192256..1192429,1192727..1192895, 1192986..1193047,1193131..1193252,1193323..1193440, 1193537..1193674) /locus_tag="BRARA_H00143" /codon_start=1 /product="hypothetical protein" /protein_id="RID49337.1" /db_xref="Phytozome:Brara.H00143" /translation="MFRSALVRSSASAKQSLLRRSFSSGSVPERKVAILGAAGGIGQP LALLMKLNPLVSSLSLYDIANTPGVAADVGHINTRSQVVGYMGDDNLAKALEGADLVI IPAGVPRKPGMTRDDLFNINAGIVKNLCSAIAKYCPHALVNMISNPVNSTVPIAAEIF KKAGMYDEKKLFGVTTLDVVRAKTFYAGKANVPVAEVNVPVIGGHAGVTILPLFSQAT PQANLSGDVLTALTKRTQDGGTEVVEAKAGKGSATLSMAYAGALFADACLKGLNGVPD VVECSYVQSTITELPFFASKVRLGKNGVEEVLDLGPLSDFEKEGLEALKPELKSSIEK GVKFANQ" gene 1202254..1203686 /locus_tag="BRARA_H00144" /db_xref="Phytozome:Brara.H00144" mRNA 1202254..1203686 /locus_tag="BRARA_H00144" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00144" CDS 1202350..1203378 /locus_tag="BRARA_H00144" /codon_start=1 /product="hypothetical protein" /protein_id="RID49338.1" /db_xref="Phytozome:Brara.H00144" /translation="MADEESNHHHSIHSPSSLGMRHQSVFSTAAEHGGCGEIVEVEGG HIVRSTGKKDRHSKVCTAKGPRDRRVRLSAPTAIQFYDVQDRLGLDRPSKAVDWLIMK AKSAIDNLAELPPWNPADTIRQAAANKPKRPKTVIPPPETGIHGGSGKETEHHHHQSS FLPASLSTPDYHPPPSSRANAQSQDLRLSLHSFQNGPAFADETEHALFSGQSNPLVFD SSTASCDQSPEFGKMQRLVTWNNGGAADSAAFGGGGFVFASPATTTSFQPQSQVFSQR GPLQSINTPMPPRAWFDPYHDHHHNHHHHPYHISPAIHQSAIPARYLSEEDGHGDKPS SASSDSRH" gene complement(1210878..1213922) /locus_tag="BRARA_H00145" /db_xref="Phytozome:Brara.H00145" mRNA complement(join(1210878..1211592,1211670..1211949, 1212428..1212573,1212666..1212847,1212923..1213108, 1213451..1213922)) /locus_tag="BRARA_H00145" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00145" CDS complement(join(1211029..1211592,1211670..1211949, 1212428..1212573,1212666..1212847,1212923..1213108, 1213451..1213844)) /locus_tag="BRARA_H00145" /codon_start=1 /product="hypothetical protein" /protein_id="RID49339.1" /db_xref="Phytozome:Brara.H00145" /translation="MRFRSLISLLFLLFFTSSAYARLVSLHPSSTDLISDGVNGEPLI NSRSIIKTVVSAEEKEEACEQTYGFMPCTKTALGNVFLILVYGFLMFTAATYLSAGSE LLLEILGPGIVGGLFLPMLGALPDAMLIMVSGLSGDAATAQTQVSVGMGLLAGSTVML LTVIWGTCTVVGKCDLRDSIAVNNQDTKGFHLKDSGVTVDVWTSYAARIMAISVIPFI IVQLPQMLDSTSGRHLAVLVALILSVLMLISYCVYQVFQPWIQRRRLAFAKHKHVISG ILRHLKQHALGRLLNDEGQPDEHVIRRLFETIDANKDGHLSAAELKALIIGISFEDID FDKDDAVGKVLQDFDKTLDEQVDQEEFVRGIKRWLIQAMGAAGPSGPDAGPRTMKFLD HFHVQTKREHALLGDNENGENDEESGEVADPKWITIKAALLLLLGAAIAAAFADPLVD TVNNFSAATGIPSFFISFIALPLATNSSEAVSAIIFASRKKIRTASLTFSELCGGVTM NNILCLSVFLAIVYLRGLTWNFSSEVLVILIVCLVMGGFASFRTTYPLWTCFIAYLLY PFSLGLVYILDYWFGWS" gene complement(1229861..1231286) /locus_tag="BRARA_H00146" /db_xref="Phytozome:Brara.H00146" mRNA complement(join(1229861..1230266,1230353..1231286)) /locus_tag="BRARA_H00146" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00146" CDS complement(join(1229985..1230266,1230353..1231099)) /locus_tag="BRARA_H00146" /codon_start=1 /product="hypothetical protein" /protein_id="RID49340.1" /db_xref="Phytozome:Brara.H00146" /translation="MNLSSSSESLIDDLLDDYWFFENLFTRRSRVLRYCHSDPYPSSS SSLTSPEKVGDLDEKKSLEASTGRCLIRAASIDGRKGETKQFSEKTRVQEPRPVGSFL RQKEPVVLPKSAGSCSAPGKIQEASTDRCLVRAPSLPPRIEKRNVDYEAKKMISKLTR QFSEKIRVLEPKTTGERYLHKKKRNSERNMTEGGSSSSSSSSSVKISLQRTQTMPNNI REEDELEDHETDSRMGFLIREALASSQNVPKVSINPRQRPPRQLRSEETVMVKQGSSS SKTLRKTVSSIETTKEIQRLKGYDDQLVEPHGLATPPRVPKDSRKEMKDQIKFWARAV ASNVRQEC" gene 1245188..1246092 /locus_tag="BRARA_H00147" /db_xref="Phytozome:Brara.H00147" mRNA 1245188..1246092 /locus_tag="BRARA_H00147" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00147" CDS 1245304..1245870 /locus_tag="BRARA_H00147" /codon_start=1 /product="hypothetical protein" /protein_id="RID49341.1" /db_xref="Phytozome:Brara.H00147" /translation="MTKMGFKPDPNPNPIQGNAKEIRYRGVRKRPWGRYAAEIRDPRK KTRVWLGTFDTAQQAARAYDAAAREFRGAKAKTNFPTSLELNVNDGGYSRSPSQSSTV DSASPTAARLVTPPQLELSLGNGGGACCQIPVARHVYFFNMTAFPLAATCGVQSESDS SSVVDFEGGAEKKSQPLDLDLNLAPPAE" gene complement(1249339..1250770) /locus_tag="BRARA_H00148" /db_xref="Phytozome:Brara.H00148" mRNA complement(1249339..1250770) /locus_tag="BRARA_H00148" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00148" CDS complement(1249611..1250708) /locus_tag="BRARA_H00148" /codon_start=1 /product="hypothetical protein" /protein_id="RID49342.1" /db_xref="Phytozome:Brara.H00148" /translation="MSLLLGYSPKLFIRKPVLVRASGGGSSSLVQTPPCFILGADPCG ADHVLLEYAYSMKNHPRLRKKAPEQLVYNDAEVTTIGSSHGWVASLMHGVGTLCLHDD LNPVASDANPKRILLPPLVTVPHCQTQIVTNVSLSSPSPEDEDCVVAVKFLGPQLSYC RPSSALGSKSKWFNIRIANPSFFSSRVMFSEKLNMFRIPGAGGQVIGSWDLCKDQHTP KILVLRYRYLPELSEAEREVMDTCFTTEHLVESQSTGETFLVKCFRQSVNGGTSWETK AVMVFRVLPLGNALYTQDIGDLTIFISKSEAFCVRASSFPDVRPNEVYILEDTEIAFF RLADSDISGHTKRVVAPYFIPPQNIEYQVWD" gene <1253217..>1254353 /locus_tag="BRARA_H00149" /db_xref="Phytozome:Brara.H00149" mRNA <1253217..>1254353 /locus_tag="BRARA_H00149" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00149" CDS 1253217..1254353 /locus_tag="BRARA_H00149" /codon_start=1 /product="hypothetical protein" /protein_id="RID49343.1" /db_xref="Phytozome:Brara.H00149" /translation="MSLILSHSPKIFIRKPVLVRASAGGSSSPLQTPPCYIIGAKFAP DNVELRFAYTSTDYPKPIKKAPVELVYNDINDPITPWLAEKFKEGNKVSDAAVTIGSS HGWIASLLHGVGILRLHDDLNPAASDANPKRISLPPLVTLPHCQTQIITNVSLSSLSP EEEDCVVAVKFMGPQLSFCRPSAQGDSKWFNIRIANPCFYSSRVMFSKKHNMFRIPGS GGQLIASWDLCKDIKHTPKFQELRYHFLPEPTEAEREVMDTCLTTEHLVESQSTGETF LVRCFRQTVKKGLLETKAVMVFNVSPKGNAVYTQDIGDLTIFISKAEPFCVRASSFPG VRPNHVYMLDVMEIAFFKLADSFITTLTERMMAPYFFPPQNIEY" gene <1260499..>1261605 /locus_tag="BRARA_H00150" /db_xref="Phytozome:Brara.H00150" mRNA <1260499..>1261605 /locus_tag="BRARA_H00150" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00150" CDS 1260499..1261605 /locus_tag="BRARA_H00150" /codon_start=1 /product="hypothetical protein" /protein_id="RID49344.1" /db_xref="Phytozome:Brara.H00150" /translation="MSLLLSHSPKIFIRKPVLVRASAGRSSSPLQTPPCFVRGEDPCG PDHVELRIAYATRSFPKVIKKAPVELVYNDASDAAVTTIGSSHGWVASLMHDVGTLRL HDDLNPVASNSDPKRILLPPLVTLPHCQTQIITNVSLSSLSPEEEDCVVAVKFLGHQL SFCRPASQSNSEWFNIKIDNPCFFSSRVMFSKRHNMFRIPGAGGQLIGSWDLCEDKHT PKFQELRYHNLPELSKAERETMHSCFTSEHLVESRSTGETFLVKLFRQTVDGTSLKVK GTKLKTKGVMVFKVDDHGNAVYTQDIGDLAIFLSKSEPFCVCASFLPGVLPNYVNILD VRELAYFKLTDSSLISYTHRFKAPYFCPPQNIEY" gene complement(1268031..1271314) /locus_tag="BRARA_H00151" /db_xref="Phytozome:Brara.H00151" mRNA complement(join(1268031..1268469,1268543..1269014, 1269092..1269192,1269265..1269492,1269879..1270481, 1270565..1271314)) /locus_tag="BRARA_H00151" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00151" CDS complement(join(1268251..1268469,1268543..1269014, 1269092..1269192,1269265..1269492,1269879..1270481, 1270565..1270921)) /locus_tag="BRARA_H00151" /codon_start=1 /product="hypothetical protein" /protein_id="RID49345.1" /db_xref="Phytozome:Brara.H00151" /translation="MGCVWCKPSAIEDSPKERLSSKPSSEYRVSRPVGSSRREESVRT KERSDVVSVVRPVLSNSSRREKKLENVATPHFPIAKAAEGEYVAAGWPPWLASVAGEA IKGWVPRRADSFEKLDKIGQGTYSNVYRARDLNQKKIVALKKVRFDNLEPESVRFMAR EIQILRRLDHPNIIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASHPAVKFSEPQVKCY LQQLLSGLDHCHSRGVLHRDIKGSNLLIDNSGVLKIADFGLASFFDPRQTQPLTSRVV TLWYRPPELLLGATRYGAAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSP SEEYWVKSKLPHATIFKPTQPYKRVVDETFKEFPQPALALLETLLSVNPDDRGTATSA LHSEFFSTRPLPCDPSSLPKYPPSKELDARMRDEESRRQGGGNREQRHQERRGTKESR AIPAPEANAELVTSMQKRQSQSSTNRSRSEKFNPHPEEVASGFPIDPPRPSSQAFEPN RESQGNIVLPPHKRASHSGPLTRRSASAKGRRTYQDPQKVSLQQETCRGMTRLPGSFK EVSEEANQEENGRSNKKDPILLGYGSKGHKIHYSGPLVVPSGNMDQVLKDHDRHIQEA VRRARIDKARVRKHQPEEDSSQQVSTNHPSSVSSR" gene 1283194..1286096 /locus_tag="BRARA_H00152" /db_xref="Phytozome:Brara.H00152" mRNA join(1283194..1283292,1283372..1283416,1283498..1283757, 1283826..1284068,1284206..1284401,1285518..1285689, 1285765..1286096) /locus_tag="BRARA_H00152" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00152" CDS join(1283613..1283757,1283826..1284068,1284206..1284401, 1285518..1285689,1285765..1285956) /locus_tag="BRARA_H00152" /codon_start=1 /product="hypothetical protein" /protein_id="RID49346.1" /db_xref="Phytozome:Brara.H00152" /translation="MLTSNSKDKERSLSFLCCWYLGRRRVAMLLLLSLAFVVFVLGSY TINKESSNSPNIHQSIETIEFGINQTPLSRELSSFYTGDSNNDQTTRGSDVDIIHPPP SLPSHHPCDSFSFPPPPPPGLRRPGPRPCPVCYLSPEEALAHMPKHQFESPVLKNLTF IHEESPVKHEEGQGGSEFGGYPSLEDRTNSFDIKEEHIPITNLFTCVWFNEVDRFTSR DQLSFAVARDKIREKVDWSINMFLDCERRNFVKQVYHRDVLMNMKPPRASSSKVLPEP LPLPRGKSVGGRANTGKKSPGQRGKRRHRKVSAGGRNMR" mRNA join(1285498..1285689,1285765..1286096) /locus_tag="BRARA_H00152" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00152" CDS join(1285651..1285689,1285765..1285956) /locus_tag="BRARA_H00152" /codon_start=1 /product="hypothetical protein" /protein_id="RID49347.1" /db_xref="Phytozome:Brara.H00152" /translation="MFLDCERRNFVKQVYHRDVLMNMKPPRASSSKVLPEPLPLPRGK SVGGRANTGKKSPGQRGKRRHRKVSAGGRNMR" gene 1293585..1294843 /locus_tag="BRARA_H00153" /db_xref="Phytozome:Brara.H00153" mRNA join(1293585..1293717,1293787..1294216,1294460..1294843) /locus_tag="BRARA_H00153" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00153" CDS join(1293635..1293717,1293787..1294216,1294460..1294738) /locus_tag="BRARA_H00153" /codon_start=1 /product="hypothetical protein" /protein_id="RID49348.1" /db_xref="Phytozome:Brara.H00153" /translation="MAKKVLMTANGDEVSRNIAIQLAKHGCRLVLMGNEASLRSTVDY IRVSVDGAFPVELIGADMEADSEEAFYVAVQKAWTRLGSLDAFVNCCTYQGKMQDILR VSEDEFKKITRINLTATWFILKAVASMMKENGTGGSIVFLATIASGERGLYPGADAYA TAAAAIHQLVRASAMSLGKHKIRVNMISRGLHLGDEYPVSVGIDRAQKLVKDAAPLGQ WLNPEKDIYSTVIYLISDGSCFMTGTTVMVDGAQSLTRPRLKSYM" gene 1321054..1324279 /locus_tag="BRARA_H00154" /db_xref="Phytozome:Brara.H00154" mRNA join(1321054..1321200,1321686..1322192,1322281..1322344, 1322424..1322563,1322636..1322947,1323163..1323470, 1323949..1324279) /locus_tag="BRARA_H00154" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00154" CDS join(1321129..1321200,1321686..1322192,1322281..1322344, 1322424..1322563,1322636..1322947,1323163..1323470, 1323949..1323994) /locus_tag="BRARA_H00154" /codon_start=1 /product="hypothetical protein" /protein_id="RID49349.1" /db_xref="Phytozome:Brara.H00154" /translation="MDPDVMEIDPPPSASATRTRKPRKVNLEVIDVEQYHVDKMNKGK AIQDGYNPSSHVSNGVIPIDVDSYTVVLKDIPTGVKVVMSTTQPCEFQNYSSKGTSKS SRNSSNPFLATPSSAPQSLDFASLSASFAQNNQTVSSSAVQPVDSDASASSSSAEAVP SSQANFLRDFKRFDTVEDFSDHHYLSKGKASKQHSKTWLKKVQADWKILENDLPETIF VRACESRMDLLRAVIIGAEGTPYHDGLFFFDIQFPDSYPSAPPKVHYHSGGLRINPNL YNCGKVCLSLLGTWTGNKREKWLPQESTMLQLLVSIQALILNQKPYFNEPGYEPTKGT VSGEAHSKVYSENVFILSLKTMVYSMRKPPKHFEEFVHSHYFVRALDIAKACNAYKDG APVGSILKGGVQGIEETSQSGSKKFRTDVAAFMKTVVGEFVKLGIKELEEKPKPPPVV NANTESNTANRKRSRSSSIKEFLANIGRCVFQ" gene 1330067..1332474 /locus_tag="BRARA_H00155" /db_xref="Phytozome:Brara.H00155" mRNA join(1330067..1330361,1330430..1330475,1331277..1331363, 1331443..1331567,1331644..1331783,1331859..1331910, 1332005..1332115,1332181..1332474) /locus_tag="BRARA_H00155" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00155" CDS join(1330146..1330361,1330430..1330475,1331277..1331363, 1331443..1331567,1331644..1331783,1331859..1331910, 1332005..1332115,1332181..1332273) /locus_tag="BRARA_H00155" /codon_start=1 /product="hypothetical protein" /protein_id="RID49350.1" /db_xref="Phytozome:Brara.H00155" /translation="MALSSSPSSQKTWIVHGILAGTAIAAAIGARAYLGRSRKFRSRV VGIIPARYASSRFEGKPLVQILGKTMIQRTWERSKLASTLDHVVVATDDERIADCCRG FGADVIMTSESCRNGTERCNEALEKLEKKYDVVVNIQGDEPLIEPEIIDGVVKALQVA PDAVFSTAVTSLKPEDGLDPNRVKCVVDNRGYAIYFSRGLIPYNKSGKVNPDFPYMLH LGIQSFDSKFLKVYSELQPTPLQLEEDLEQLKVLENGYKMKVIKVDHEAHGVDTPDDV EKIESLMRERNLL" gene <1343686..>1344257 /locus_tag="BRARA_H00156" /db_xref="Phytozome:Brara.H00156" mRNA join(<1343686..1343755,1343833..>1344257) /locus_tag="BRARA_H00156" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00156" CDS join(1343686..1343755,1343833..1344257) /locus_tag="BRARA_H00156" /codon_start=1 /product="hypothetical protein" /protein_id="RID49351.1" /db_xref="Phytozome:Brara.H00156" /translation="MEKRVLYGLVVMLMYLVGGGYSQGVVEWIRDKAEWEEILVKTEI HIGVMVTSPLCGAPCDIVNDQVARFVETYGDRIKFYKVNILETLFFALDYKILTVPTV IIFKEGTINIRFESLSDWSMFYELLVNSSIIDFPPDLSPAPAPAPSDSDPDADLPPPL QSGE" gene complement(1357131..>1358061) /locus_tag="BRARA_H00157" /db_xref="Phytozome:Brara.H00157" mRNA complement(join(1357131..1357386,1357519..1357724, 1357972..>1358061)) /locus_tag="BRARA_H00157" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00157" CDS complement(join(1357155..1357386,1357519..1357724, 1357972..1358061)) /locus_tag="BRARA_H00157" /codon_start=1 /product="hypothetical protein" /protein_id="RID49352.1" /db_xref="Phytozome:Brara.H00157" /translation="MASKLVIIIVFILDLIAVGLAIAAEQRRSVGKVVPDKEKVYEYC EYGSDIATSYGAGAFVLLLTTQVIIMLASKCFCCGKALSPGGSRACAIILFLICWVFF LIAEICLLAASIRNAYHTKYRKMWNVDEPPSCEVIRKGVFAAGASFALFTAIVSQFYY VCYSRARDDYKNPPY" gene <1359772..>1361161 /locus_tag="BRARA_H00158" /db_xref="Phytozome:Brara.H00158" mRNA join(<1359772..1360908,1361093..>1361161) /locus_tag="BRARA_H00158" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00158" CDS join(1359772..1360908,1361093..1361161) /locus_tag="BRARA_H00158" /codon_start=1 /product="hypothetical protein" /protein_id="RID49353.1" /db_xref="Phytozome:Brara.H00158" /translation="MDLLSAPPPAPSEPPWPPDLCLISREASSIPAGNLASSMFLHPE VPPLHPLLPLLPSPQITSPPLLVPLAPPASSSKPSTATAGPSSSPSSASWVSKLKSSA HNLKKMASPTFAEDGTPVVMAPESVILKSSDIWKGYLVAQFHGTPPSPAKIFADLNPI WGKQGRIRVRYHSKNVCLIFIPCEIIRKWVLDVGFWHSGKCAFSVFEWSPKINLAPMR LEYAPVWVLFRGIPQELWSLECFSTFATGVGFPVQSEFPKLPPYSNGVVKLKVIIKLA GKRASTVKVVDKLGNSVFISAEYLKVPHKCGICSEFGHSELRCPDRQLQNTAIATDNA APPPAFAASPAGSAASPAASPADNLNNAAQSSTSARAAVVPTPPSLWPTLHLSDQSLL LNSIQKKSL" assembly_gap 1365023..1375022 /estimated_length=unknown /gap_type="between scaffolds" gene complement(<1375274..>1376987) /locus_tag="BRARA_H00159" /db_xref="Phytozome:Brara.H00159" mRNA complement(join(<1375274..1375504,1375596..1375713, 1376491..1376547,1376578..>1376987)) /locus_tag="BRARA_H00159" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00159" CDS complement(join(1375274..1375504,1375596..1375713, 1376491..1376547,1376578..>1376987)) /locus_tag="BRARA_H00159" /codon_start=1 /product="hypothetical protein" /protein_id="RID49354.1" /db_xref="Phytozome:Brara.H00159" /translation="TIASSTEPYKKLLSVVFEEAVGLRPKPETSETQEEEEGNELKRK LFELEKKLTELKNTEPVIKKKLKKVVGTVPELQTEKSRNLYTLFKANEEKREEEHDVV RVYKELPLEMVSFVKLLHKKGYLNKANFISGEKLETFVKFAAERFGKDYQEIAKWLSG SDLKNIVLFGCPSLEKRAIFAAKTLRKFFDIHENNVCEKCVLKDKCKFPNQSVWDGKS QNLHLSVVMKVITLYPLDLTHPKLQVPQEVQDSVSRLLTEIQNLSRTICTPLA" assembly_gap 1377044..1387043 /estimated_length=unknown /gap_type="between scaffolds" gene complement(1418462..>1419347) /locus_tag="BRARA_H00160" /db_xref="Phytozome:Brara.H00160" mRNA complement(join(1418462..1418648,1418768..1418908, 1419291..>1419347)) /locus_tag="BRARA_H00160" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00160" CDS complement(join(1418508..1418648,1418768..1418908, 1419291..1419347)) /locus_tag="BRARA_H00160" /codon_start=1 /product="hypothetical protein" /protein_id="RID49355.1" /db_xref="Phytozome:Brara.H00160" /translation="MSSNQELSYKAGEATGQVQLKKEECLDNVSHSMNKNADHHTQLQ SHSEHDQNNPSLISQASNVIQQTGGQVKNMAQGAADAVKNSLGMSPATNNPSSPAGMT RPSNPSSRNN" gene complement(<1431934..>1436251) /locus_tag="BRARA_H00161" /db_xref="Phytozome:Brara.H00161" mRNA complement(join(1431934..1432281,1432381..1432474, 1432628..1432710,1432783..1432933,1433023..1433127, 1433244..1433279)) /locus_tag="BRARA_H00161" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00161" mRNA complement(join(<1432197..1432281,1432381..1432474, 1432628..1432710,1432783..1432933,1433023..1433127, 1435766..1435855,1436040..1436095,1436193..>1436251)) /locus_tag="BRARA_H00161" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00161" CDS complement(join(1432197..1432281,1432381..1432474, 1432628..1432710,1432783..1432933,1433023..1433127, 1435766..1435855,1436040..1436095,1436193..1436251)) /locus_tag="BRARA_H00161" /codon_start=1 /product="hypothetical protein" /protein_id="RID49357.1" /db_xref="Phytozome:Brara.H00161" /translation="MIQIHLFSFIPHFDIIPLICEFGYRSTTMSYSHQSMGSGSRNAR GYEFGRTYVVRPKGKHQATLVWLHGFDVGEISEDGHDDMESLDASASHIANLLCSEPA DVKVGIGGFSMGAAISLYSATCYALGRYGTGHSYPINLRAVVGLSGWLPGWKSIRNKI ESSYEAARRASSLPVILTHGIADDVVPYRFGEKSAQSLGMAGFRQTVFKPYQGLGHYT VPKEMDEVVHWLTTRLGLEGSR" CDS complement(join(1432197..1432281,1432381..1432474, 1432628..1432710,1432783..1432933,1433023..1433086)) /locus_tag="BRARA_H00161" /codon_start=1 /product="hypothetical protein" /protein_id="RID49356.1" /db_xref="Phytozome:Brara.H00161" /translation="MESLDASASHIANLLCSEPADVKVGIGGFSMGAAISLYSATCYA LGRYGTGHSYPINLRAVVGLSGWLPGWKSIRNKIESSYEAARRASSLPVILTHGIADD VVPYRFGEKSAQSLGMAGFRQTVFKPYQGLGHYTVPKEMDEVVHWLTTRLGLEGSR" gene <1461106..>1463063 /locus_tag="BRARA_H00162" /db_xref="Phytozome:Brara.H00162" mRNA join(<1461106..1461840,1461920..1462463,1462669..>1463063) /locus_tag="BRARA_H00162" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00162" CDS join(1461106..1461840,1461920..1462463,1462669..1463063) /locus_tag="BRARA_H00162" /codon_start=1 /product="hypothetical protein" /protein_id="RID49358.1" /db_xref="Phytozome:Brara.H00162" /translation="MTRSSLPVSAITSGKSPLQAVGGTKSFWFEGDGFVHDVDCFVKT IATIKANGARPDHIGSVIAHYASTRLPDLSGVVMENQQQYSHSVTACVMKKRFLVETL IGILPPEKDSVSCNFLLRLLRTANIVGADTDFKADLEVRISWQLEEASLTELMIPSFS HTCAALLDVELVTRVVKNFARIYNEGIKSGTSLIKVAKLVDSYLAEAAADLNLSLIEF ITLTDALPRHSRVTEDGLYLALDTYLKAHPDVTKQERRRLCGLIGIKKLSMEASLHAA ENPRLPVRTIIQILFTEQTKLSHGRHNNSIDCNVSSLSRNPSGSHFSEPTPSWCMSKF DMNVQQAEISRLRTGIGKLHNECEAMRRQLKKEKKGGRSSTGNTCGSKWYFRWKMLRF STCFTTNDVEKKNGGEFGDNKEGEEEFELEDLTTVLGDAIASSGQVDNREDPTALIIP DQQIKRNREGKKLKKEMNQLMEQLVEGDRKINTTSSSAQKIVDEATHHRDQNLPNPDK FGLLTKTTRINNHIHVPADSDLCTLKYGGSNQPINARYCCSTPPLFKEV" gene <1471857..>1472977 /locus_tag="BRARA_H00163" /db_xref="Phytozome:Brara.H00163" mRNA join(<1471857..1472239,1472328..1472664,1472730..1472757, 1472802..>1472977) /locus_tag="BRARA_H00163" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00163" CDS join(1471857..1472239,1472328..1472664,1472730..1472757, 1472802..1472977) /locus_tag="BRARA_H00163" /codon_start=1 /product="hypothetical protein" /protein_id="RID49359.1" /db_xref="Phytozome:Brara.H00163" /translation="MVSLETTPALQLPVIDFTSPNLKPGTVEWDSVRGDVRRALEEYG CFEALYDKVPVQLREAVFNVSEEAFQLPLETKQRVVSKRKYRGYVGQIPTLPLFEVMG VDFAENEDKVNEFTHKLWPQGNASFSEAVMSFTEKVSKLDLMTRRMIMESFGISENYI DKHLKSTKCLMRMMKYQGVEEETEEELGMEVHTDRNMLTILCQNDVKDGLEVRARDNK RWIKANPSQDSSFIVLGGATLHVLLNGRVFTAGLFSVPKKDHLIYAPDEMVDAEHPRL FKPFDFESYFQFTTEGPGRRDLAALRTYCGL" gene complement(<1475355..>1476235) /locus_tag="BRARA_H00164" /db_xref="Phytozome:Brara.H00164" mRNA complement(join(<1475355..1475439,1475685..>1476235)) /locus_tag="BRARA_H00164" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00164" CDS complement(join(1475355..1475439,1475685..1476235)) /locus_tag="BRARA_H00164" /codon_start=1 /product="hypothetical protein" /protein_id="RID49360.1" /db_xref="Phytozome:Brara.H00164" /translation="MISRLFDFMKQSKSIQLNNKHSLDIYTNTYTYHKASSSSSTPKA LCDVFINHRGTDTKKTLATLLYDNLKARNLRPFLDYKTLKPGDHIFDHINGAIHTSKV AVTVFSPNYGHSYSCLHELALIMESKKRIIPIFFDIKPSQLDVVIERVRCPDDEIQRF RWALQEARDIVGLRGGSSDVISMGNLSEVVTSASDIIVQRLVELEAEDESV" assembly_gap 1489974..1499973 /estimated_length=unknown /gap_type="between scaffolds" gene complement(1509274..1515071) /locus_tag="BRARA_H00165" /db_xref="Phytozome:Brara.H00165" mRNA complement(join(1509274..1509526,1509608..1509665, 1509759..1509846,1509940..1509985,1510055..1510090, 1510185..1510256,1510388..1510500,1514917..1515071)) /locus_tag="BRARA_H00165" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00165" mRNA complement(join(1509274..1509526,1509608..1509665, 1509759..1509846,1509940..1509985,1510055..1510090, 1510185..1510256,1510388..1510515,1514917..1515071)) /locus_tag="BRARA_H00165" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00165" CDS complement(join(1509476..1509526,1509608..1509665, 1509759..1509846,1509940..1509985,1510055..1510090, 1510185..1510256,1510388..1510500,1514917..1514995)) /locus_tag="BRARA_H00165" /codon_start=1 /product="hypothetical protein" /protein_id="RID49362.1" /db_xref="Phytozome:Brara.H00165" /translation="MGWLGETVDSVKSIQIRQLLTQAISLGMIVTSSLIIWKALICVT GSESPVVVVLSESMEPGFQRGDILFLHMSKDPIRAGEIVVFNIDGRDIPIVHRVIKVH ERKNTGEVDVLTKGDNNPGDDRLLYAKGQLWLHRHHIMGRAVGFLPYVGWVTIIMTEK PIIKYILIGALGLLVISSRD" CDS complement(join(1509476..1509526,1509608..1509665, 1509759..1509846,1509940..1509985,1510055..1510090, 1510185..1510256,1510388..1510515,1514917..1514995)) /locus_tag="BRARA_H00165" /codon_start=1 /product="hypothetical protein" /protein_id="RID49361.1" /db_xref="Phytozome:Brara.H00165" /translation="MGWLGETVDSVKSIQIRQLLTQAISLVCCLIGMIVTSSLIIWKA LICVTGSESPVVVVLSESMEPGFQRGDILFLHMSKDPIRAGEIVVFNIDGRDIPIVHR VIKVHERKNTGEVDVLTKGDNNPGDDRLLYAKGQLWLHRHHIMGRAVGFLPYVGWVTI IMTEKPIIKYILIGALGLLVISSRD" gene 1515210..1516554 /locus_tag="BRARA_H00166" /db_xref="Phytozome:Brara.H00166" mRNA join(1515210..1515403,1515502..1515559,1515662..1515769, 1516075..1516167,1516269..1516554) /locus_tag="BRARA_H00166" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00166" CDS join(1515238..1515403,1515502..1515559,1515662..1515769, 1516075..1516167,1516269..1516350) /locus_tag="BRARA_H00166" /codon_start=1 /product="hypothetical protein" /protein_id="RID49363.1" /db_xref="Phytozome:Brara.H00166" /translation="MAILAPASFGRLTVPSRAQVRVRVSASANPRTSVDWVKETSSFF EQDKRPIMLFDGVCNLCNGGVKFVRDHDHNRSIRFEALQSEAGKKLLMRSGRAPDDIS SVVLVEKDRSYVKSEAVLKIMKYIDLPFPQLAFFLQFAPLFVRDFLYENVANNRYALF GRSDSCEI" gene 1517770..1521016 /locus_tag="BRARA_H00167" /db_xref="Phytozome:Brara.H00167" mRNA join(1517770..1517932,1518009..1519708,1520433..1521016) /locus_tag="BRARA_H00167" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00167" CDS join(1517834..1517932,1518009..1519708,1520433..1520802) /locus_tag="BRARA_H00167" /codon_start=1 /product="hypothetical protein" /protein_id="RID49364.1" /db_xref="Phytozome:Brara.H00167" /translation="MEEFLLHGRLHATIYEVDSLHGSEGGRSGFFGKILANVEETIGV GKGEPQLYATIDLEKARVGRTRKITNEPNNPKWHESFHIYCGHMAKHVIFTVKDDNPI GATLIGRAYIPVEDILHGEEVDRWVEILDTEKKPVEGGSKIHVKLQYFGVEKDKNWNR GIKSVKFPGVPYTFFSQRRGCKVSLYQDAHIPGNFVPKIPLAGGKYYEANRCWEDIFD AITNAKHLIYITGWSVYAEISLVRDSRRPKEGGDLTIGEILKKKASEGVKVILLVWDD RTSVDLLKQDGLMATHDEETENFFQGTDVNCVLCPRNPDDGGSIVQNLQVSTMFTHHQ KIVVVDSEMPGESSRTKSRRIVSFIGGLDLCDGRYDTPFHSLFRTLDTVHHDDFHQPN FPGAAITKGGPREPWHDIHCRLEGAIAWDVLYNFEQRWNRQGGKDILVKIRDLDDIII PPSPVLFSEDHDSWNVQLFRSIDGGAAAAFPDSPEAAAEAGLVSGKDNIIDRSIQDAY IHAIRRAKDFIYIENQYFLGSSFAWSADGIKPEEINALHLIPKELSLKIVSKIKAGER FKVYVVVPMWPEGIPESGSVQAILDWQRRTMEMIDSEIAMGGYQPFHLSVRQPARGQI HGFRMSLWYEHLGMLDETFLDPSSQECVKKVNRVADKYWDLYSSESLEHDLPGHLLRY PIGIASQGDITELPGCECFPDTKARILGTKSDYMPPILTT" gene 1525714..1527144 /locus_tag="BRARA_H00168" /db_xref="Phytozome:Brara.H00168" mRNA join(1525714..1525764,1525844..1527144) /locus_tag="BRARA_H00168" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00168" CDS 1525858..1527012 /locus_tag="BRARA_H00168" /codon_start=1 /product="hypothetical protein" /protein_id="RID49365.1" /db_xref="Phytozome:Brara.H00168" /translation="MLSVCKRTRKTVTGDLCLPLDIIVEILKKLPTKSLVRFRSVSKQ WSTIISSDRDLIESIVTRSLRHPPLKLPVFIFHHCVPETFFTVSPVFSPTTTDHVVTI PRPSRPCTFHYQYSRGLICCSSFESHLVTIYNPTTRQVFPLPEIQAPTRSGLSSCFFG YDPITNQYKVLSIIFDCDERKQTYHVFTLGCQQSWRKVKGIDEDSYPNDYSVCIDGTI YYSAYRKPRETILLSFDLRSERFDRVLVPEALSKALLARINHQRLVNHLGKLGCICCN DGDTSIWIMDDAKKQEWSRTILCLPNYPVKLLGADVDTFSGATPAGEIYATQCNYFFD KSLYVYYYDMNQNSFRRVHIEGGVRDKTKKRRYCVEVFAIHDHVENTMSL" gene 1549247..1549747 /locus_tag="BRARA_H00169" /db_xref="Phytozome:Brara.H00169" mRNA 1549247..1549747 /locus_tag="BRARA_H00169" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00169" CDS 1549329..1549565 /locus_tag="BRARA_H00169" /codon_start=1 /product="hypothetical protein" /protein_id="RID49366.1" /db_xref="Phytozome:Brara.H00169" /translation="MCYKKIIPLVIPPESFHENVPAAGVTVATEVVATVGRHRDGRGE KKKCVCSPSTHPRSFKCRYHHHEYQWVPSSSLHK" gene 1552256..1554113 /locus_tag="BRARA_H00170" /db_xref="Phytozome:Brara.H00170" mRNA join(1552256..1552611,1553329..1554113) /locus_tag="BRARA_H00170" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00170" CDS join(1552568..1552611,1553329..1554010) /locus_tag="BRARA_H00170" /codon_start=1 /product="hypothetical protein" /protein_id="RID49367.1" /db_xref="Phytozome:Brara.H00170" /translation="MSTQNTASSSLPTQRLLGKVALITGGATGIGESIVHLFHKHGAK VCIVDLQDELGDKLCNRLAVDSACFIHGDVTVEDDISKAVNFTVNRFGTLDILINNAG LSGAPCPDIRNNSLSEFNTVFDVNVEGAFPRDETRSSCNDPGQELGQHGIRVNCVSPY AVVTKLALAHLPEEERTDDALVGFRNFAAANANLKGVELTVDDVANVVLFLASDESRY VSGDNLMIDGGFTCTSHSFKVFR" gene complement(1559690..1562486) /locus_tag="BRARA_H00171" /db_xref="Phytozome:Brara.H00171" mRNA complement(join(1559690..1560365,1560871..1561435, 1561517..1561936,1562009..1562066,1562147..1562486)) /locus_tag="BRARA_H00171" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00171" CDS complement(join(1559840..1560365,1560871..1561435, 1561517..1561936,1562009..1562066,1562147..1562233)) /locus_tag="BRARA_H00171" /codon_start=1 /product="hypothetical protein" /protein_id="RID49368.1" /db_xref="Phytozome:Brara.H00171" /translation="MELTWGFCRKQALVLISCLSLFYLASFDTITSESPAQNATAFKN RSHKVSCPTNWVLGPNQTKCYASFRNSTSWEKSETFCIASGGHLASPSSNKELFFLQK LCNANATSCWIGGRTLNSSNSGFNWTWSDPKSPQWNQTMFPKVPVRTRCVNSSCRADI CMVLTNGSLQVFGERCNTSHAFICAIDSDIKCRNCHGEYQIILLVVSGLILFTTFAII LWFLIYKRSKKRRKSRKVSSPASALVPPPSWKIFTSEELRSMTKNFSEANRLAGDAKT GGTYTGGLADGTKVAVKRLKRSSFQRKKEFYSEIKRAAKLHHTNVVAIKGCCYDHGER FIVYEFIANGPLDRWLHHVPRGGRSLDWNMRLNIATTLAQGIAFLHDKVKPQVVHRDI RASNVLLDEEFGAHLMGVGLSKFVPWEVMQERTVMAGGTYGYLAPEYVYRNELTTKSD VYSFGVLLLEIVSGRRPTQAVNSSVGWQSIFEWATPLVQANRWLELLDPVITSGLPEA SVVQKVVDLVYACTQNVPSMRPRMSHVVHQLQLLVPPSEIVSS" gene 1565083..1566334 /locus_tag="BRARA_H00172" /db_xref="Phytozome:Brara.H00172" mRNA join(1565083..1565135,1565224..1565359,1565866..1565967, 1566069..1566334) /locus_tag="BRARA_H00172" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00172" CDS join(1565133..1565135,1565224..1565359,1565866..1565967, 1566069..1566115) /locus_tag="BRARA_H00172" /codon_start=1 /product="hypothetical protein" /protein_id="RID49369.1" /db_xref="Phytozome:Brara.H00172" /translation="MTKGTGSFGKRRNKSHTLCVRCGRRSFHIQKSRCSACAYPAARK RTYNWSVKAIRRKTTGTGRMRYLRNVPRRFKTGFREGTEAKPRNKSAASSS" gene 1567865..1570871 /locus_tag="BRARA_H00173" /db_xref="Phytozome:Brara.H00173" mRNA join(1567865..1568333,1568712..1569065,1569305..1569391, 1569470..1569540,1569624..1569700,1569786..1569936, 1570006..1570167,1570240..1570871) /locus_tag="BRARA_H00173" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00173" CDS join(1567985..1568333,1568712..1569065,1569305..1569391, 1569470..1569540,1569624..1569700,1569786..1569936, 1570006..1570167,1570240..1570542) /locus_tag="BRARA_H00173" /codon_start=1 /product="hypothetical protein" /protein_id="RID49370.1" /db_xref="Phytozome:Brara.H00173" /translation="MSSNNTIPSAANPPDPVFPFTQPANTTTSSPSPPSPIEPSSTPP FPAARASDRGLAPGVLAGLITGAVLGATFVLIGVCVFVCFYKRKKRKLQRRRDAEAAI DGDSPLPSLSDQKLDNSNNLQQWNQSTTSSDNVFTYQDLAKATDNFSSTNLIGQGGFG YVHKGVLTDGTEVAIKQLKAGSGQGEREFQAEIQTISRVHHRHLVSLLGYCITGSQRL LVYEFVPNKTLEFHLHEKGRPVMEWGKRMKIALGAAKGLSYLHEDCNPKTIHRDVKAA NILIDDSYEAKLADFGLARSSLDTDTHVSTRIMGTFGYLAPEYASSGKLTDKSDVFSF GVVLLELITGRRPVDKSQPFVDDDSIVDWAKPLMIQALNDSNFYGLVDPRLEGNFDIS EMTRVVACAAASVRHSAKRRPKMSQIVRAFEGNISLDDLTEGVTPGHSTIYSLDGSSD YSSTQYKEDLKKFKKMALESQTFGSSECSGLTTSDNGQNPSGSSSITEGLRTTQEIEP EKKTDETTS" gene 1573863..1575694 /locus_tag="BRARA_H00174" /db_xref="Phytozome:Brara.H00174" mRNA join(1573863..1573978,1574066..1574092,1574183..1574282, 1574730..1574876,1574956..1575036,1575110..1575255, 1575346..1575694) /locus_tag="BRARA_H00174" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00174" CDS join(1573926..1573978,1574066..1574092,1574183..1574282, 1574730..1574876,1574956..1575036,1575110..1575255, 1575346..1575412) /locus_tag="BRARA_H00174" /codon_start=1 /product="hypothetical protein" /protein_id="RID49371.1" /db_xref="Phytozome:Brara.H00174" /translation="MSSRRRVLLKVIILGDSGVGKTSLMNQFVNRKFSNQYKATIGAD FLTKEVQIDDRIFTLQIWDTAGQERFQSLGVAFYRGADCCVLVNDVNVMKSFENLNNW REEFLIQASPSDPENFPFVVLGNKTDVDGGKSRVVSEKKAKAWCASKGNIPYFETSAK EGFNVDAAFECITKNAFKNEPEEEPYMPDTIDVARGQQQRSTGCEC" gene complement(1575346..1578737) /locus_tag="BRARA_H00175" /db_xref="Phytozome:Brara.H00175" mRNA complement(join(1575346..1575689,1575763..1575816, 1575888..1576103,1576190..1576258,1576351..1576422, 1576600..1576689,1576770..1576850,1576931..1577049, 1577132..1577297,1577391..1577520,1578083..1578737)) /locus_tag="BRARA_H00175" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00175" CDS complement(join(1575594..1575689,1575763..1575816, 1575888..1576103,1576190..1576258,1576351..1576422, 1576600..1576689,1576770..1576850,1576931..1577049, 1577132..1577297,1577391..1577520,1578083..1578570)) /locus_tag="BRARA_H00175" /codon_start=1 /product="hypothetical protein" /protein_id="RID49372.1" /db_xref="Phytozome:Brara.H00175" /translation="MSMNPKLSVSTFILLLLLTFLLIPSHSSSSDEESDDDLEQLLAV DEQSQEDRPQHQQSEAETVSKAQRIVLELTGDNAKRVVDGNEFVLVLGYAPWCARSAD LMPRFSEAATGLKEIGSSVLMAKIDGDRYGKVASELEIKGFPTLLLFVNGTSQPYSGG FSAEDIVIWVQKKTGSPIITVNTLDEAQIFLNKYHTFVVGLFHKFEGSEYNEFVKAAK SDNEIQFVETSDNDVAKLLFPQLKTNTVFIGLVKPEAERYTAYDGPFKMEKLLEFLGN NKFPLITRLTESNTVWVYSSPVKLQVMLFSKAYVFQSLAQPLEDLARKFKSKLMFIYV DIANENLAMPFLTLFGIEHANKTVVAAFDNNLNSKYLLESDPSPSNIEDFCSGLADGT VSRYYRSEPVPDNENASIVTVVGKTFDELVLHSPENVLLEVHTPWCVNCEAMSKQVLK LAKHFKGFENLVFARIDASVNEHGKLQVDDFPTILLYKSGEKEKPLKLSTKLSAKDMA VFINEELKPKEGSARDEL" gene 1581908..1582534 /locus_tag="BRARA_H00176" /db_xref="Phytozome:Brara.H00176" mRNA join(1581908..1582152,1582241..1582534) /locus_tag="BRARA_H00176" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00176" CDS join(1582015..1582152,1582241..1582387) /locus_tag="BRARA_H00176" /codon_start=1 /product="hypothetical protein" /protein_id="RID49373.1" /db_xref="Phytozome:Brara.H00176" /translation="MLEGKAMVEDSDMPVKMQVQAMSLASQALDIFDVVDCKSIAGHI KKEFDERYGSGWQCVVGSNFGCFFTHSKGTFIYFQLETLKFLIFKGASTP" gene complement(1582595..1583410) /locus_tag="BRARA_H00177" /db_xref="Phytozome:Brara.H00177" mRNA complement(join(1582595..1582916,1582998..1583124, 1583200..1583410)) /locus_tag="BRARA_H00177" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00177" CDS complement(join(1582737..1582916,1582998..1583124, 1583200..1583330)) /locus_tag="BRARA_H00177" /codon_start=1 /product="hypothetical protein" /protein_id="RID49374.1" /db_xref="Phytozome:Brara.H00177" /translation="MASLATIAAVQPSATVKGLGGSSLAGSKLFIKPSRQSFKPKSTR AGAVVAKYGDKSVYFDLEDLGNTTGQWDLYGSDAPSPYNPLQSKFFETFAAPFTKRGL LLKFLILGGGSLLTYVSASSTGDVLPIKRGPQEKPKLGPRGKL" gene 1589926..1591347 /locus_tag="BRARA_H00178" /db_xref="Phytozome:Brara.H00178" mRNA join(1589926..1590142,1590356..1590496,1591008..1591347) /locus_tag="BRARA_H00178" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00178" CDS join(1589984..1590142,1590356..1590496,1591008..1591184) /locus_tag="BRARA_H00178" /codon_start=1 /product="hypothetical protein" /protein_id="RID49375.1" /db_xref="Phytozome:Brara.H00178" /translation="MVHPALPKVTAYLGELSNASLDYFPGSILQGIQAIHVGKGIIEC KLIIEDRVLGEDGTIHTGAIAAIMENIGATAIFSAGGSDHASVDFNYSLYSTAKKQDE VKIEARVIGRKDDLTSAVIEIRRECDEELIATGRLWMVQRRSLNVKHNGVDLPSKL" gene 1607285..1611513 /locus_tag="BRARA_H00179" /db_xref="Phytozome:Brara.H00179" mRNA join(1607285..1607844,1607922..1608081,1608164..1608264, 1608336..1608426,1608554..1608649,1608733..1609077, 1609159..1609233,1609305..1609417,1609495..1609684, 1609764..1609940,1610012..1610092,1610155..1610289, 1610366..1610694,1610769..1610874,1610945..1611094, 1611175..1611513) /locus_tag="BRARA_H00179" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00179" CDS join(1607636..1607844,1607922..1608081,1608164..1608264, 1608336..1608426,1608554..1608649,1608733..1609077, 1609159..1609233,1609305..1609417,1609495..1609684, 1609764..1609940,1610012..1610092,1610155..1610289, 1610366..1610694,1610769..1610874,1610945..1611094, 1611175..1611321) /locus_tag="BRARA_H00179" /codon_start=1 /product="hypothetical protein" /protein_id="RID49376.1" /db_xref="Phytozome:Brara.H00179" /translation="MAMSYKDGKMGCMDNGKYVRYTPEQVEALERLYHDCPKPSSIRR QQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDR LQKQVSQLVHENSYFRQHTPNPTLPAKDTSCESVVTSGQHQLASQNPPRDASPAGLLS IAEETLAEFISKATGTAVEWVQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGLEPT RVAEIVKDRPSWFRECRAVDVMNVLPTANGGTIELLYMQLYAPTTLAPPRDFWLLRYT SVLEDGSLVVCERSLKCGPSMPPVQHFVRAEMLPSGYLIRPCDGGGSIIHIVDHMDLE ACSVPEVLRPLYESPKVLAQKTTMAALRQLKQIAQESSQTNSSVNGWGRRPAALRALS QRLSRGFNEAVNGFTDEGWSVIGDSMDDVTITVNSSPDKLMGLNLTFSNGFAPVSNVV LCAKASMLLQNVPPAILIRFLREHRSEWADNNIDAYLAAAVKVGPCSARVGGYGGQVI LPLAHTIEHEEFMEVIKLEGLGQSPEDAIVPRDIFLLQLCSGMDENALGTCAELIFAP IDASFADDAPLLPSGFRIIPLDSTKEASSPNRTLDLASALEIGPAGTTKASTDQSGNS TCARSVMTIAFEFGIESHMQEHVASMARNYVRGIIASVQRVALALSPSHISSQVGLRT PLGTPEAQTLARWICQSYRCYMGVELLNLNSEGNESILKNLWHHTDAIICCSMKAMPV FTFANQAGLDMLETTLVSLQDITLEKIFDDSGRKTLCSEFPQIMQQGFGSLQGGICIS SMGRPVSYERAVAWKVLNEEENTHCICFVFINWSFV" gene complement(<1615813..>1626299) /locus_tag="BRARA_H00180" /db_xref="Phytozome:Brara.H00180" mRNA complement(join(<1615813..1616155,1616254..1616741, 1624173..1624337,1624467..>1626299)) /locus_tag="BRARA_H00180" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00180" CDS complement(join(1615813..1616155,1616254..1616741, 1624173..1624337,1624467..>1626299)) /locus_tag="BRARA_H00180" /codon_start=1 /product="hypothetical protein" /protein_id="RID49377.1" /db_xref="Phytozome:Brara.H00180" /translation="YGFTGETDRIALLDLKSQVSEDKQNVLSSWNNSFPLCNWKGVTC GLKHKRVTRLDLGGWQLGGVISPSIGNLSFLISLDLSDNSIGGTIPHQVGNLFRLEYL DMSHNFLGGGIPTSMSNCSRLLSLRLTSNSLGEGVPSELGSLARLEILNLGRNNLTGK IPPSLGNLTSLTRVIFSSNKIEGGVPNALARLTQLVSLRLGMNKFSGVFPPSIYNFSS LEILSIFHSGFSGSLKPDFGNLLPNLRRLHMGRNHFTGPIPTTLSNISNLENFGIVFN KMMGSVPSSFGKLQNLQYLALASNSLGSYSSGDLEFLKALANCTQLHTLLVNGNRLGG DFPTSITNLSTNLWKLDLGMNLISGTIPYDIGNLISLQKLMLDDNLLTGPLPSSIGKL SRLVVLNLTSNRLSGEIPSSIGNITRLGKLYFSNNSFEGTIPPSLGQCRFMLYLWIGS NKLNGTIPQEIMQIQSLVHLDLSDNSLTGSLPKYIKPLEGLCILSVAHNKLSGQLPQV LGNFLSLENLYLQGNFFDGDIPNIKGLMGAKRLDFSNNNLSGSIPGYFANFSSLEYLN LSINNFQGIVPTEGNLKNATVLLVFGNKDLCGGIKELKLKPCIMQQQTNHPTSSSALE VCHEQISYAYLQKATDGFSSSNMIGSGSFGTVFKALLPTENKRRGAMKSFVTECESLK DIRHRNLVKLLTACSSTDFQGNDFRALVYELMPNGSLDMWLHPEEVEEIRRPSRALTL FERLNIAVDVISVLEYLHVYCHEPIAHCDLKPSNVLLDKDLTGHVSDFGIARMLMKLD QGSLFNQQSSVGVRGTIGYAAPGKLYHTSVLIWNGRTTSIYGDMYSFGVLLLEMFTGK RPTDELFGGNVTLNSYIKLAFPERVLDIADNSILNSSLRVGFPLGECLTLVLEVGLKC CEETLKNRLATSEARKDLILIRERFFKH" gene 1634396..1636716 /locus_tag="BRARA_H00181" /db_xref="Phytozome:Brara.H00181" mRNA join(1634396..1634516,1634594..1634774,1634849..1634950, 1635036..1635087,1635300..1635421,1635505..1635602, 1635680..1635738,1635844..1635900,1636048..1636093, 1636237..1636316,1636410..1636716) /locus_tag="BRARA_H00181" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00181" CDS join(1634427..1634516,1634594..1634774,1634849..1634950, 1635036..1635087,1635300..1635421,1635505..1635602, 1635680..1635738,1635844..1635900,1636048..1636093, 1636237..1636316,1636410..1636503) /locus_tag="BRARA_H00181" /codon_start=1 /product="hypothetical protein" /protein_id="RID49378.1" /db_xref="Phytozome:Brara.H00181" /translation="MREMENKVLPLTCLDLANFDLHQSAVSLKQACMDCGFFYVINHG ISEELKEEVFEQSKKFFDLPLEDKMKALRNETHRGYSPALEQNQIHGDYNKESFFMGT EGLKDDSLGAKPFYRPNIWPDSDVLPGWRTTMENYHLELLRVCKAIARILALALDLDA DYFDTSEMLENPIAYMRLLHYEGMSDPSRGIYGCGSHTDHGMMTLLATDGVMGLQICK DKDMKPQKWEYVPSIDGAYIVNLGDVLERWSNGLFKSTLHRVLANGQDRYSIPFFLSP SHDCLIECLPTCQSEKNLPKYPAIKCSAYLTQRYKESHRETRASPENRPK" gene 1646458..1647316 /locus_tag="BRARA_H00182" /db_xref="Phytozome:Brara.H00182" mRNA 1646458..1647316 /locus_tag="BRARA_H00182" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00182" CDS 1646526..1647140 /locus_tag="BRARA_H00182" /codon_start=1 /product="hypothetical protein" /protein_id="RID49379.1" /db_xref="Phytozome:Brara.H00182" /translation="MDQNEPISKKLWNIVRFLLYMIRKGVSKHKLIADFNATLKRGKN LMFHHRRRVPAADTSSSAAANAPQRQEYEFSCSNTPNYSFPFPNIGFMKKKSHNNLFA CGQTPQTLDDDAAAARAVLELLNGVGDKGNVTPAYLSAALSPYFPGFGRTPLVRPLRV TDSPFPLTPENGGVANGHVDQAADDFIKKFYKNLNQQKKMIEFS" gene <1662803..>1663999 /locus_tag="BRARA_H00183" /db_xref="Phytozome:Brara.H00183" mRNA join(<1662803..1662988,1663417..1663535,1663798..>1663999) /locus_tag="BRARA_H00183" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00183" CDS join(<1662803..1662988,1663417..1663535,1663798..1663999) /locus_tag="BRARA_H00183" /codon_start=1 /product="hypothetical protein" /protein_id="RID49380.1" /db_xref="Phytozome:Brara.H00183" /translation="LEARGGNGGNQWDDGAEHEGVSKIYIREGRNGIESIKFDYVKNG EPKDGPIHGGSGQSFTESFDLNHTIDEHIVSIKCYYDEGVIQGLVINRAGSLGGGGKR APNRVEPALVIKTNIRTSALMGYNLGTKFKLGVKGKKIIGFHGSSDKNLNSLGAYFTT LSPDNLDV" gene complement(1664659..1668020) /locus_tag="BRARA_H00184" /db_xref="Phytozome:Brara.H00184" mRNA complement(join(1664659..1665579,1666155..1666919, 1667091..1667128,1667218..1667439,1667663..1668020)) /locus_tag="BRARA_H00184" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00184" CDS complement(join(1664824..1665579,1666155..1666919, 1667091..1667128,1667218..1667413)) /locus_tag="BRARA_H00184" /codon_start=1 /product="hypothetical protein" /protein_id="RID49381.1" /db_xref="Phytozome:Brara.H00184" /translation="MTLVPSSESSYMMIITKHKRDVNLVVLQLGAALAVTFAGFLFSR FRKNTKSTIRPTLPPLRPQPSDHGYRDSSNEPIHRETQRTSKEESLLVFSPRSECDAD EKDVFLLPEFEDEVKKLDLLVYDDECESPKSDMSSAPLAFPSAEEGDHVNEIKRLRNT VRALRERERCLEDKLLEYYSLKEQQKIAMELRSRLKLNQMETKVFNLKIKSLQAENEK LKAQCSEHSKVALELDLAKSEVHVLKKKLNIKTQQHVEQLLSLKERVAKLQEDEIKAT LPDRDADKMVQRLRDLEGEINELRNSNTRLQFENFELAEKLESVQIIASSKLEDPEEM ETLRQESNRLKSENEELKKEIEQLQGDRCTDLEQLVYLKWINACLRYELRTYQPPAGK TVARDLSTTLSPTSEEKAKQLILEYAHEEDHTDYDQWSSSQEESSSMITDSMFLDDSS VDTLFATKTKKSGKKKLMHKLMKILHGKDSTQEGKKRAGSSEPSSSSTGVHSTPRRPH SMDFQMLLRGEDEEDFKDHMKMLHRKSEGAEASNYGEEECLESDQNRKKELIKYAEAL RKSRSTKKLHKKSVSFFF" gene 1675647..1680037 /locus_tag="BRARA_H00185" /db_xref="Phytozome:Brara.H00185" mRNA join(1675647..1675691,1675920..1676137,1676224..1676685, 1676766..1677212,1677311..1677718,1677802..1678533, 1678905..1680037) /locus_tag="BRARA_H00185" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00185" CDS join(1675940..1676137,1676224..1676685,1676766..1677212, 1677311..1677718,1677802..1678533,1678905..1679834) /locus_tag="BRARA_H00185" /codon_start=1 /product="hypothetical protein" /protein_id="RID49382.1" /db_xref="Phytozome:Brara.H00185" /translation="MNTMSEKVGAVGGNKGGPFDDGVFDGVKKIIVGKDFNSVSYIKI EYEKDGKFETREHGTIRGELQEFTVDYPNEYITSVGGSYELVLCYGTVLIRSLIFKTS YKRTSPIFGRTTLFGHPAGREFMLEGKNGGKLLGFHGRSGQALDAIGAHFFSVKSPLK HFNLQGGNGGSAWDDGAYDGVRKILVGRGSKFVSYVRFEYAKGQGMVPHAHGKKQEAP QEFVVDYPNEQITLVEGTIDGYLTSLRFKTSKGRTSPVFGNVVGRKFVFEEKDFKLVG FCGRSGDAIDALGAHFAPLPPPPPAPTPDPATSKMGPLGGDKGNTFDDGVLDGVKKVT IGADEYSVTYIKIEYANDGNVEIREHGTNRGELKEFSVAYPNEYITAVGGSYKHIFNY DTTLITSLYFTTSKGLTSPLFGEIKGREFEYKDENGGNLVGFHGRGGYAIDAIGVHFA PAPNSSIPTPPNKLSKVYITSGNEGIEEINFYNVENGVTKEVFLHGVKGKNLISTLVI SNPPDECLVSVESWYSSYNVSQGIKFKTDTNGSNFFGYKFSEDTGRPFSLQVKGKKII GVQKFPDSNLISPGTYFVLSRSSSPDKVEAIGGKGGETFDDGAFNHVRKVFVGKGDSG IAYVKFDYEKDGRIVTQEHGQKTSQETEVFEIGQDDDITSVKAYYETLDGSKTETITY LAFKTLKGINSQPFGKTPVIVNENTKLSLLEGGKITGFHGSSTDVLHSIGAYISASPP TMLHGKWIQVEQNGKTPGPRCSHAIAMVGNKMYSFGGELKPNFHIDRDLYFFDFETRR WSIADPDGDVPELPCLGVCMVAIGTTLYVFGGRDGFRNYNGFYSYDTVKSEWKLITHV NNGPAPRSFHSMAADGNNIYIFGGVTTKERVNTLHAYNIVDQKWTELPNPGESCKGRG GAGLVVVQGKIWVVYGFIGEEVEDVHCFDPVESKWTKVETRGEKPWARSVFALAVVGK YIIISGGEIEMDVKAHLGPGSLTGGAFVLDTESLVWEKLEEGHSPRGWIASTTASIDG KKGLLMYGGKAPTNGRYEDIYFYGVDSA" gene <1693324..>1695219 /locus_tag="BRARA_H00186" /db_xref="Phytozome:Brara.H00186" mRNA join(<1693324..1693521,1694196..1694657,1694734..>1695219) /locus_tag="BRARA_H00186" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00186" CDS join(1693324..1693521,1694196..1694657,1694734..>1695219) /locus_tag="BRARA_H00186" /codon_start=1 /product="hypothetical protein" /protein_id="RID49383.1" /db_xref="Phytozome:Brara.H00186" /translation="METMSEKVGAVGGNKGGPFDDGVFDGVKKITVGKDFHSVSYIKI EYEKDGKFETREHGTIRGELQEFTVDYPNEYITSVGGSYEHVLSYGTVLIKSLRFKTS YGRTSPILGHTTLFGNPDGREFLLEGKDGGKLLGFHGRSGQALDAIGPHFFAVKSPLK HYNRQGGNGGSAWDDGAFDGVRKILVGRGGKFVSYVRFEYAKDQGMVPHAHGKRQEVP QEFVVDYPDEHIALVEGTIDGYLTSLMFKTSKGRTSPAFGNVVGRKFVFEEKDFKLVG FCGRSGDAIDAIGAHFAPLPPPPPPPAPTPAPTPAPAPTPAPTTTTKMGPLGGNKGNT FDDGILDGVKKIIIAADEYSITYIKIEYEKDGKVEIREHGTNRGELKE" gene <1695938..>1698063 /locus_tag="BRARA_H00187" /db_xref="Phytozome:Brara.H00187" mRNA join(<1695938..1696666,1697134..>1698063) /locus_tag="BRARA_H00187" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00187" CDS join(<1695938..1696666,1697134..1698063) /locus_tag="BRARA_H00187" /codon_start=1 /product="hypothetical protein" /protein_id="RID49384.1" /db_xref="Phytozome:Brara.H00187" /translation="FSVAYPNEYITAVGGSYKHIFNYDTTLITSLYFTTSKGFTSPLF GEMKGTEFEFKGENGGKLVGFHGRGGYAIDAIGAHFSQATTSSSSSLIKVEAVGGKGE VTFDDGSFDHVRKVFVGQGNSGVSYIKFEYEKDGRIVTHEHGQKTSLGTEEFEVGQGD DITSVKVYYDKLYGSKAEIITSLTFKTLKGITSQPFGMTSANLSLLEGGKITGFHGSL TDVLHSIGAYISASPRTMLHGKWIQVEQKGKTPGPRCSHAIAMVGNKMYAFGGELTPN FHIDRHLYFFDFKTHRWSVADPDGDVPELPCLGVCMVAIGTTLYVFGGRDGHRNYNGF YSYDTVKSEWKLITHVNKGPAPRSFHSMAADNKNIYVFGGVSTTVRVNTLHAYNIIDQ KWTELPNPGESCKGRGGAGLAVVQGKIWVVYGFIGDEVDDVHCFDLVERKWTKVETRG EKPWARSVFALAVVGKYIIISGGEIEMDPKAHLGPGKLAGGTFVLDTESLLWEKLEEG HSPRGWIASTTASIDGKRGLLMHGGKAPTNGRYEDIFFYGVDSA" gene <1706115..>1708604 /locus_tag="BRARA_H00188" /db_xref="Phytozome:Brara.H00188" mRNA join(<1706115..1706306,1706405..1706854,1706960..1707406, 1707864..>1708604) /locus_tag="BRARA_H00188" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00188" CDS join(1706115..1706306,1706405..1706854,1706960..1707406, 1707864..1708604) /locus_tag="BRARA_H00188" /codon_start=1 /product="hypothetical protein" /protein_id="RID49385.1" /db_xref="Phytozome:Brara.H00188" /translation="MSERVGAMGGNMGIPFDDGVFDGVRKIIVGKDWDCVSYMKIEYE NSDGNFETREHGTIRGGLQEFTVDYPSEYITSVGGRYEHVPRYGTVLIRSLIFKTSGG RTSPILGYTTSFGHPAGREFMLEGKNGGRLLGFHGRSGQALDAIGPHFFAVNSPLKHF NRQGGNGGSAWDDGAFDGVRRILVGLGGRFISFLRFEYAKGQRIVPHAHGKRQEFVVD YPNEHITVVEGTIDGYLTSLRFKTSKGRTSPAFGNVVGRKFVFEEKKFKLIGFSGRSG DVIDALGANFGPYPAPTPAPTQASASTKMGPLGGNKGNAFDDGIMDGVKKITVGADEY SITYIKIEYEKEGKDEIRDHGTKRGELKEFFVDYPNEYITAVGGSYKHIFNYDTTLVK SLYFTTSKGFTSPLVGEMTGTEFEFKGENGGKLVGFHGRGGYAIDAIGAHFSQAPISS PSSVIKVEAVGGKGEETFDDGSFHHVRKVSVGQGNSHISYIKFEYEKDGRRITQEHGQ RTSRGTEVFEVGQNDGITSVKAYYEKLDGWKTETITHLAFKTLKGINSQPFGKTPVIV NERTKLSLLEGGKITGFHGSSSDVLHSIGAYISAFPQTMLHGK" gene <1736834..1738327 /locus_tag="BRARA_H00189" /db_xref="Phytozome:Brara.H00189" mRNA join(<1736834..1737025,1737120..1738327) /locus_tag="BRARA_H00189" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00189" CDS join(1736834..1737025,1737120..1738151) /locus_tag="BRARA_H00189" /codon_start=1 /product="hypothetical protein" /protein_id="RID49386.1" /db_xref="Phytozome:Brara.H00189" /translation="MSERMGAMGGTMGSPFDDGVFDGVRRIIVGRDWDCVSYIKIEYE NSAGNFETREHGTNRGGIQEFTVDYPSEYITSVGGSFSRVFRYGTELIQSLIFRTSRG RTSPILGHSFLGFQLGTRFTLEGKNGGKLLGFHGRSGQALDAIGPYFFAANPPLRHFN PQGWNGGSAWDDGAFDGVRRILVGRGGSFVSFLRFEYARGQRTVPHDHGRRQEVPQEV LIVDIKYMCNCISLCLLRFILLIIKIFWLKFVVDHPNEHITVVEGTIDGFLTSLRFQT SIGRTSPAFGNVVGRRFVFQENNFKLVGFSGRSGDVIDALGANFGPLPAPTPIPAPVP APAPAPAPRPSPAPGPAGRWPPAPAPAPAPGPAPAPGPAPAPGPAPGQGPAPGRAPGP APGRAPGPAPGPAGR" gene 1741495..1745542 /locus_tag="BRARA_H00190" /db_xref="Phytozome:Brara.H00190" mRNA join(1741495..1741675,1741899..1742141,1743025..1743321, 1743408..1743575,1743683..1743850,1743936..1744013, 1744132..1744198,1744339..1744436,1744569..1744638, 1744733..1744803,1744879..1744928,1745025..1745137, 1745254..1745542) /locus_tag="BRARA_H00190" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00190" CDS join(1741637..1741675,1741899..1742141,1743025..1743321, 1743408..1743575,1743683..1743850,1743936..1744013, 1744132..1744198,1744339..1744436,1744569..1744638, 1744733..1744803,1744879..1744928,1745025..1745137, 1745254..1745300) /locus_tag="BRARA_H00190" /codon_start=1 /product="hypothetical protein" /protein_id="RID49387.1" /db_xref="Phytozome:Brara.H00190" /translation="MYRTAASRARALKGSLSRGLGPARYASSSAVATSSSSQGFLGWL TGGSSGSLTSLDMPLQGVSLPPPLADRVEPSKLKITTLPNGLKIASEMSPNPAASVGL YVDCGSIYEAPYFHGATHLLERMAFKSTTNRSHLRLVREIEAIGGNTSASASREQMSY TIDALKTYVPEMVEVLIDSVRNPAFLDWEVNEELRKMKVEIAELAKNPMGFLMEAVHS AGYSGALANPLYAPESALHKLNGELLEEFMTENFTAARMVLAASGVEHEDLLKVAEPL TSDLPNVPRQAEPKSQYTGGDFRQHSGGEATHFALAFEVPGWKNEKEALIASVLQMLM GGGGSFSAGGPGKGMHSWLYLRILNEYQQVQSCTAFTSIFDNTGLFGIYGCSSPEFAA KAIELAAKELKDVAGGKVNQKHLDRAKAATKSAVLMNLESRMIAAEDIGRQILTYGER KPVEQFLKAVDELTLKDITDFTSKIISKPLTMGSFGDVLSVPSYDTVSSKFC" gene complement(<1748792..1749875) /locus_tag="BRARA_H00191" /db_xref="Phytozome:Brara.H00191" mRNA complement(join(<1748792..1749229,1749324..1749521, 1749636..1749875)) /locus_tag="BRARA_H00191" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00191" CDS complement(join(1748792..1749229,1749324..1749521, 1749636..1749872)) /locus_tag="BRARA_H00191" /codon_start=1 /product="hypothetical protein" /protein_id="RID49388.1" /db_xref="Phytozome:Brara.H00191" /translation="MGRATRWFKRLFSTKKSLDSDSEKEQNKQAIAVATTTATTAEAA VSAAKAAESVVTGDIITRDERWAAMKIQKVFRGSLARKALRALKGIVKLQALVRGYLV RKRAAVMLHNMQTLVRVQTVMRSKRNRRLNKEYNDMFQPRHSLAEVAAGDALKRRSKS RKKHDVASMSEHKDGFVYQRNDLELNLPKEKWKFARTPRLSSSLHSHSANNRYYVMQS PGKSVCGNATCEYGMSTPGYMEKTQSFKAKVRSHSAPHQRSERMRLSLDEVIASRSSV SGESLQQQPRYSCS" gene complement(1751278..1753467) /locus_tag="BRARA_H00192" /db_xref="Phytozome:Brara.H00192" mRNA complement(join(1751278..1751534,1751624..1751691, 1751803..1751935,1752013..1752305,1752653..1753467)) /locus_tag="BRARA_H00192" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00192" CDS complement(join(1751500..1751534,1751624..1751691, 1751803..1751935,1752013..1752305,1752653..1752936)) /locus_tag="BRARA_H00192" /codon_start=1 /product="hypothetical protein" /protein_id="RID49389.1" /db_xref="Phytozome:Brara.H00192" /translation="MEGSSRNGEISPKLLHLIPQGRRNWFHHEKASVYNTEEKNLELK LGPPGEDEDEDGSSLTRRFKKEQKDKSILSLAGNHHFSPSITTNKPTSQKRNAPGPVV GWPPVRSFRKNLANGSSSKLGNESTSVLKNQKCDDDNGREKTKEPKRQGGLFVKINMY GVPIGRKVDLSAHDSYEQLSLTVDKLFRGLLAAQRESLSFGKEEKPITGLLDGNGEYT LTYEDNEGDKMLVGDVPWHMFVSSVKRLRVIKTSEISSALTYANGKQENMGS" gene 1768857..1772609 /locus_tag="BRARA_H00193" /db_xref="Phytozome:Brara.H00193" mRNA join(1768857..1769640,1769967..1770050,1770154..1770450, 1770518..1770663,1771008..1771097,1771183..1771288, 1771370..1771521,1771610..1771707,1771785..1771932, 1772004..1772111,1772222..1772609) /locus_tag="BRARA_H00193" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00193" CDS join(1768908..1769640,1769967..1770050,1770154..1770450, 1770518..1770663,1771008..1771097,1771183..1771288, 1771370..1771521,1771610..1771707,1771785..1771932, 1772004..1772111,1772222..1772386) /locus_tag="BRARA_H00193" /codon_start=1 /product="hypothetical protein" /protein_id="RID49390.1" /db_xref="Phytozome:Brara.H00193" /translation="MSEISSDLNLFLTSPLTKPIKTHFDSPFTFIKSRSFLLKSLLSM NLSASSFLILLSFFFILPNLSSSKPMNCTDTSRLCTSFLAFRPNPNQTFSIIQSMFDA LPSDITADSTGEDDGGGYIYVKKNCSCLTTTPHQYATNTTFTIRQNRGYVYDAVVSAY SGLALPPNTTRASSAGAVVSVQLLCGCSSGLWNYLMSYVTVSGDSVQSLSSRFGVSMD RIEQVNGISNPDNVTTGDLIFIPLDSVPGVPYEARKINPPAPSPSPVLTNSNISATDE VNHTSKGGHVPYIWIVGGLTVVLALLVMCILVCICLRSSSCGSSDEDGNEHNFQILRK SSFFCGSSRYNCCGSGDFRPTNGEETQSHHQAVAIPKALGDGVFEIEKPMVFTYEEIC AATDGFSDSNLLGHGNYGSVYFGLLREQEVAVKRMTATKTKEFAAEMKVLCKVHHSNL VELIGYAATNDELFVVYEYVQKGMLKNHLHDPQSKGNTPLSWIMRNQIALDAARGLEY IHEHTKTHYVHRDIKTSNILLDEAFRAKISDFGLAKLVEQTGEGEISVTKVVGTYGYL APEYLSDGRATSKSDVYAFGVVLFEIISGREAVIRTEAMGTKNPERRPLASIMLAALK NSPDSMNMLSLKEFVDPNMMDLYPHDCLFKIAMLAKQCVDDDPILRPNMKQVVISLSQ ILLSSIEWEATLAGNSQVFSGLVQGR" gene <1774125..>1774484 /locus_tag="BRARA_H00194" /db_xref="Phytozome:Brara.H00194" mRNA <1774125..>1774484 /locus_tag="BRARA_H00194" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00194" CDS 1774125..1774484 /locus_tag="BRARA_H00194" /codon_start=1 /product="hypothetical protein" /protein_id="RID49391.1" /db_xref="Phytozome:Brara.H00194" /translation="MDDIDIAILELTIFMFMIICCCCCCRTGPVELPPQFIDKTPQTQ QDIETGQIKGILFKDIKEKEEEEGCGKRCCPICLEEYEDDHEIRRLEKCRHVFHRFCI DSWLQQKQNCPSCRRSV" gene <1785075..>1786095 /locus_tag="BRARA_H00195" /db_xref="Phytozome:Brara.H00195" mRNA join(<1785075..1785143,1785796..>1786095) /locus_tag="BRARA_H00195" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00195" CDS join(1785075..1785143,1785796..1786095) /locus_tag="BRARA_H00195" /codon_start=1 /product="hypothetical protein" /protein_id="RID49392.1" /db_xref="Phytozome:Brara.H00195" /translation="MKRGRPQLISNLLFKLVKEKGIRGCIVLSIIIVIILIACGSCGI CAPHLPPELIYQTPQPQQDIETGQEKGLMFKDIKEERCDKRSCQICLEEYEDDHEITR LKKCRLTEKRSCPICRCYVV" gene <1786730..>1787134 /locus_tag="BRARA_H00196" /db_xref="Phytozome:Brara.H00196" mRNA <1786730..>1787134 /locus_tag="BRARA_H00196" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00196" CDS 1786730..1787134 /locus_tag="BRARA_H00196" /codon_start=1 /product="hypothetical protein" /protein_id="RID49393.1" /db_xref="Phytozome:Brara.H00196" /translation="MDQSHESLWKHLNESHPMELTVFLVLMLIVCYYCGRNDHMGSPL EPIHQTPQQDIETGRQLPLTKVILFKDIKVEEGGGGGCGKSSCPICLEEYDDDHEITK LNKCGHVFHRFCIDSWLSNDPRRSCPNCRGTV" gene complement(1788018..1790565) /locus_tag="BRARA_H00197" /db_xref="Phytozome:Brara.H00197" mRNA complement(join(1788018..1789269,1789339..1790365, 1790475..1790565)) /locus_tag="BRARA_H00197" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00197" mRNA complement(join(1788018..1789269,1789339..1790421)) /locus_tag="BRARA_H00197" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00197" CDS complement(join(1788991..1789269,1789339..1790331)) /locus_tag="BRARA_H00197" /codon_start=1 /product="hypothetical protein" /protein_id="RID49394.1" /db_xref="Phytozome:Brara.H00197" /translation="MDKISELPDELLLKILSIVPTKVAVSTSILSKRWRFLWMWLPEL EYSDSQGKSLLALRDFINKSLPLHRSPVIERLCLDLWEESHDSKVNPEDIKLWVETAV SRHVRELEVSYLSESNANMFPSGFFTCESLVVLKLRYMTLMDVPSTGCCCLPSLKTLQ LESLTYVGVDSLQNLLSMCHVLEDLEVRFIQDEYPQMFTVIFPLLRRLTLSLPDCEWD LDEYEIDTPFLEYLKLEDWNESLWLFKKNMPSLREAYVHVESYALKSVVRSITSVKRL TVCSEVEEDQDVYGFVFDQLEHLELCVCKDDSLNLLVQFLKDSSNLRALDISILDCHG DKKTDDKFAWYKLSCVPECVLPSLQVFNWSRYIGRPRDRDIAFYVLRNAPNLRTATFR FEQGVPNPKTLKMELTLFPPASSTCELVFVE" CDS complement(join(1788991..1789269,1789339..1790331)) /locus_tag="BRARA_H00197" /codon_start=1 /product="hypothetical protein" /protein_id="RID49395.1" /db_xref="Phytozome:Brara.H00197" /translation="MDKISELPDELLLKILSIVPTKVAVSTSILSKRWRFLWMWLPEL EYSDSQGKSLLALRDFINKSLPLHRSPVIERLCLDLWEESHDSKVNPEDIKLWVETAV SRHVRELEVSYLSESNANMFPSGFFTCESLVVLKLRYMTLMDVPSTGCCCLPSLKTLQ LESLTYVGVDSLQNLLSMCHVLEDLEVRFIQDEYPQMFTVIFPLLRRLTLSLPDCEWD LDEYEIDTPFLEYLKLEDWNESLWLFKKNMPSLREAYVHVESYALKSVVRSITSVKRL TVCSEVEEDQDVYGFVFDQLEHLELCVCKDDSLNLLVQFLKDSSNLRALDISILDCHG DKKTDDKFAWYKLSCVPECVLPSLQVFNWSRYIGRPRDRDIAFYVLRNAPNLRTATFR FEQGVPNPKTLKMELTLFPPASSTCELVFVE" gene 1790720..1792947 /locus_tag="BRARA_H00198" /db_xref="Phytozome:Brara.H00198" mRNA join(1790720..1790837,1790941..1791006,1791081..1791148, 1791319..1791355,1791452..1791521,1791614..1791671, 1791841..1791969,1792044..1792135,1792246..1792324, 1792412..1792493,1792582..1792947) /locus_tag="BRARA_H00198" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00198" CDS join(1790831..1790837,1790941..1791006,1791081..1791148, 1791319..1791355,1791452..1791521,1791614..1791671, 1791841..1791969,1792044..1792135,1792246..1792324, 1792412..1792493,1792582..1792640) /locus_tag="BRARA_H00198" /codon_start=1 /product="hypothetical protein" /protein_id="RID49396.1" /db_xref="Phytozome:Brara.H00198" /translation="MTEYKEEQEMEIEALESILADDFKEIHSSESGLNTSNRCFQITV TPQDDDLEESSSIPPVQLGLVFSHTENYPDEAPLLDVKSIRGIHVGDLTILKEKLEQE ATENLGMAMMYTLASSAKDWLSEHYGQDDGDDYAEEEAAKEDEVIVPHGEPVTLETFV AWRERYEAELALERAKLMPESALTAPKEKKLTGRQWFESGKARGTVVTADQESEDEDD EDIDFEDEDFEDDEEDMLEHYLAEKSDARA" gene complement(<1793158..1797540) /locus_tag="BRARA_H00199" /db_xref="Phytozome:Brara.H00199" mRNA complement(join(<1793158..1793233,1793320..1793393, 1793481..1793561,1794850..1794936,1795015..1795074, 1795157..1795243,1795315..1795516,1795608..1795737, 1795819..1796466,1797300..1797540)) /locus_tag="BRARA_H00199" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00199" CDS complement(join(1793158..1793233,1793320..1793393, 1793481..1793561,1794850..1794936,1795015..1795074, 1795157..1795243,1795315..1795516,1795608..1795737, 1795819..1796374)) /locus_tag="BRARA_H00199" /codon_start=1 /product="hypothetical protein" /protein_id="RID49397.1" /db_xref="Phytozome:Brara.H00199" /translation="MFGGLGMNPSMDDINLIQQAQRHQLVVTNLGEEIDLEIGTAEDE AAFATNSLIGGTPREPSTGEHDETKHMVLVSDLPGEDQDMSKGQPSAKRKKKVVKRWR EEWADTYKWAYVDMKEGTARIFCSVCREYGRKHRRNPYGNEGSRNMQLSALEEHNNSL LHKEALRLQTASKDKIVVEKPLYVKTLMSKSAGSIVEGALKRDPNEVEFIQSVQESVH ALERVIAKNSHYVNIMERLLEPERMIVFRVPWIDDRGETHVNRGFRVQFNQALGPCRG GIRFHPSMNLSIAKFLGFQQTLKNALSPYKLGGASGGSDFDPKGRSDNEIMRFCQSFM NEMYRYMGPDKDLPSEEVGVGTREMGYLFGQYRRLAGQFQVAAGEIEVLRESNSMQWS AEDFESRLQEALKQTYEKALKAANDFGYQKESPEALLHGATIAAFLNIAQAMTDQGCV " gene 1798656..1802570 /locus_tag="BRARA_H00200" /db_xref="Phytozome:Brara.H00200" mRNA join(1798656..1798792,1798969..1799114,1799189..1799224, 1799298..1799405,1799795..1799895,1799979..1800105, 1800186..1800245,1800334..1800452,1800790..1800898, 1801044..1801132,1801268..1801337,1801409..1801489, 1801568..1801654,1801745..1801793,1801884..1802012, 1802100..1802174,1802289..1802570) /locus_tag="BRARA_H00200" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00200" CDS join(1798783..1798792,1798969..1799114,1799189..1799224, 1799298..1799405,1799795..1799895,1799979..1800105, 1800186..1800245,1800334..1800452,1800790..1800898, 1801044..1801132,1801268..1801337,1801409..1801489, 1801568..1801654,1801745..1801793,1801884..1802012, 1802100..1802174,1802289..1802344) /locus_tag="BRARA_H00200" /codon_start=1 /product="hypothetical protein" /protein_id="RID49398.1" /db_xref="Phytozome:Brara.H00200" /translation="MLTVSVKWQKKVFEGIEIDVTLPPYVFKAQLYDLTGVPPERQKI MVKGGLLKDDADWSAIGVKDGQKLMMMGTADEIVKAPEKAIVFAEDLPEEEQATNLGY SAGLVNLGNTCYMNSTVQCLKSVPELKSALSNYSLAGRSNDVDQTSHMLTVATRELFG DLDRSVNAVSPTQFWMVLRKKFPQFSQLQNGMHMQQDAEECWTQLLYTLSQSLKAPTS SEDSDAVKALFGVNLRSRVHCQESGEESSETESVYSLKCHISHEVNHLHEGLKHGLKG ELEKISPALGRTAIYLKESLIDSLPRYLTVQFVRFFWKRETNQKAKILRKVDYPLELD IYDLCSEDLRKKLEAPRQKLRDEEGKKLGLQTKSSSKDGDVKMTDAEAPSNESGESST AAQQEGAGGSSSEKGTHMTGIYDLVSVLTHKGRSADSGHYVAWVKQESGKWIQYDDSY PSVQREEDITKLSGGGDWHMAYIIMYKARFVSM" gene complement(<1808508..>1809107) /locus_tag="BRARA_H00201" /db_xref="Phytozome:Brara.H00201" mRNA complement(<1808508..>1809107) /locus_tag="BRARA_H00201" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00201" CDS complement(1808508..1809107) /locus_tag="BRARA_H00201" /codon_start=1 /product="hypothetical protein" /protein_id="RID49399.1" /db_xref="Phytozome:Brara.H00201" /translation="MQEDLTSAAAYYHHQSMIMTAKQQQPELPEQEQLNCPRCASPNT KFCYYNNYNLSQPRHFCKNCRRYWTKGGSLRNIPVGGGTRKNSSKRSSVGSSSSAPSS SSPKSKTVAVSDQESRTTGNSGQEMDPTRMLYGLPVGDPSGGSFSSLLVSNMQQIRGV NYETGSGWYPGMELGLGSGIRRNDDAALTDLAMNRVEKN" gene complement(<1811420..>1814350) /locus_tag="BRARA_H00202" /db_xref="Phytozome:Brara.H00202" mRNA complement(join(<1811420..1811550,1811625..1811683, 1811781..1811858,1811931..1812022,1812110..1812178, 1812252..1812355,1812472..1812576,1812670..1812788, 1812907..1812998,1813096..1813203,1813491..1813610, 1813697..1813795,1813889..1813992,1814245..>1814350)) /locus_tag="BRARA_H00202" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00202" CDS complement(join(1811420..1811550,1811625..1811683, 1811781..1811858,1811931..1812022,1812110..1812178, 1812252..1812355,1812472..1812576,1812670..1812788, 1812907..1812998,1813096..1813203,1813491..1813610, 1813697..1813795,1813889..1813992,1814245..1814350)) /locus_tag="BRARA_H00202" /codon_start=1 /product="hypothetical protein" /protein_id="RID49400.1" /db_xref="Phytozome:Brara.H00202" /translation="MNGEVVSASADPSPPLEWRFSQVFGERSAGEEVHEVDVISAIQF DNSGDHLATGDRGGRVVLFERTDAKNSSGGARRDLEETYYPLRHPEFGYKTEFQSHDP EFDYLKSLEIEEKINKIRWCQTANDALFLLSTNDKTIKFWKVQDKKIKKICDVNSDLS RTVVNGEVPEANSSSLRLPVTSHESSPVARCRRVYSHAHDYHINSISNNSDGETFISA DDLRINLWNLEITNQSFNIVDVKPEKMEDLSEVITSAEFHPTHCNMLAYSSSKGSIRF IDLRQSALSGSKSFFTEIIASVSDMKFAKERRCLLSRDFMTLKLWDINMDSGPVSTFQ VHEHLKPKLCDLYENDSIFDKFECCISGNGLQAATGSYSNMFRVFGVSPGSTETASLE ASRNPTRRHVPVPSSPFKALSRVVSRESPGVDGNSNALDYTTKLLHLAWHPSENSIAC AAGNSLYMYYA" gene complement(<1819079..>1820146) /locus_tag="BRARA_H00203" /db_xref="Phytozome:Brara.H00203" mRNA complement(<1819079..>1820146) /locus_tag="BRARA_H00203" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00203" CDS complement(1819079..1820146) /locus_tag="BRARA_H00203" /codon_start=1 /product="hypothetical protein" /protein_id="RID49401.1" /db_xref="Phytozome:Brara.H00203" /translation="MKPVQSAPVKSRPRRRPDLSLPLPQRDVSLAVPLPLPPTSSGSS SSSSSAPSSAGSSAPKNYSDLERGNRIGSGAGGTVYKVTHRPTSREYALKVIYGNHEE NVRRQICREIEILRDVNHQNIVKCHEMFDQNGEIQVLLEFMDGGSLENRHVSNERDLA HLSHQILNGLAYLHGRHIVHRDIKPSNLLINSDKIVKIADFGVSRILAQTMDPCNSSV GTIAYMSPERINTDLNQGRYDGYAGDIWSLGVSILEFYLGRFPFNVSRQGDWASLMCA ICMSQPPEAPATASPDFRNFISCCLRREPARRMTATQLLQHPFIRRAVSQGQNRSPQN LHQLLPPPPRTLSSSSSSPTT" gene complement(1824891..1825887) /locus_tag="BRARA_H00204" /db_xref="Phytozome:Brara.H00204" mRNA complement(join(1824891..1825145,1825595..1825887)) /locus_tag="BRARA_H00204" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00204" CDS complement(join(1825027..1825145,1825595..1825778)) /locus_tag="BRARA_H00204" /codon_start=1 /product="hypothetical protein" /protein_id="RID49402.1" /db_xref="Phytozome:Brara.H00204" /translation="MASSAAVPFWRAAGMTYITYSNICANLVRNCLKEPFKEEALSRE KVHFSISKWADGKPEKPILRSHTWSLKFLTFKVNNGAGARNCCVTSATKMIFFYLL" gene 1829946..1832031 /locus_tag="BRARA_H00205" /db_xref="Phytozome:Brara.H00205" mRNA join(1829946..1830198,1830401..1830545,1830629..1830699, 1830772..1830857,1830933..1831506,1831585..1832031) /locus_tag="BRARA_H00205" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00205" CDS join(1830097..1830198,1830401..1830545,1830629..1830699, 1830772..1830857,1830933..1831506,1831585..1831863) /locus_tag="BRARA_H00205" /codon_start=1 /product="hypothetical protein" /protein_id="RID49403.1" /db_xref="Phytozome:Brara.H00205" /translation="MGVDLRQVVAGILTITMFVMLGQMLHRDYFDANQVQGDAHDIEF HGSKVSLEDGLVRSSEGTKGPWMEDSNDLKSCWSISESDEAVSSKGYVTFSLTNGPEY HVSQITDAVMVAKHLGATLVLPDIRGSKPGDEMNFEDIYDVDKLVKSLESVIKVVKKL PSHVSLRDIAIVKVPTRVAEDYIKEHISPIFKSKGNIRVTTYFPSVNLRKSSLDGGET DPVSCLAMFGSLELQPGVNELVEAMIQRLKKKSNGRFIAIDLRVEILEKKNCRETGAG GSKTCYNAQEIAVFLRKLGFDGDTTIYLTQPRWESSLNILKDIFPKTFTKEAIMPAEK KSKYLELENSEYENVIDFYISSRSDVFVPAIPGLFYANTVGKRIALGKPQVLVPAEIS GTSGLSTDYISPYISKKNHLAYSCFC" gene complement(1833161..1835356) /locus_tag="BRARA_H00206" /db_xref="Phytozome:Brara.H00206" mRNA complement(join(1833161..1833575,1833687..1833792, 1833915..1834049,1834120..1834253,1834398..1834484, 1834562..1834898,1835143..1835356)) /locus_tag="BRARA_H00206" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00206" CDS complement(join(1833426..1833575,1833687..1833792, 1833915..1834049,1834120..1834253,1834398..1834484, 1834562..1834873)) /locus_tag="BRARA_H00206" /codon_start=1 /product="hypothetical protein" /protein_id="RID49404.1" /db_xref="Phytozome:Brara.H00206" /translation="MDDDELHGSNGRMHIAEAQDPLHHVHYGHHALQHIHNGSGMVDD HADGSGGGMSDGVDTDVPSHPGNITDNRGEVVDRGSEQGDQLTLSFQGQVYVFDSVLP EKVQAVLLLLGGREVPQAPPTGLGASHQNNRGLPGTPQRCSMPQRLASLVRFREKRKE RNFDKKIRYTVRKEVALRMQRNKGQFTSAKSNNGEAAADGSSWESSQTWAIEGSEAHH NQEISCRHCGIGEKSTPMMRRGPEGPRTLCNACGLMWANKGALRDLSRGAPQTFQNLP VNNEVSNMQEEANLEADQMMGTVANDISNSQ" gene 1836069..1839665 /locus_tag="BRARA_H00207" /db_xref="Phytozome:Brara.H00207" mRNA join(1836069..1836385,1836555..1836602,1836679..1836840, 1836948..1837060,1837137..1837202,1837358..1837532, 1837755..1837844,1838013..1838137,1838220..1838285, 1838357..1838465,1838547..1838645,1838727..1838807, 1838879..1839049,1839133..1839665) /locus_tag="BRARA_H00207" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00207" CDS join(1836227..1836385,1836555..1836602,1836679..1836840, 1836948..1837060,1837137..1837202,1837358..1837532, 1837755..1837844,1838013..1838137,1838220..1838285, 1838357..1838465,1838547..1838645,1838727..1838807, 1838879..1839049,1839133..1839351) /locus_tag="BRARA_H00207" /codon_start=1 /product="hypothetical protein" /protein_id="RID49406.1" /db_xref="Phytozome:Brara.H00207" /translation="MARGRSITSSGIWRYLNPAYYLRRPKRLALLFFVFVSVSMVVWD RMNLAREHEVEVYKLNEEVSRLEQMLEELKSVGNGKTLMTQKDVPQNPVDIERRQKVK EAMIHAWSSYEKYAWGKDELQPRTKDGTDSFGGLGATMIDSLDTLYIMGLHEQFQKAR EWVATSLDFDKDYDASMFETTIRVVGGLLSTYDLSGDKLFLDKAKDIADRLLPAWNTP TGIPYNIINLRSGSAHNPSWAAGGASILADSGTEQLEFIALSQRTGDPKYQQKVEKVI TELNKNFPADGLLPIYINPDNGNPSYSTTTFGAMGDSFYEYLLKVWVQGNKTSEVKLY REMWEKSMKGLLSLINKSTPSSFTYIREKNGNNFIDKMDELACFAPGMLALGASGYGP DDEKKFLTLAEELAWTCYNFYQSTPTKLAGENYFFNAGQDMSVGTSWNILRPETVESL FYLWRLTGNKTYQEWGWNIFQAFEKNSRIESGYVGLKDVNTGAKDNKMQSFFLAETLK YLYLLFSPPSVISLDEWVFNTEAHPLKIVARNEQRKPTITLRQRRFGGIIKG" gene complement(1839131..1841835) /locus_tag="BRARA_H00208" /db_xref="Phytozome:Brara.H00208" mRNA complement(join(1839131..1839662,1839754..1839939, 1840013..1840074,1840158..1840371,1840443..1840694, 1840760..1841140,1841220..1841835)) /locus_tag="BRARA_H00208" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00208" CDS complement(join(1839525..1839662,1839754..1839939, 1840013..1840074,1840158..1840371,1840443..1840694, 1840760..1841140,1841220..1841813)) /locus_tag="BRARA_H00208" /codon_start=1 /product="hypothetical protein" /protein_id="RID49405.1" /db_xref="Phytozome:Brara.H00208" /translation="MDFPSSKRPATASAESVQFRLLCPASRTGAIIGKGGSVIRHLQS LTGSKIRVIDDIPVPSEERVVLITAPNATKKDDSSNACDPENPSSDQTKPPETAAADK PGEEAPPPPPSSSALVRVLERIVFGDDAANGDGSELDKGEFEGLCRVLVRGNQVDYLM SQGGMMMQRIRDESGASVRIASTDQIPPCAFPGDVVIQINGKFSNVKKALLMITSSLQ ESGAPPTWEECSFPPPGYPPDYHSMEYHQWDHPPNPMAEDVGPFNRPPIVEEEVAFRL LCPADKVGSLIGKGGAVVRALQNETGASIKVSDPTHETEERIVVISARENLERRHSLA QDAVMCVHNRIVEIGFEPSAAVVARLLVHSPFIGRLLGKGGHVISEMRRATGASIRVF AKDQATKYESQHDEIVQIIGNVKTVQDALFQITSRLREAMFPGRIPFPGMGGPPPPFM GPYPEPPPPFGPRPYPASPDRYHSPVGPYHERHCHGPGFDRPPSPMSWTPQPPIDGHP GGMVPDVNHGFALRNEPIGGENLPMTSANVEIVVPQAYLGHVYGENCSNLNYIKQVTG ANVVVHDPKAGTTEGLVVVSGTSDQAHFAQSLLHAFILCGQS" gene complement(<1842510..>1844255) /locus_tag="BRARA_H00209" /db_xref="Phytozome:Brara.H00209" mRNA complement(<1842510..>1844255) /locus_tag="BRARA_H00209" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00209" CDS complement(1842510..1844255) /locus_tag="BRARA_H00209" /codon_start=1 /product="hypothetical protein" /protein_id="RID49407.1" /db_xref="Phytozome:Brara.H00209" /translation="MSSLHKTTWTQAGVFEAIMASTKGFVKNTDLLLGIAEKWCPDTN TFFFPWGEATITLEDVMFLLGFSVLGSPVFAALDESGERVKEKLVKESLRIKKDNNFV FVSQVEWMRRFMNDDDDDDELEHVAFLALWLSYFVFPSGYYHIDEVVFSVAVHVSRGT RIALAPAVLAHLYAELTLLKRHIREFITIEDKIELKGLFKLVQVWTWERFKELQPEKA NPLLKGEARLSIWCDDSTQKRSSNVRKVLEEAKVESFEWRPYTKALENWEFPLFYPEE AMWVPVDAALDDEFITFARCVKVSKLVGIDCVENYFPNRVAAQFGLLQDVTCHVERKS LSKEEAWDEYNNPLDELTLYIPSRCIIPWWKKSSSEWWKKLSPEENQAVESLTPRNII GDDDDYTSNSASSGCKRWKSMKRFYEDDEDDSLTIAQVMRLRKKDTSKVLSLSEVLKK MGDGFPKKLKRSRYIRTRRSVRSEIEKGKIGDCGGSASRGVLLDDLFDKELVKRKSEC LGKKRAREEDDEICYDDITTAEMIICREKSGGDASEDETVVATREIKQEETDTRSKAA NENNSLDHPLLWWKL" gene 1845079..1846893 /locus_tag="BRARA_H00210" /db_xref="Phytozome:Brara.H00210" mRNA join(1845079..1845370,1845645..1845781,1846188..1846342, 1846619..1846893) /locus_tag="BRARA_H00210" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00210" CDS join(1845106..1845370,1845645..1845781,1846188..1846342, 1846619..1846664) /locus_tag="BRARA_H00210" /codon_start=1 /product="hypothetical protein" /protein_id="RID49408.1" /db_xref="Phytozome:Brara.H00210" /translation="MANIEAEAVDFEPEEDDLMDEDVGASDLSPRAGHPRLRSAIAGA NDESANRKTKGRGFREEKDSDRQRRLSSRDFESLGSDGGPGPQRSIEGWIVLVTGVHE EAQEDDISNAFGDFGEIKSLHLNLDRRTGFVKGYALIEYEKSEEAQSAIKAMNGAELL TKNVSVDWAFSNGPNNAGSYRRRNMRSGRSQRSRSPRRRF" assembly_gap 1859196..1869195 /estimated_length=unknown /gap_type="between scaffolds" gene 1877089..1878424 /locus_tag="BRARA_H00211" /db_xref="Phytozome:Brara.H00211" mRNA join(1877089..1877292,1877395..1877431,1877717..1878424) /locus_tag="BRARA_H00211" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00211" mRNA join(1877089..1877292,1877717..1878424) /locus_tag="BRARA_H00211" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00211" CDS join(1877170..1877292,1877395..1877431,1877717..1878255) /locus_tag="BRARA_H00211" /codon_start=1 /product="hypothetical protein" /protein_id="RID49410.1" /db_xref="Phytozome:Brara.H00211" /translation="MRFSLWKREKPKPFFFLSSPIFSASAGSLQQRRSFLGEIRTGLI DQPRLLGQSEMDHDETGCQSPPEGPKLCINNCGFFGSSATMNMCSKCHKAILFQQEQG ARFASAVSGCTSSSSNILKETFAATALVDAETKSVEPVAVFVQPSSVQVVVAEVVAPE AAASIPKEGPSRCATCNKRVGLTGFKCRCGDLFCGTHRYADIHNCSFNYHAAAQEAIA KANPVVKAEKLDKI" CDS join(1877184..1877292,1877717..1878255) /locus_tag="BRARA_H00211" /codon_start=1 /product="hypothetical protein" /protein_id="RID49409.1" /db_xref="Phytozome:Brara.H00211" /translation="MEERKAETFFFSLVSNFLSFCWISPAETILPWRDSYEMDHDETG CQSPPEGPKLCINNCGFFGSSATMNMCSKCHKAILFQQEQGARFASAVSGCTSSSSNI LKETFAATALVDAETKSVEPVAVFVQPSSVQVVVAEVVAPEAAASIPKEGPSRCATCN KRVGLTGFKCRCGDLFCGTHRYADIHNCSFNYHAAAQEAIAKANPVVKAEKLDKI" gene complement(1878707..1883561) /locus_tag="BRARA_H00212" /db_xref="Phytozome:Brara.H00212" mRNA complement(join(1878707..1879026,1879239..1879453, 1879552..1879656,1879747..1880310,1880405..1881199, 1881290..1881418,1881726..1881869,1881953..1882084, 1882196..1882282,1882358..1882458,1882544..1882742, 1882804..1882860,1882934..1883107,1883177..1883561)) /locus_tag="BRARA_H00212" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00212" CDS complement(join(1879023..1879026,1879239..1879453, 1879552..1879656,1879747..1880310,1880405..1881199, 1881290..1881418,1881726..1881869,1881953..1882084, 1882196..1882282,1882358..1882458,1882544..1882742, 1882804..1882860,1882934..1883107,1883177..1883422)) /locus_tag="BRARA_H00212" /codon_start=1 /product="hypothetical protein" /protein_id="RID49411.1" /db_xref="Phytozome:Brara.H00212" /translation="MNAEKMDERRRTSRKKSSVAAAVRAKIPRSALRKINCQKLLTSQ YILAKVFRKDGPSLGFQFDHLPPSSSSVDQQRVGRKRKVSEQHTSQDDCVVVKKKQYG IGKGLMTAWRVMNPNSHERATLAQSNPKKTKTQLASILKQKQKKKSTEKKRTSINTET TEELNKDETVGFSEKCELGEVFKETCQTMSILVDDEELERQEGLVNPPLTCSCHTATC GSCFLCKDLLPKFPPSSVGMRLPFALHPWNSSPESVKKLFKVVHFLYTYSVTLDICPF TIDEFTRAFHDKDSLLLGKIHLSLLKLLLVDVETELQRGSFSTLSISCKFLALLQSVE SQIRILDMWKDSLNSLTWAEILRQILVAAGFGSVKRAAGQSEELSKERRLMKKYGLRL GTLKGELFRMLNEQGGKNGLKISELANAAVEVAALNLATASEEERECSICSTLASDIT LFEKISESTYRARVSCFSEDHESDSGESGSVDDDEESGDEMGRVSESFVFRKAKRRKH RSKMLEVCSEIDESHPGEAWLLGLMEGEYSDLSIEEKLDVFIALIDLLSSGSTIRMED LPRAMVDCVPSIYSHGSGGKIKRASSSNHPRVSWVHGGERIEKLSKSSDSHPVDSSSI VGADFAKLAGDNVHPMQSVYLGSDRRFNRYWLFLGPCNANDPGHRCVYFESSEDGHWE VISHKEALRALLSVLDDRGRREARLIESLEKRESFLCQAMLRRIDHVVREDSSSSPVS DIDNNNLCLNEIANDQQAAIVFEKRGNSLLWSLVQEFDEWIWDKYYLNLNAVKHSRRS YLDSLTRCKSCHDLYWRDEKHCKICHATFELDIDLEERYAIHEATCSRKNEETSDSFP DHKVLSSQLQSLKAAVYAIESAMPEDALIGAWKKSAHRLWAKRLRRSSTLSEITQVIG DFVGAINEDWLWHFGEEDGEIITSFPSMPRTTSAIALWLVKLDTLIASYVVEKAPQPE GNQLNRTRKHK" gene <1884453..>1884971 /locus_tag="BRARA_H00213" /db_xref="Phytozome:Brara.H00213" mRNA join(<1884453..1884659,1884775..1884841,1884874..>1884971) /locus_tag="BRARA_H00213" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00213" CDS join(1884453..1884659,1884775..1884841,1884874..1884971) /locus_tag="BRARA_H00213" /codon_start=1 /product="hypothetical protein" /protein_id="RID49412.1" /db_xref="Phytozome:Brara.H00213" /translation="MLKGNIYFFAKENLFSESENTVYINIVGDPILYIYDDVVLKEKD AHVKNSICDDDENNFIKIARISDGHEGPTRCATCKKRVGLTGFKCRSDIHNCSFNYHV AVQEPIAKANPVVKAEKLDKI" gene <1886530..>1898449 /locus_tag="BRARA_H00214" /db_xref="Phytozome:Brara.H00214" mRNA join(<1886530..1887575,1898425..>1898449) /locus_tag="BRARA_H00214" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00214" CDS join(1886530..1887575,1898425..1898449) /locus_tag="BRARA_H00214" /codon_start=1 /product="hypothetical protein" /protein_id="RID49413.1" /db_xref="Phytozome:Brara.H00214" /translation="MEARRLSAAAKGKKIATDLYQAPRTGRIQVEETENAYLTHKHAL TLIGRVTNPSAQKVWAVIAFFSEHWKTERKIVGADLGQGLFQFQFELETDLLAVLDKR PYHYGRWMIILQRWEPTTSPHFPSLIPFWIKVQGIPVHLWTEDTIRRLGEDIGVFEEA DITSLAVRMRVHINGRLPLIKESVIEYKGGSEVIAHFVYEKLEKHCSMCQRLDHELRD CLEAKARKRDALKEKEEAKERLEGQPAGERHRQNREVNFRASGAREYDRRRPAESETY SRRGRDAQERNKYPPRHHPYARSTRENSRDRGSQQHSSFFQRHVQTSYQPRDQNSQER VHKPISKDTNSQEQGTLIRYPS" assembly_gap 1887726..1897725 /estimated_length=unknown /gap_type="between scaffolds" gene complement(1927766..1929646) /locus_tag="BRARA_H00215" /db_xref="Phytozome:Brara.H00215" mRNA complement(join(1927766..1928040,1928127..1928245, 1929145..1929259,1929349..1929646)) /locus_tag="BRARA_H00215" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00215" CDS complement(join(1927989..1928040,1928127..1928245, 1929145..1929259,1929349..1929572)) /locus_tag="BRARA_H00215" /codon_start=1 /product="hypothetical protein" /protein_id="RID49414.1" /db_xref="Phytozome:Brara.H00215" /translation="MQFFGGSEINPSPQVPTASGNNAHMMYVFNRNGVCLLYKEWNRP LHTLNPQQDHKLMFGLLFSLKSLTAKMDPVNADKGNLGVPQLPGQGCSFHSFRTNTYK LSFMETPSGIKIILVTHPKTGDLRESLKYIYGLYVEYVVKNPIYNPGSPIKSELFNTA LDQYVRSIS" gene <1940002..>1941105 /locus_tag="BRARA_H00216" /db_xref="Phytozome:Brara.H00216" mRNA <1940002..>1941105 /locus_tag="BRARA_H00216" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00216" CDS 1940002..1941105 /locus_tag="BRARA_H00216" /codon_start=1 /product="hypothetical protein" /protein_id="RID49415.1" /db_xref="Phytozome:Brara.H00216" /translation="MGMRSNTGLFFSQPQPIIDDDGHTDDEFDVDKLEKRIWRQGMRL QRLKERSKNKERDDEQLISKCMFKMMEVCNAQGFVYGIIPENGKPIISASHNLQEWWK DKVRFDLNGPIAIAKHQKSNNMVCESNEEGNNIANQLSQKLLRDLQDPTLGWLLSALM PHCDPPQRRFPFEKRVRPPWWPTGEEYWWPKDQGPAPPYKKPHDLKKSWKIGVLTSVI KHLSPGTMREIVTQTKSLWNKMTTKEKDIWFNVIDQEEASKHENMLNTSNFEINVGFQ DMNSRENNQPICRNRNGHFASSKFHVMPMHDRNINGNQSCLVEGNQSVNLQPEAQNHQ EHWHFGRTEGNIFERSSVEDLMKLSSNNNKRTV" gene complement(<1949737..>1950756) /locus_tag="BRARA_H00217" /db_xref="Phytozome:Brara.H00217" mRNA complement(<1949737..>1950756) /locus_tag="BRARA_H00217" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00217" CDS complement(1949737..1950756) /locus_tag="BRARA_H00217" /codon_start=1 /product="hypothetical protein" /protein_id="RID49416.1" /db_xref="Phytozome:Brara.H00217" /translation="MVILHEISNEAKTTTEASGSSNSFLRLATKPTASTTTRIALSNT ATTKYKGVVQQQNGHWGAQIYAEHRRIWLGTFKSAAEAAAAYDSASIKLRGFDGNSHR NFPWSEITVHEPDFQILYTTEAVLNMIRDGSYHHKFRDHVMSRSRMANFNMVESKQES NKCFSCTQLFHKELTPSDVGKLNRLVIPKKYAVKHLPFISDDQEEGEAVDDVEVVFYD STMRQWKFRYCYWRSSQSFVFTRGWNGFVKEKNLKEKDVIVFYSCDVPSNVRRLEGQS NKFLMIDVDHKGFVAPKEENKMVHNRSEGEMKTENFFNSKLEDEETKQEEKKGGFMLF GVRIQ" gene complement(<1951536..>1952300) /locus_tag="BRARA_H00218" /db_xref="Phytozome:Brara.H00218" mRNA complement(join(<1951536..1951724,1951755..1951850, 1951897..1951949,1952051..1952132,1952289..>1952300)) /locus_tag="BRARA_H00218" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00218" CDS complement(join(1951536..1951724,1951755..1951850, 1951897..1951949,1952051..1952132,1952289..1952300)) /locus_tag="BRARA_H00218" /codon_start=1 /product="hypothetical protein" /protein_id="RID49417.1" /db_xref="Phytozome:Brara.H00218" /translation="MAEKVTIMKLTVDLACSKCYKKAKKAIRKFPQIRDELYDEKTNT IIIKVSIVILEPPKPPPAQAQPSEKPKEPEKVPAPAPAPAPAPAPAPQLMPISAYHCG PYYEAQQYEYSWRPVNESWGGGPHPPHCCHEVTYQQSCSIM" gene <1967548..>1968331 /locus_tag="BRARA_H00219" /db_xref="Phytozome:Brara.H00219" mRNA join(<1967548..1967832,1967900..>1968331) /locus_tag="BRARA_H00219" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00219" CDS join(1967548..1967832,1967900..1968331) /locus_tag="BRARA_H00219" /codon_start=1 /product="hypothetical protein" /protein_id="RID49418.1" /db_xref="Phytozome:Brara.H00219" /translation="MGDKIRKSDLICELPDELVLKILSLLPSKDVVATSVLSKRWHSL WKEMKTFRYNDELRCGITVSKFTRFISRRSRVEILQLKLNPCYEKPINKRLLPESLFS CPQLETLILEKLSLVDVPPNADLACLKHLHLLSVRFSCEESVKTLLSICPRLEELVVR RSSYTNVKIFVINVPTLRSLSIDNSSGKSRPKGVHGFVINAHSLRSFSIRDSFSNYLR FRNMPKLVNSTVKVVCDIMI" gene complement(1970206..1971103) /locus_tag="BRARA_H00220" /db_xref="Phytozome:Brara.H00220" mRNA complement(join(1970206..1970782,1970872..1971103)) /locus_tag="BRARA_H00220" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00220" CDS complement(join(1970570..1970782,1970872..1971060)) /locus_tag="BRARA_H00220" /codon_start=1 /product="hypothetical protein" /protein_id="RID49419.1" /db_xref="Phytozome:Brara.H00220" /translation="MAGRGKTLGSAAAKKATTRSSKAGLQFPVGRIARFLKKGKYAER VGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDV TIANGGVMPNIHNLLLPKKAGGSSKPSGDDD" gene complement(<1977752..>1986259) /locus_tag="BRARA_H00221" /db_xref="Phytozome:Brara.H00221" mRNA complement(join(<1977752..1977797,1978005..1978107, 1980228..1980324,1980442..1980473,1980556..1980691, 1984342..1984426,1984560..1984684,1984794..1985037, 1985162..1985249,1985338..1985415,1985537..1985612, 1985710..1985768,1985883..1985943,1986071..>1986259)) /locus_tag="BRARA_H00221" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00221" CDS complement(join(1977752..1977797,1978005..1978107, 1980228..1980324,1980442..1980473,1980556..1980691, 1984342..1984426,1984560..1984684,1984794..1985037, 1985162..1985249,1985338..1985415,1985537..1985612, 1985710..1985768,1985883..1985943,1986071..1986259)) /locus_tag="BRARA_H00221" /codon_start=1 /product="hypothetical protein" /protein_id="RID49420.1" /db_xref="Phytozome:Brara.H00221" /translation="MAVPKAHYSLAILVILFVVSNCQNACNPECKAKEPFNCDNSLTF NRTGFPKNFTFGAATSAYQIEGAAHRALNGWDYYTHRYPERVPDRSSGDVACDSYDLY KEDVKLLKRLKVQAYRLSIAWSRVLPKGRLTGGVDENGITYYNNLINELKANGIEPFV TIFHWDVPQTLEDEYGGFLSPRIVEDFKNYAELLFQRFGDRVKFWITLNQPYSLSSKG YGDGSYPPGRCTGCEFGGDSGTEPYIVTHHQLLAHAEAVSLYRKRYQKFQGGKIGTTL IGRWFAPLNETSDLDQAAARRAFQFFVLDGTFLDPLVYGEYPTIMRELVGDRLPKFTP QQESDLVKGSLDFLGLNYYVTQYASDASPPPQTHPSVLTDPRVTLGYYRNGVPIGVES PSFVYYPPGFRQILNHIKDNYQNPLTYITENGVADYGNLTVANALADNGRIQNHCSHL SCLKCSIEEKDSGKWYSRFVAK" assembly_gap 1987602..1997601 /estimated_length=unknown /gap_type="between scaffolds" gene <2013205..>2014563 /locus_tag="BRARA_H00222" /db_xref="Phytozome:Brara.H00222" mRNA <2013205..>2014563 /locus_tag="BRARA_H00222" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00222" CDS 2013205..2014563 /locus_tag="BRARA_H00222" /codon_start=1 /product="hypothetical protein" /protein_id="RID49421.1" /db_xref="Phytozome:Brara.H00222" /translation="MLSPTPQESKRNRLKPHIMVFPYPAQGHLLPLLDLTHQLCLHGN VTVSIIVTPKNLPHLSPLLSAHPSAVSAVTLPLPHSPSGVENVKDLGCTPHIMASLRQ LREPIIKWLRSHPNPPVALISDFFLGWTNDLGVPRFAFFSSGAFFASLVHYVSDKRHL YDQTEPVYISDLPRSPVLKTEHLPLTPQSPLSRDVNIVRDMTMNFSSHGCIFNSCNCL EEEYMEYLKLKVAHNRVFGVGPVSSVGLGKGKSELNVDVKTLFSWLDGCPDGSVLYIC FGSQKVLSKEQCDALALGLEKSMTRFVWVAKTDPIPDGFEDRVAGRGMIIIGWAPQVA VLSHVAVGGFLSHCGWNSVLEAVASGTTILAWPMEADQFVDAKLLVEYTGVAVSVCEG GKTVPNPHELGRVIAETMGEKGRELRVRAKEMGKKARAATEVRGSSTIDLERLVKELG SL" gene complement(<2024766..>2026739) /locus_tag="BRARA_H00223" /db_xref="Phytozome:Brara.H00223" mRNA complement(join(<2024766..2026301,2026332..2026411, 2026475..>2026739)) /locus_tag="BRARA_H00223" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00223" CDS complement(join(2024766..2026301,2026332..2026411, 2026475..2026739)) /locus_tag="BRARA_H00223" /codon_start=1 /product="hypothetical protein" /protein_id="RID49422.1" /db_xref="Phytozome:Brara.H00223" /translation="MFHSDGRSVEALLIHMPKRRIWDVEGRVRGTNLGNNRFHFDFDK EEDLLKVLDKRPCHFNRWSFSLERWTPTIKEEFPNSLPFWAVVSVFDKADVDRSRVRV FVNGDLPLKFECKVTIQYEDLYRYCYSCKRISHEEGTCPELNDNQRERYRLARIDQKD KEDRATKEAFSQPQRSPRDYTDIYNRESRGRELRSIYQEAPESRRDGRREEERYNDLR KHLKERRDAHSKNVWKRLEPGNHSELPRDRERYHPYQHSSGALSKGRTRDAASSSEWR PKRVQENRYEQQPTKHRDQGFMGSRSRMSMDSQRTISENPKRLGAISSGRGRNSRSPP SGVLEWRPVNRERVTEKPRGLLKKNTLPTNFKDNPEEEVRADSIRRRSNSNDHDLGKG SQSIHGMEAVSTERTQVEGANITAEKAPLSSDLDQVMHLEEDPKKGQETEKDREEKEL EKSIAEFADHDMTEDMINEDDLLADFEEMEKQTQAEDKEDGRIEAISQLSPQRPHNKT AEEAAPTDKQIKKRVLKEKFQDDSSKNQRNHQESQQITTLGKKRGARSPDLKGAAASR KLATRGRLSPKPKTMKTAREISYDSRKGPRHEVYPSAIKSRKPVSTLGSMVSQKPSSS KI" gene complement(<2029073..>2037837) /locus_tag="BRARA_H00224" /db_xref="Phytozome:Brara.H00224" mRNA complement(join(<2029073..2029156,2029313..2029358, 2029485..2029541,2029669..2029727,2029951..2030048, 2030134..2030255,2030387..2030438,2037306..2037389, 2037464..2037659,2037733..>2037837)) /locus_tag="BRARA_H00224" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00224" CDS complement(join(2029073..2029156,2029313..2029358, 2029485..2029541,2029669..2029727,2029951..2030048, 2030134..2030255,2030387..2030438,2037306..2037389, 2037464..2037659,2037733..2037837)) /locus_tag="BRARA_H00224" /codon_start=1 /product="hypothetical protein" /protein_id="RID49423.1" /db_xref="Phytozome:Brara.H00224" /translation="METKTQVEDSIIQESLLTCIDLANSDHQSVLSLKQACLDSGFFY VINHGISEEVMDKAFEQSKNFFALPLVEKMKVLKNEKHRGYTPFYDQIPDPENQVQGD HKEGYYIGSEVPRDDPQWDYPFYGPNYVLPGWRETMEKYHQEALRVCKAIAKLLALAL DMDADYFDSPEMLGKPISTLRLLHYEGKTDPSKGIYGTGAHSDYGMMTLIATDGVFGL QICKDRNAKPQKWEYVRSIEGALVVNLGDMMQCWSNGLFRSTMHRVILNDQNRYSIPF FLEPNHDCIIECLPTCQSESNLPK" gene 2038679..2039171 /locus_tag="BRARA_H00225" /db_xref="Phytozome:Brara.H00225" mRNA 2038679..2039171 /locus_tag="BRARA_H00225" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00225" CDS 2038876..2039085 /locus_tag="BRARA_H00225" /codon_start=1 /product="hypothetical protein" /protein_id="RID49424.1" /db_xref="Phytozome:Brara.H00225" /translation="MLEIVVVAAGIVIASAADPRESLIGATMVNFLLFTTYGPPTLGY SPIYLKLLICSSFFTIIPNIFNCTI" gene complement(<2048268..>2049954) /locus_tag="BRARA_H00226" /db_xref="Phytozome:Brara.H00226" mRNA complement(join(<2048268..2048366,2048464..2048589, 2048641..2048832,2048925..2049002,2049086..2049145, 2049248..2049321,2049399..2049438,2049730..>2049954)) /locus_tag="BRARA_H00226" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00226" CDS complement(join(2048268..2048366,2048464..2048589, 2048641..2048832,2048925..2049002,2049086..2049145, 2049248..2049321,2049399..2049438,2049730..2049954)) /locus_tag="BRARA_H00226" /codon_start=1 /product="hypothetical protein" /protein_id="RID49425.1" /db_xref="Phytozome:Brara.H00226" /translation="MCLATKTEYFLYELSKRCSNNLTITSRKDYESLPSSSCLFSVYS LFQSWQLLRLFFIFAYGLLFLVSGLIVNLIQINLYADAETLELLGKEHALVLSNHRGD IDWLVGWVMAQRSGCLGSTLAIMRKEAKYFPIIGWSMWFSEYIFLEKNWAKDEKILKA GFNQLKDFPTTFWLGLFVEGTRFNQKNLEAAKAYASLKGLPTPRNVLIPRTKVSLTVT FSSLQGFVSAVTHMRSFVPAIYDCTYTVDKKQTTPTLLRMFSGQSSEVNLQMRRYKMS QLPETADGIAQWCQDLFVAKV" gene complement(2050187..2053026) /locus_tag="BRARA_H00227" /db_xref="Phytozome:Brara.H00227" mRNA complement(join(2050187..2051056,2051130..2051432, 2051515..2051598,2051700..2051783,2051912..2052027, 2052103..2052181,2052262..2052315,2052445..2052519, 2052594..2053026)) /locus_tag="BRARA_H00227" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00227" CDS complement(join(2050724..2051056,2051130..2051432, 2051515..2051598,2051700..2051783,2051912..2052027, 2052103..2052181,2052262..2052315,2052445..2052519, 2052594..2052938)) /locus_tag="BRARA_H00227" /codon_start=1 /product="hypothetical protein" /protein_id="RID49426.1" /db_xref="Phytozome:Brara.H00227" /translation="MFSTVMKPLLSPSPSLFRLPPLSSSLSVLFHVFPFSSSSSPFHS PHPITPLRSSRRNYIPHHASLSDHYLSCSVPEKPLRVAVLLSGGVDSSVALRLLHAAG HSCTAFYLKIWFQEGFENFWNQCPWEDDLKYAKHVCEQVGVPLEVVHLTDEYWERVVS YIIEEYKCGRTPNPDVLCNTRIKFGAFMDAISDMEYDYVASGHYAKVAHPPAGPSVLQ LSQDMVKDQTYFLSHLSQTQLKRLLFPLGCVKKEEVRKLATEFDLPNKDRKDSQGICF LGKIKFSDFVGRHIGEKEGIILEAETGDFLGNHRGFWFYTIGQRQGLRLPGGPWYVVE KDTKNNVVFVSRDYYSIDKRRRVFRVGSLRWLSGKPSGNVSQLRCKVRHGPGFYSCSF EMEAEGDVAVVHLDEDDQGLAAGQFAAFYEGTACIGSGVILESWDDQCFPVCEKALQL AAVEDKTKLGKPVKIMTMPVTTSVEAEPGETSREEKLLNA" gene 2055351..>2055941 /locus_tag="BRARA_H00228" /db_xref="Phytozome:Brara.H00228" mRNA 2055351..>2055941 /locus_tag="BRARA_H00228" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00228" CDS 2055717..2055941 /locus_tag="BRARA_H00228" /codon_start=1 /product="hypothetical protein" /protein_id="RID49427.1" /db_xref="Phytozome:Brara.H00228" /translation="MPWRGGSLVAAIEQSMTTNSTRRSRHRAEHSGEELKKTIRGRDE PYLFRGFLFFSLLFLLFFSFLIKYKSITKY" gene 2058482..2061388 /locus_tag="BRARA_H00229" /db_xref="Phytozome:Brara.H00229" mRNA join(2058482..2058642,2058732..2058853,2058938..2059159, 2059249..2059421,2059511..2059646,2059744..2059840, 2059926..2060026,2060260..2060423,2060505..2060576, 2060642..2060758,2060837..2061054,2061138..2061388) /locus_tag="BRARA_H00229" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00229" CDS join(2058560..2058642,2058732..2058853,2058938..2059159, 2059249..2059421,2059511..2059646,2059744..2059840, 2059926..2060026,2060260..2060423,2060505..2060576, 2060642..2060758,2060837..2061054,2061138..2061180) /locus_tag="BRARA_H00229" /codon_start=1 /product="hypothetical protein" /protein_id="RID49428.1" /db_xref="Phytozome:Brara.H00229" /translation="MSEDVGFNKETPCDYPRNPLCIFLSDFRSVLKFDELGLEIARIA LPAALALTADPIASLVDTAFIGQIGPVELAAVGVSIALFNQVSRIAIFPLVSITTSFV AEEDAYSSQENTVQDHKECIETGINNTKEETQELIPEINKDESKISSSIFSVSKSPVK KRNIPSASSALIIGAILGLLQAAFLISTARPLLSFMGVKHDSPMLGPAQRYLSLRSLG APAVLLSLATQGVFRGFKDTTTPLYATVIGDATNIILDPIFIFVFRLGVTGAATAHVL SQYLMCGILLWKLMGQVDIFNLSTKHLQFSRFMKNGFLLLMRVIAVTFCVTLSASLAA REGSISMAAFQVCLQVWLATSLLADGFAVAGQAILASAFAKKDYKRAAATASRVLQLG LVLGFLLAIILGAGLHFGARLFTKDDKVLHLISIGLPFVAGTQPINALAFVFDGVNFG ASDFGYAAASLVMVAIVSILCLVLLSSTHGFIGLWFGLTIYMSLRAAVGFWRIGTATG PWSFLRR" gene <2065599..>2075360 /locus_tag="BRARA_H00230" /db_xref="Phytozome:Brara.H00230" mRNA join(<2065599..2065854,2065974..2066199,2075306..>2075360) /locus_tag="BRARA_H00230" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00230" CDS join(2065599..2065854,2065974..2066199,2075306..2075360) /locus_tag="BRARA_H00230" /codon_start=1 /product="hypothetical protein" /protein_id="RID49429.1" /db_xref="Phytozome:Brara.H00230" /translation="MNDTLALQILQTAVSDHIFSRIAATSTSKEAWDALKEEYEGSPQ VRLIKFQTLRREYENLKMYENEDIKVFTDKIVELANQLTYHELIGILKAHEARLAARE KSTSEGAFDSRVRHKNYGVTQDNSKHQGGKKWCGYCKKNNHTETECLKKQKKDDRGSH NASEAATTVMEDVWLVDS" gene 2089703..2090562 /locus_tag="BRARA_H00231" /db_xref="Phytozome:Brara.H00231" mRNA 2089703..2090562 /locus_tag="BRARA_H00231" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00231" CDS 2089867..2090181 /locus_tag="BRARA_H00231" /codon_start=1 /product="hypothetical protein" /protein_id="RID49430.1" /db_xref="Phytozome:Brara.H00231" /translation="MASKGKKPIRRTTTRSRKGIKNPSPPCSISSDVTSTSTIPASGC CTPISKKSRIPEMLTCPPAPKKQKVAQNFALSRRQISFFAPPDVELFLLFAHGQQIKK IS" gene 2094198..2095024 /locus_tag="BRARA_H00232" /db_xref="Phytozome:Brara.H00232" mRNA 2094198..2095024 /locus_tag="BRARA_H00232" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00232" CDS 2094328..2094933 /locus_tag="BRARA_H00232" /codon_start=1 /product="hypothetical protein" /protein_id="RID49431.1" /db_xref="Phytozome:Brara.H00232" /translation="MSQIIEHIVLFKVKDDADSDKIDAMVNGLNSLATIDQVLYLSAA PIHRLSSTSAFTHVLHSRYRSKEDLSAYVAHPDHLRVVEATMPIWEDIMSVDWIANQV PRVLKPPGGSVVKVTLLKLKENVTDETKKEIMEVVKEESREISVGENFSPARAKGFSI GSVAYFKDLGEVEAHEELVKEKVGSYVEDTIVVEFLVPPPS" gene 2114092..2116657 /locus_tag="BRARA_H00233" /db_xref="Phytozome:Brara.H00233" mRNA join(2114092..2114522,2115104..2115533,2115602..2115721, 2115815..2115982,2116073..2116657) /locus_tag="BRARA_H00233" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00233" CDS join(2114218..2114522,2115104..2115533,2115602..2115721, 2115815..2115982,2116073..2116264) /locus_tag="BRARA_H00233" /codon_start=1 /product="hypothetical protein" /protein_id="RID49432.1" /db_xref="Phytozome:Brara.H00233" /translation="MAAAKGNSDWRSVGGALDDENLVFETTKGIKPIASFDEMGMNDK VLRGVYDYGFKKPSEIQQRAVMPILKGRDVIAQAQSGTGKTSMIAISVCQIVNTSSRK IQVLVLSPSRELASQTEKTIQAIGVHTSIQAHACIGGKSIGEDIKKLERGVHAVSGTP GRVYDMIKRGSLQTKSVKLLILDESDEMLSKGLKDQIYDVYRSLPHDIQVCLISATLP QEILEMTNKFMTDPVRILVKRDELTLEGIKQYYVDVGEEEWKFDTLCDLYGRLVINQA IIFCNTRQKVDWLTEKMRSSNFIVSSMHGDKRQKERDEIMNQFRSFKSRVLIASDVWA RGIDVQTVSHVINYDVPNNPELYIHRIGRAGRFGREGVAINFVKNSDMKTLRDIERYY GTKIREMPADLA" gene 2117855..2120242 /locus_tag="BRARA_H00234" /db_xref="Phytozome:Brara.H00234" mRNA join(2117855..2119381,2119474..2119603,2119685..2119949, 2120132..2120242) /locus_tag="BRARA_H00234" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00234" CDS 2118026..2119351 /locus_tag="BRARA_H00234" /codon_start=1 /product="hypothetical protein" /protein_id="RID49433.1" /db_xref="Phytozome:Brara.H00234" /translation="MDSRDDVTNPTPSPSCNLCGRLIPQSSTTVPDDLEIFSLCGDCK FLLLQDFGTTPPPPPLTRRQTSRRRRMRMRRRRSSSSRQGSSSESVADQPQQFTHLLS IARQSETSSHTTPNGSARWRVLSESESEDLDNLSNASFSLYRFSSLGGESDAASTDIE FDTDIDPMHAGLNQWNSDDEDREWEEEEGARHSRTPSESNSSVTRFDSLDLERTFRQR IIERRQALSRNIFTGLEDLEFSRYPGNVADYLDERGFEELLEQLADSDNSRRGAPPAS MSCVRSLPRVIIREEGLDCAVCKEVFSLGNETTQLPCLHLYHPHCIVPWLGARNSCPL CRYELPTDDKDYEDRKQNVVDVVSEDSSSDDDGTEGGEEEDHDVVRGESEAGVRSVSR GRWLFLAAAPVVSLVGVVLAMWLSNPQRRNIVFSHSQRGNRSRRWLPFF" gene complement(2120264..2122638) /locus_tag="BRARA_H00235" /db_xref="Phytozome:Brara.H00235" mRNA complement(join(2120264..2120810,2120876..2120928, 2121008..2121108,2121193..2121267,2121348..2121584, 2121696..2121817,2121907..2122031,2122121..2122200, 2122274..2122638)) /locus_tag="BRARA_H00235" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00235" CDS complement(join(2120500..2120810,2120876..2120928, 2121008..2121108,2121193..2121267,2121348..2121584, 2121696..2121817,2121907..2122031,2122121..2122200, 2122274..2122465)) /locus_tag="BRARA_H00235" /codon_start=1 /product="hypothetical protein" /protein_id="RID49434.1" /db_xref="Phytozome:Brara.H00235" /translation="MIARILAGFMESPAVAAAGDSVLGTIKIAVMPIAKVFTMCFLGL LMASKYVNILPPSGRKLLNGLVFSLLLPCLIFSQLGQAVTLQKMLQWWFIPVNVVLGT ISGSLIGLLVATIVRPPYPYFKFTIIQIGVGNIGNVPLVLLAALCRDTSNPFGDSEKC SIDGTAYISFGQWVGAIILYTYVYQMLAPPPEGFDGEHDNLPLKNLPLDSSAPEQVPL LTQNHTKDVSSIQVHLPVQTAEPRARVVDSRKSKITLFFVYLYEKLKLKQIIQPAIIA SILAMILGAIPFTKKLLFTNGAPLFFFTDSCMILGDAMIPCILLALGGNLINGPGSSR LGFKTTAAIIFGRLVLVPPVGLGIVTLADRLGFLPAGDKMFKFVLLLQHTMPTSVLSG AVANLRGCGRESAAVLFWVHIFAIFSMAGWMVLYINILF" gene 2135901..2137925 /locus_tag="BRARA_H00236" /db_xref="Phytozome:Brara.H00236" mRNA join(2135901..2136719,2136803..2137066,2137143..2137433, 2137574..2137925) /locus_tag="BRARA_H00236" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00236" CDS join(2136240..2136719,2136803..2137066,2137143..2137433, 2137574..2137897) /locus_tag="BRARA_H00236" /codon_start=1 /product="hypothetical protein" /protein_id="RID49435.1" /db_xref="Phytozome:Brara.H00236" /translation="MERRNEQTMIKRSKWQYPQVGLPTPRILQLPRRQSVRRSAAKGK TTTPSSSSSSSYSSSTQKDQRVKLEVLFHQERSFDRGGGPVVMDEGRRREKVAEGREI GGASSNEVDEAKWRFQTEMLRSECNLLRIEKEIALKKMERRKKRMEKTLRSAVNTLLS GKQRISEGKKESNVLEDEISYLIEKLNELKSPKVNKDMEEARNFRHNFDKKASVLKRE LEKLDEGEVCVQGIQKMAEASFLVNSNNGNIDTLSSKMEALSILSKTIDLQDVSVQDK YTKKDCSRHCKAVMRKIADQVRAEAEQWSQMQEMLGQVRNEMEELQSCRDFWQNRALE SDSQIQNLHSSVEGWRKKALSSEAKLKNIQAEVCGLQEEIKRLRKEGNKLEPEKNKLP TESEKRVLICRLKENRHSNNGDWSKYSEGRTAKPSCSRQPLREIKNGSVAVTRQRNTT MS" gene 2139415..>2140944 /locus_tag="BRARA_H00237" /db_xref="Phytozome:Brara.H00237" mRNA join(2139415..2139653,2139840..2140201,2140305..2140467, 2140539..2140725,2140800..>2140944) /locus_tag="BRARA_H00237" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00237" CDS join(2139533..2139653,2139840..2140201,2140305..2140467, 2140539..2140725,2140800..2140944) /locus_tag="BRARA_H00237" /codon_start=1 /product="hypothetical protein" /protein_id="RID49436.1" /db_xref="Phytozome:Brara.H00237" /translation="MSSEEEKTVCVTGASGYIASWIVKLLLLRGYTVKASVRDPNDPR KTEHLLALEGAEERLQLFKANLLEEGSFDSAIDGCQGVFHTASPFYHDVKDPQAELLD PAVKGTINVLSTCLKTPSVKRVVLTSSIAAVAFNGMPRTPDTIVDETWFADPDYCRAA KLWYVLSKTLAENAAWKFAKENDMQLVSINAAMVIGPLLQPTLNTSAAAVLSLIKGAQ TFPNATFGWVNVKDVANAHIQAFENPEANGRYCLVERVAHYSEVVNILHDLYPDFQLP EKCADEKIFIPTYKVSKEKAESLGVEFVPLEVSIKETVESLQDKGFIRF" gene complement(2142514..2145001) /locus_tag="BRARA_H00238" /db_xref="Phytozome:Brara.H00238" mRNA complement(join(2142514..2142851,2142952..2142998, 2143094..2143280,2143376..2143666,2143738..2143932, 2144007..2144108,2144191..2144493,2144636..2145001)) /locus_tag="BRARA_H00238" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00238" mRNA complement(join(2142593..2142851,2142952..2142998, 2143094..2143280,2143376..2143666,2143738..2143932, 2144007..2144108,2144191..2144493,2144636..2144746, 2144852..2145001)) /locus_tag="BRARA_H00238" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00238" CDS complement(join(2142765..2142851,2142952..2142998, 2143094..2143280,2143376..2143666,2143738..2143932, 2144007..2144108,2144191..2144493,2144636..2144695)) /locus_tag="BRARA_H00238" /codon_start=1 /product="hypothetical protein" /protein_id="RID49437.1" /db_xref="Phytozome:Brara.H00238" /translation="MERLTSPPRLMIVSDLDDTMVDHHNDPENLSLLRFSSLWEEAFR HDSLLVFSTGRTLPMYKKLRKERPMLTPDVIITSVGTEIAYGKSMVTDDSWVEIMNHK WDRGIVEEETSKFPELTLQRDCDQRPNKVSFFIDKSKAQEVTKELYQRLEKRGLEIKI IFSGGKALDVLPKGGGKGQALAYLLNKLKAEGRLPVNTLVCGDSGNDTELFTIPNVYG VMVRNSQEELLEWYAENAKDNAKIIHASERCVGGILEAIGHFELGPNLSPRDVSDLFE CKEDNVNPGHEVVMFFLFYERWRRGEVENCDAYIASLKASCHPAAVFVHPSGAEKSLI DTIDELGKYHGDKKDKKFRVWTDQVLATETTHGTWMVKLDKWEQTGNERKCCTTTVRF ISKENEGLVWENVQQTWSEESEMKNDSNWII" CDS complement(join(2142765..2142851,2142952..2142998, 2143094..2143280,2143376..2143666,2143738..2143932, 2144007..2144108,2144191..2144493,2144636..2144695)) /locus_tag="BRARA_H00238" /codon_start=1 /product="hypothetical protein" /protein_id="RID49438.1" /db_xref="Phytozome:Brara.H00238" /translation="MERLTSPPRLMIVSDLDDTMVDHHNDPENLSLLRFSSLWEEAFR HDSLLVFSTGRTLPMYKKLRKERPMLTPDVIITSVGTEIAYGKSMVTDDSWVEIMNHK WDRGIVEEETSKFPELTLQRDCDQRPNKVSFFIDKSKAQEVTKELYQRLEKRGLEIKI IFSGGKALDVLPKGGGKGQALAYLLNKLKAEGRLPVNTLVCGDSGNDTELFTIPNVYG VMVRNSQEELLEWYAENAKDNAKIIHASERCVGGILEAIGHFELGPNLSPRDVSDLFE CKEDNVNPGHEVVMFFLFYERWRRGEVENCDAYIASLKASCHPAAVFVHPSGAEKSLI DTIDELGKYHGDKKDKKFRVWTDQVLATETTHGTWMVKLDKWEQTGNERKCCTTTVRF ISKENEGLVWENVQQTWSEESEMKNDSNWII" gene 2150924..2151999 /locus_tag="BRARA_H00239" /db_xref="Phytozome:Brara.H00239" mRNA join(2150924..2151294,2151381..2151518,2151598..2151999) /locus_tag="BRARA_H00239" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00239" CDS join(2151178..2151294,2151381..2151518,2151598..2151843) /locus_tag="BRARA_H00239" /codon_start=1 /product="hypothetical protein" /protein_id="RID49439.1" /db_xref="Phytozome:Brara.H00239" /translation="MPKLVKASVSVVCESQPEMFLGCLASTQSLSLCSLTSSQTPYPS DATSFLSLEHLEICSCSSDWWNLLTFILNDAPRLRVLKLNLRDGMVSWNQPSSVPECL SSHLEILEWRQYKGTETEIEAAKYILANGSRLKKATFYSESAEKRGMLKELECVARDS CTFVFE" gene 2152958..2155018 /locus_tag="BRARA_H00240" /db_xref="Phytozome:Brara.H00240" mRNA join(2152958..2153139,2153333..2153515,2153584..2153795, 2153903..2154057,2154150..2154308,2154384..2154436, 2154521..2154661,2154742..2155018) /locus_tag="BRARA_H00240" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00240" CDS join(2153056..2153139,2153333..2153515,2153584..2153795, 2153903..2154057,2154150..2154308,2154384..2154436, 2154521..2154661,2154742..2154819) /locus_tag="BRARA_H00240" /codon_start=1 /product="hypothetical protein" /protein_id="RID49440.1" /db_xref="Phytozome:Brara.H00240" /translation="MMRAILTKKKLVLSNAHRFISITNLSRCISTLILAEHESGSIKP QTVSTVVAANHLGESSSVSLLLAGSGSSLQEAASQAASCHPSVSEVLVADSDRFEYPL AEPWAKLVDFVRQQRDYSHILASSSSFGKNILPRVAALLDVSPVTDVVKILGSNEFIR PIYAGNALCRVRYTGAGPCVLTIRTTSFPVTPITESKKATISQIDLSKFKEDAVSRSR YVGQSTQDTERPDLGSARVVITGGRALKSVENFKMIEKLAEKLGGAVGATRAAVDAGY VPNDLQVGQTGKIVAPELYMAFGVSGAIQHLAGIKDSKVIVAVNKDADAPIFQVADYG LVGDLFEVIPELLEKLPEKK" gene complement(2155113..2158402) /locus_tag="BRARA_H00241" /db_xref="Phytozome:Brara.H00241" mRNA complement(join(2155113..2156823,2156938..2157579, 2157680..2158402)) /locus_tag="BRARA_H00241" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00241" CDS complement(join(2156189..2156823,2156938..2157579, 2157680..2158388)) /locus_tag="BRARA_H00241" /codon_start=1 /product="hypothetical protein" /protein_id="RID49441.1" /db_xref="Phytozome:Brara.H00241" /translation="MRTWENPVTLACNTAFKKTLPSCTLLIIFIAVLCPVVMSQMVVP DSDADCLLRFKDTLANGSGFNSWDPFTSPCRGNDANWFGVLCTNFVYGLQLEGMSLSG KLDLEPLVPMKNLRTISFMNNDFIGPMPQVNRLTSMRSLYLSNNRFSGEIPADAFQDM PHLKKIFLANNAFRGTIPASITSLPRLIEVRLNGNQFQGHIPYFKQEDLKLASFENND LDGPIPESLQNMDPGSFAGNKDLCGPPLNPCSIDPRSHPSPPQGKKSESFNTIAIVLI SIGIILVIIALVICFIQSRRRNCLSDYPSAGKERVESYTYHEPEIDKINKPAESVVNH ARRGSMPDPAGRLLFVRDDVKRFGLQDLLRASAEVLGCGTFGASYKAAISSGQTLVVK RYKHMNNVGRDEFHEHMRRLGRLNHPNLLPLVAYYYRREEKLLVTQLMANSSLASHLH ANHSPDQPGLDWLTRLKIIKGLANGLSYLFKELPTLTIPHGHIKSSNVVLDESLEPLL TDYALRPVMSSDHAHNFMTAYKSPEYRPEKGQAITKKTDVWCLGVLILELLTGRFPEN YLTQGYDPDMSLVTWVNDMVKEKKTGDVFDKEMKGKKNCKAEMISLLKIGLRCCEEEE ERRMEMREAVEMIEMLREGEPHDEFGSMDHRKSGYEV" gene complement(2175963..2179397) /locus_tag="BRARA_H00242" /db_xref="Phytozome:Brara.H00242" mRNA complement(join(2175963..2176476,2176552..2176630, 2176724..2176851,2176931..2177029,2177117..2177272, 2177373..2177447,2177656..2177835,2177950..2178100, 2178854..2179397)) /locus_tag="BRARA_H00242" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00242" CDS complement(join(2176117..2176476,2176552..2176630, 2176724..2176851,2176931..2177029,2177117..2177272, 2177373..2177447,2177656..2177835,2177950..2178100, 2178854..2179125)) /locus_tag="BRARA_H00242" /codon_start=1 /product="hypothetical protein" /protein_id="RID49442.1" /db_xref="Phytozome:Brara.H00242" /translation="MGKVAVAFASAAVVAACSVAAVMVRRRVKSRRKWRTVVEILKEF EEGCDAPVGRLRQVVDAMAVEMHAGLASEGGSKLKMLLTYVHDLPNGTEKGTYYALHL GGTYFRILRVHLGGERSYLDVQDVERHPIPSHLMNSTSEVLFNFLAFSLERFIQKEGN ESNSQGVKRELAFTFSFPVKHTCISSGVLIKWTKGFEISEMVGKDIAGCLQGALNRRG LDIHVAALVNDTVGALSLGYYHDPDTVVAVVFGTGSNACYLERTDAIIKCQGLLTTSG SMVVNMEWGNFWSSHLPRTAYDIDLDAESSNPNDMGFEKMIAGLYLGDIVRRVILRMS QESDIFGPSSSVFSQPYVLRTNSVSAMHEDDTPELQEVARILKDLGVSDVPLKVRKLM VKICDVVTRRAGRLAAAGIAGILKKIGRDGGGGITSGRSRGEMQMQRRTVVGVEGGLY MKYTMFREYMEEALVEILGEEVSQYVVVKAMEDGSSIGSALLVASSLQS" assembly_gap 2182259..2192258 /estimated_length=unknown /gap_type="between scaffolds" gene 2198554..2202344 /locus_tag="BRARA_H00243" /db_xref="Phytozome:Brara.H00243" mRNA join(2198554..2198771,2199348..2199570,2199657..2199712, 2199808..2199910,2200000..2200065,2200448..2200561, 2200674..2200800,2200915..2201015,2201349..2201474, 2201576..2201640,2201729..2201810,2201901..2201983, 2202070..2202344) /locus_tag="BRARA_H00243" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00243" CDS join(2198686..2198771,2199348..2199570,2199657..2199712, 2199808..2199910,2200000..2200065,2200448..2200561, 2200674..2200800,2200915..2201015,2201349..2201474, 2201576..2201640,2201729..2201810,2201901..2201983, 2202070..2202136) /locus_tag="BRARA_H00243" /codon_start=1 /product="hypothetical protein" /protein_id="RID49443.1" /db_xref="Phytozome:Brara.H00243" /translation="MAATVHSPIVTYASMLSLLAFCPPFVILLWYTMVHQEGSVVQTG SFLWENGVQGLINIWPRPTAIAWKIIFCYGAFEAALQLLLPGKRVEGPISPTGNRPVY KANGMAAYFVTLATYLGLWWFGIFNPAIVYDHLGEIFSALIFGSLVFCVLLYIKGHVA PSSSDSGSCGNLIIDFYWGMELYPRIGKNFDIKVFTNCRFGMMSWAVLAVTYCIKQYE INGKVSDSMLVNTILMLVYVTKFFWWEAGYWNTMDIAHDRAGFYICWGCLVWVPSIYT SPGMYLVNHPVQLGTQLAIYILVAGCLCIYINYDCDRQRQEFRRTNGKCSVWGKAPSK IVATYTTTAGETKTSLLLTSGWWGLARHFHYVPEISSAFFWTVPALFNNFLPYFYVIF LTILLFDRAKRDDDRCRSKYGKYWKLYCEKVKYRIIPGIY" gene 2205537..2207615 /locus_tag="BRARA_H00244" /db_xref="Phytozome:Brara.H00244" mRNA join(2205537..2205669,2205941..2207615) /locus_tag="BRARA_H00244" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00244" CDS 2206004..2207341 /locus_tag="BRARA_H00244" /codon_start=1 /product="hypothetical protein" /protein_id="RID49444.1" /db_xref="Phytozome:Brara.H00244" /translation="MVAMFQEDNGTSSVASSPLQVFSTMSLTRPTLLPSPSSSPFHSL KDLKPEERGLYLIHLLLTCANHVASGSLQNANAALEQLSLLSSPDGDTMQRVAAYFTE ALANRILKSWPGLYKALNATQTRTSNVSEEVHVRRLFFDMFPILKVSYLLTNRAILEA MEGEKMVHVIDLDASEPAQWLALIQAFNSRPEGPPHLRITGVHHQKEVLDQMAHRLIE EAEKLDIPFQFNPVVSSLQCLNVEQLRVKTGEALAVSSVLQLHNLLASDVTSNNGHSL SGDSASSLPLSNSGKIDSFLNAIWGLSPKIMVVTEQDSDHNGSTVMERLLESLYTYAA LFDCLETKVPRTSQDRMKVEKMLFGEEIKNIIACEGSERRERHEKLEKWSQRIDLAGF GNVPLSYYAMLQARRLLQGYGFDGYRIKEESGCAVICWQDRPLYSVSAWRCRK" gene complement(<2208032..>2210287) /locus_tag="BRARA_H00245" /db_xref="Phytozome:Brara.H00245" mRNA complement(join(<2208032..2208556,2208627..2208689, 2208748..2209026,2209109..2209218,2209448..2209546, 2209621..2209670,2209779..2209831,2209907..>2210287)) /locus_tag="BRARA_H00245" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00245" CDS complement(join(2208032..2208556,2208627..2208689, 2208748..2209026,2209109..2209218,2209448..2209546, 2209621..2209670,2209779..2209831,2209907..>2210287)) /locus_tag="BRARA_H00245" /codon_start=1 /product="hypothetical protein" /protein_id="RID49445.1" /db_xref="Phytozome:Brara.H00245" /translation="LRERIHVTENEREVFDLLLRAVGSFSPETKLRVAGGWVRDKLLG KESNDIDLAIEKMLARKFFYKLNYYLRSQGEDKVQGHVIKSKPTNDNRRPVETVKMHI YNHSIDLVHLRSETHEGNSRNPVKVGFATPEKDAYRRDLTINSLFYNLHTGLVEDYTG RGIVDLKSRRIATPLPARVSLLDDPLRVLRAIRFGARFGFTLDEELKEAASSEELQVA LAVKISKERIGNEIDLMISGNDPVQAVTYLSDLKLFELVFALPSSSEPAPSENCSSLC LAYLEAMWNLIRTPGLGNFSGEQRRHALYAALFLPFRKMVYKDTKGKLVPVVNYIFKV SMKRKSKDAETVVNIHRATGRFLSLILHLQLKKNDVSQVDKREWGTDVFEHLELISRN DPELPATSKTRVLAGFLLRDIKDLWRLALLVSLLLCTDDDMNLGFQVDKKREVYLTIQ GTIIREMGLDTIWDLKPLVGGSDIVKALQLRDNRGPIIREWQHILLTWQLAYPKGMPL RQEWVKRRKVA" gene complement(<2224279..>2227890) /locus_tag="BRARA_H00246" /db_xref="Phytozome:Brara.H00246" mRNA complement(join(<2224279..2224369,2225406..2225524, 2225644..2225935,2226162..2226508,2226634..2226828, 2226910..2227193,2227719..>2227890)) /locus_tag="BRARA_H00246" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00246" CDS complement(join(2224279..2224369,2225406..2225524, 2225644..2225935,2226162..2226508,2226634..2226828, 2226910..2227193,2227719..2227890)) /locus_tag="BRARA_H00246" /codon_start=1 /product="hypothetical protein" /protein_id="RID49446.1" /db_xref="Phytozome:Brara.H00246" /translation="MAGTRSPPVAKKVEHAMHMFSDVRVDNYYWLRDDSRCDPDMLSY LRAENEYADSVMSGTKPFENQLFAEIRGRIKEDDITAPLRKGPYYYYKRNLLGKEYVQ HCRRLIADNKAEPSVHDTMPTGPDAPPEHIILDENIKAQEHGYYRIGTFKVSPDHKLV AYAEDIKGDEIYAVHVIDSESLKPVGQPLKGVTCYLQWAGNDALVYVTMDEILRPDKA WLHKLGTEQSSDVCLYHEKDDMFSLDLHASESHKYIFVASESKTTRFVFSLDVSKPQD GLRVLTPRVDGIDSSVSHRGNHFFIQRRSSEFYNSEVVACLVDDPSKTTVLIPHRESV KIQEIQLFRDHLAVFEREQGLQKITVHRLPSEGQPLNSLQAGRSVSFVDPVYKVDTTE SEFSSSVLRFCYSSLKTPPSVYDYDMDSGTSVIKKIDTVLGGFDASNYVTERKWVSAA DGTQIPMSIVYNKNLAKLDGFEKLQEDAFKFAFMMKVLDMIPEYSQERL" gene 2232331..2236434 /locus_tag="BRARA_H00247" /db_xref="Phytozome:Brara.H00247" mRNA join(2232331..2232462,2232550..2232675,2232769..2232863, 2232951..2233023,2233338..2233506,2235670..2235755, 2235852..2236434) /locus_tag="BRARA_H00247" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00247" CDS join(2232361..2232462,2232550..2232675,2232769..2232863, 2232951..2233023,2233338..2233506,2235670..2235755, 2235852..2236181) /locus_tag="BRARA_H00247" /codon_start=1 /product="hypothetical protein" /protein_id="RID49447.1" /db_xref="Phytozome:Brara.H00247" /translation="MGQQQLKDEMLFQKVNHNDVESIKSHCHEGAGLEWADKEGRTPL ILACAETELYDVAETLKVARAKGYSDTVRAIESRICLFSGWMRELYGPSFLELCSPDQ LLSRKVWVVIVPTDSRNPLKLELVVYASLQDAQPRMVMPLWKANLKEPKSDQSDASVM IVCNSSRLKKQRKRGYISHARSWAQVDRQMRLKLAAATKGDIKQLNSFCEACKGTPQP MNPPIFLKTTENIISNELTPSVPLPRAVTVETEDGSVHYPSFSSADYESKGSGEGSGV CVICEDASSEAACVPCGHVAGCVSCLKEIKNKKCPICRASIDQVIKLYHV" gene complement(2237299..2240376) /locus_tag="BRARA_H00248" /db_xref="Phytozome:Brara.H00248" mRNA complement(join(2237299..2237712,2238068..2238139, 2238234..2238329,2238409..2238552,2238645..2238695, 2238809..2238919,2239516..2239573,2239702..2239769, 2239869..2240015,2240109..2240376)) /locus_tag="BRARA_H00248" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00248" CDS complement(join(2237629..2237712,2238068..2238139, 2238234..2238329,2238409..2238552,2238645..2238695, 2238809..2238919,2239516..2239573,2239702..2239769, 2239869..2240015,2240109..2240189)) /locus_tag="BRARA_H00248" /codon_start=1 /product="hypothetical protein" /protein_id="RID49448.1" /db_xref="Phytozome:Brara.H00248" /translation="MDLDQWISKVKDGQHLSEDELHLLCEYVKEILIEESNVQPVNSP VTVCGDIHGQFHDLMKLFQTGGHVPDTNYIFMGDFVDRGYNSLEVFTILLLLKSRYPA HITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVH GGLSPDVRTIDQIRLIDRNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVT TEFNHINNLELVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASMLSFNDNM ERDVKFFTETEENNQMRGPRTGVPYFL" gene 2261281..2264487 /locus_tag="BRARA_H00249" /db_xref="Phytozome:Brara.H00249" mRNA join(2261281..2261412,2262116..2262414,2262495..2262802, 2262896..2263294,2263386..2263521,2263620..2263792, 2263891..2264487) /locus_tag="BRARA_H00249" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00249" mRNA join(2261719..2261810,2262116..2262414,2262495..2262802, 2262896..2263294,2263386..2263521,2263620..2263792, 2263891..2264487) /locus_tag="BRARA_H00249" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00249" CDS join(2262123..2262414,2262495..2262802,2262896..2263294, 2263386..2263521,2263620..2263792,2263891..2264286) /locus_tag="BRARA_H00249" /codon_start=1 /product="hypothetical protein" /protein_id="RID49449.1" /db_xref="Phytozome:Brara.H00249" /translation="MIRELEMQEMDWTALANPSEEMQRSWLLQSTTLKMKTYVQKKKT YAWTLAFIGVLVVIAFTMMTIRALTHLDHYQQQPPETYSIALHTGLKFFNSQRSGRLP EENNVTWRGDSCIQDGKYPGSSYPHLSGGYYDGGNAIKSNFKMSFAMTMLSWSVIEYH SKYQQLGELIHVEGIIKWGTDYFLNTFDSSADTIHDMVFQVGHEGTERYCWIRPEDID YQRHADICFSECPDLAAEMAAALASASIVFSKNVAYSQKLIHGAKILYKYAESSMNTH TSSSWDELLWGGVWLYYATGDVSFLDRVTTLALADPSGVFSRDSGVFSWNTKLAGAQL LLTRLRLFLSPGYPSEEVLRKFYNQIGNVMCSYLPSFNKFNRTKGGLIQLNHGDPQPL QYAANAAFLAALYSDYLDASDTPGWSCGPNFYLTYVLRDFSRSQIDYILGKNPQNMSY VVGFGERYPKRVHHRGASIPKNRKESCKGGWKWRESSKENPNVIEGAMVAGPDGYDGF HVVRTNSNYTEPTLTGNAGLIAALVVLSGQKDNLDKNGIFSAIPPLFPVAPPPPAPWT P" CDS join(2262123..2262414,2262495..2262802,2262896..2263294, 2263386..2263521,2263620..2263792,2263891..2264286) /locus_tag="BRARA_H00249" /codon_start=1 /product="hypothetical protein" /protein_id="RID49450.1" /db_xref="Phytozome:Brara.H00249" /translation="MIRELEMQEMDWTALANPSEEMQRSWLLQSTTLKMKTYVQKKKT YAWTLAFIGVLVVIAFTMMTIRALTHLDHYQQQPPETYSIALHTGLKFFNSQRSGRLP EENNVTWRGDSCIQDGKYPGSSYPHLSGGYYDGGNAIKSNFKMSFAMTMLSWSVIEYH SKYQQLGELIHVEGIIKWGTDYFLNTFDSSADTIHDMVFQVGHEGTERYCWIRPEDID YQRHADICFSECPDLAAEMAAALASASIVFSKNVAYSQKLIHGAKILYKYAESSMNTH TSSSWDELLWGGVWLYYATGDVSFLDRVTTLALADPSGVFSRDSGVFSWNTKLAGAQL LLTRLRLFLSPGYPSEEVLRKFYNQIGNVMCSYLPSFNKFNRTKGGLIQLNHGDPQPL QYAANAAFLAALYSDYLDASDTPGWSCGPNFYLTYVLRDFSRSQIDYILGKNPQNMSY VVGFGERYPKRVHHRGASIPKNRKESCKGGWKWRESSKENPNVIEGAMVAGPDGYDGF HVVRTNSNYTEPTLTGNAGLIAALVVLSGQKDNLDKNGIFSAIPPLFPVAPPPPAPWT P" gene 2295133..2298662 /locus_tag="BRARA_H00250" /db_xref="Phytozome:Brara.H00250" mRNA join(2295133..2295270,2295919..2296070,2296184..2296524, 2296633..2296824,2297349..2297432,2297839..2297920, 2298044..2298167,2298251..2298662) /locus_tag="BRARA_H00250" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00250" CDS join(2295948..2296070,2296184..2296524,2296633..2296824, 2297349..2297432,2297839..2297920,2298044..2298167, 2298251..2298447) /locus_tag="BRARA_H00250" /codon_start=1 /product="hypothetical protein" /protein_id="RID49451.1" /db_xref="Phytozome:Brara.H00250" /translation="MAGYSTKGAAPTNGSLYVSNLPPGTDENMLAEYFGTIGLLKRDK RTGTPKVWLYRDKETDEPKGDATVTYEDPHAALAAVEWFNNKDFHGSIIGVFMAESKN NKSGGDHPSESFGFDGGAANKEIDGGAGRGRGQGDSSAKPWQQDGDWMCPNTSCTNVN FAFRGVCNRCGTARPAGASGGSMGGGGRGRGRGGGADGGPPGKGASTGLFGPNDWSCP MCGNVNWAKRLKCNVCNTNKPGQNEGGVRGGRGGGYKELDEQELEETKRRRREAEQDD GEMYDEFGNLKKKYRVKTHQADARPAVAAGRAGWDVEELGVDRDGRERSRDRQRDRGD KHRDHHHYDKHRRRSRSRSRERERGKERDYDRDRDRDYGRGSRYRN" gene 2300730..2304198 /locus_tag="BRARA_H00251" /db_xref="Phytozome:Brara.H00251" mRNA join(2300730..2300881,2300966..2302138,2303733..2304198) /locus_tag="BRARA_H00251" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00251" CDS join(2300819..2300881,2300966..2302138,2303733..2304077) /locus_tag="BRARA_H00251" /codon_start=1 /product="hypothetical protein" /protein_id="RID49452.1" /db_xref="Phytozome:Brara.H00251" /translation="MSLFYDLQININGEYTFFLNQDVISKYSGSLRKMIKQSKKKRNK KNKDPEVITIEIDEFPGGPDGFELVSRFCYNNGGISIDVSNVSTLYCCSVFLGMTEKL CFSNLLTQTETFLEEVFYGSWNDIVLSLKNCEQEQVFLHADSYGLVDKLIFSALTKIS RSSEAFSSSSPSSSSPSSAKNTPESDKRLTSWTVSCGRSNEWWFDDMSSLSPMIILKL IRITGAYKTNVKSLVLTKFLLHYLKTRLQTKSNKTELMRNKLEYSELADTAVRGVVSA GKTAFSCRKLFWVLRVLSSFTLSRESKTGLETLIGEMLDQATLDDLLIPAAGGSIESS GFYNVDLVIRLLKVFVRNIEEEESKMKEVGRLIDKYLREISPDQNLKVSKFLGVAESL PDSARDCFDGVYRAIDIYLQSHSNLTPQDRTKICRCLNYKKLTRETCKLLARNPKIPP DVAVQALKSSCENQEHRTQEVKLVNKSTCRSRRHSQDKKHVMLHLKGFEISEKLAEEL KTKGGYNWKVMDSFREGL" gene complement(2308674..2316119) /locus_tag="BRARA_H00252" /db_xref="Phytozome:Brara.H00252" mRNA complement(join(2308674..2309064,2309259..2309447, 2309532..2309612,2309697..2309840,2309964..2310197, 2310470..2311618,2311731..2312228,2312305..2312406, 2312510..2312681,2312770..2312908,2313160..2313312, 2313396..2313465,2313590..2313670,2313772..2313840, 2313915..2313987,2314171..2314255,2314350..2314413, 2314858..2314950,2315047..2315121,2315208..2315300, 2315363..2315437,2315511..2315624,2315845..2316119)) /locus_tag="BRARA_H00252" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00252" CDS complement(join(2308813..2309064,2309259..2309447, 2309532..2309612,2309697..2309840,2309964..2310197, 2310470..2311618,2311731..2312228,2312305..2312406, 2312510..2312681,2312770..2312908,2313160..2313312, 2313396..2313465,2313590..2313670,2313772..2313840, 2313915..2313987,2314171..2314255,2314350..2314413, 2314858..2314950,2315047..2315121,2315208..2315300, 2315363..2315437,2315511..2315600)) /locus_tag="BRARA_H00252" /codon_start=1 /product="hypothetical protein" /protein_id="RID49453.1" /db_xref="Phytozome:Brara.H00252" /translation="MGVEDYHVIELVGEGSFGRVYKGRRKYTGQTVAMKFIMKQGKSD KDIHSLRQEIEILRKLKHENIIEMLDSFENAREFCVVTEFAQGELFEVLEDDKCLPEE QVQAIAKQLVKALHYLHSNRIIHRDMKPQNILIGPGSVVKLCDFGFARAMSANTVVLR SIKGTPLYMAPELVREQPYNHTADLWSLGVILYELYVGQPPFYTNSVYALIRHIVKDP VKYPDEMSHNFKSFLKGLLNKVPQSRLTWPALLQHPFVKESLEEVEAREMHTAVVDHK ATWRQGNGGQQRNEKCDSATPVKDASAPGTLADVQEDMKRAVEVISPSPEDFLGFPTQ EDIKSAGDATLDKLENTSRTAKGAKVICEDDKAMDVVLLSLERCSKSTQQSKGDKDVA CSVQSLRIISNLVAARAIVSVGLIDKITCALLDFTDALVGKKSSEFNNIIPKSLSVTK NLVGHIDGSSIHSSYIRHWTKLVEIFVQVVGWEEEGTGRILYEACSCITTMLSRVAEN LKTSTPDSTSQQILEHANMSRIVDHLCLCLASSGSSLASGSSHMLAAACEACRAIWIL IDTSETLFKNDNAYIFPLDALQSHRLSQLDQRNCEWGPLSEKLVDTVTRTFLRSKHLQ IAVSHCLHQRVEAPLISAIQLLSRCCLHNGLMPSVLCGLPSSLPITTAVSGGEDGTVI SEIFSILSYAASTIKDQQTGETNNIKGRLNNLVFHSCLLLATVAQCLNLSGRSSALLM LTTSPKKHLHRLSAIANHIASEDKIEASLQNHSASAMLALASILSLEKGSSAESSVSE MAVPLIPRATKLCYHLRPMPSNEGEVISPSAKSNLTKWHGLLDGCIGLLESRLKWGGP LTVQQLIASGTPSLLMNLLAGRLSNASPDDIKNTPNRTGLSPMGVIWAVSSLCHCLSG GTLTFRQVLVKTENMKLVSYLMSDAHLKLVKSWGGPGGGKDGARETINVIIDLLAFPF VALQSQPGPLSATASVNSGFILNVGSPGVRVCIEDRDLLKAIEEDMDKYIKVLVEVGV PSLILRCLEHLDLKDLVRPVAFLAKMVGRPRLAVELVSKGLLDPNRMKKLLNQSSPRE VILDVLMIISDLSRMDKAFYKYIGEASVLQPLKEFLTHPDPNIRAKACSALGNMCRHN EYFYSSLAEHQIIGLLIDRCADPDKRTQKFACFAIGNAAYHSDKLYEELRRSIAQLAN VLTSAEEDKTKANAAGALSNLVRNSNKLCEDIVSKGALQTLLKLVADCSATALNPSKK ETGSESPLKIALFSLAKMCSNHQICRQFVKSSELFPVIARLKHSPETNIAHYASVIVA KVGGDS" gene 2324100..2331295 /locus_tag="BRARA_H00253" /db_xref="Phytozome:Brara.H00253" mRNA join(2324100..2324385,2324860..2325098,2325378..2325518, 2326005..2326151,2326293..2326445,2326865..2326994, 2327204..2327300,2327470..2327590,2327818..2327877, 2327963..2328013,2328087..2328182,2328306..2328407, 2328539..2328661,2328947..2329090,2329179..2329250, 2329336..2329411,2329492..2329634,2329719..2329827, 2329916..2330103,2330201..2330290,2330388..2330570, 2330660..2330839,2330947..2331295) /locus_tag="BRARA_H00253" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00253" CDS join(2324106..2324385,2324860..2325098,2325378..2325518, 2326005..2326151,2326293..2326445,2326865..2326994, 2327204..2327300,2327470..2327590,2327818..2327877, 2327963..2328013,2328087..2328182,2328306..2328407, 2328539..2328661,2328947..2329090,2329179..2329250, 2329336..2329411,2329492..2329634,2329719..2329827, 2329916..2330103,2330201..2330290,2330388..2330570, 2330660..2330839,2330947..2331018) /locus_tag="BRARA_H00253" /codon_start=1 /product="hypothetical protein" /protein_id="RID49454.1" /db_xref="Phytozome:Brara.H00253" /translation="MRLGNVVYLLISSSTKPPSRVYSSNLRRHFLSHFRFSSSSVAVM PGSEPSEIQWPARRVRDTYFDFFKGKGHKFWPSSPVVPHNDPTLLFANAGMNQYKPIF LGTADPNTELSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFK KEAIEWAWELLTKVYGLPTDRIYATYFGGDEKAGLQPDNEARDIWLKFLPPGRVLPFG CTDNFWEMGDTGPCGPCTEIHYDRVGNRDAASLVNNDDPTCLEIWNLVFIQFNRESDG SLKPLPAKHVDTGMGFERLTSVLQNKMSNYDTDVFMPIFDDIQKATGARPYSGKVGLE DVDRVDMAYRVVADHIRTLSFAIADGSRPGNEGREYVLRRILRRAVRYGKEILKAEEG FFNGLVSSVIRVMGDTFTELKEHEKKITEIIKEEEASFCKTLAKGIEKFRKAGQAVQG NTLSGEDAFVLWDTFGFPLDLTQLMAEERGLLVDVDGFNKAMEEARERSRSAQNKQAG GAIVMDADATSTLHKTGVLATDDSSKYIWFQDHESEVKAIYTGSAFLESSAAGDNVGI VLASTSFYAEQGGQIFDTGLIEGSFGTFNVCNVQIFGGFVLHIGYLSKETGEVSVGDK VTCKVDYERRKLIAPNHTCTHMLNFALKEVLGDHIDQKGSIVLPEKLRFDFSHGKPVD PEDLRKIESIVNKQIKDELDVFSKEAVLSEAKRIKGLRAVFGEVYPDPVRVVSIGRQV EDLLADPENDEWSSLSSEFCGGTHITNTREAKAFALLSEEGIAKGIRRVTAVTTECAF DALNEASSLEKEVEDASKAEGNALEKKAAALKSRVDSAIIPAAKKADIRAKIALLQNE VRKAQKKIAEQNLKKSVKVATEAAESAASDGKTFCIIQLDVGLDAAAVREAVSKVMEK KGMSIMVFSTDETTNKAVVCAGVPDKSDKFKQLDVTEWLTTALGPLKGRCGKGKSGLA SGQGTDASQVKAALDLAASFASMKLN" gene complement(2332584..2336978) /locus_tag="BRARA_H00254" /db_xref="Phytozome:Brara.H00254" mRNA complement(join(2332584..2334469,2335766..2335912, 2335989..2336978)) /locus_tag="BRARA_H00254" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00254" CDS complement(join(2332722..2334469,2335766..2335912, 2335989..2336871)) /locus_tag="BRARA_H00254" /codon_start=1 /product="hypothetical protein" /protein_id="RID49455.1" /db_xref="Phytozome:Brara.H00254" /translation="MAEAIVSVTVQKLGEFLLEEPLFLFGIGDQVKQLQDELKRLRCF LKDADEKQRKSERVRNWIAEIREAAYDAEDLLEAFFLKAESKKGKGIKKVFRRLSCIM SEAVSLHSVGSEIREITTRLTKIAASMKDYGIKEAMDGESLSLFDSLREQRQSFPYAV EHNLVGLEQSLEKLVNDLVSGGEKLRVMSIYGMGGLGKTTLARQVFHHSIVRRHFDRF AWVYVSQEFRRRHVWQEILLSLSYKDENQRILSLRDEQLGEELHRFLKRTKCLVVLDD IWGTDAWDGLKHVFPHETGSNIVLTTRNKEVALYADPRGVLHEPRLLTHEESWELLEK ISLQGRDNLEPMLVKKLEEIGKQMAIKCGGLPLAITVLGGLLAMKNTLNQWQRVQENI TPYVSNGGSSNGSKSMMVADVLSLSYEDLPAHLKQCFLYFAHYPEDFEVHVGTLVSYW IAEGMVMPVKHTEESGMTVEDIGQDYLEELVKRSIVMVGKRDIVTSEVMTCRMHDLMR EVCLQKAEQESFVQVVDSRQQEEDEALRSLLTNTSRRISVQIHGGAEEHRIERLYMSS QCRSLVYLMKNQGSQWTLLGKVSFRKMKLLRVLDLEGAQIKGGKLPDDVGDLIHLRNF SLRLTNVKEVTSSIGNLTLMITLDLFVKGKLYIPDVIWKLKRLRHLCMPSELDPRTKL DLSTLRNLQQLWDFPVGQCNPRDLLAMMSLRRLSINLSSQNTDFEVVSSLSRVLKRLR GLTINVPCEPMPPPVDITQLVSAFANLSELELFLKLEKLPGEQSFSSDLGALRLWQCG LVDDPFLVLEKLPNLKILQLFEGSFVGSELHCSVNGFPQLHSLTLSQLENLEEWTVED GAMIRLVSLELKCCKKLKSVPEGTRFLKNLQEVEIGNMTKAFKDKLASGGEDFYKIQH VPCVVFEYCDL" gene complement(2337420..2339211) /locus_tag="BRARA_H00255" /db_xref="Phytozome:Brara.H00255" mRNA complement(join(2337420..2337688,2337820..2337912, 2338011..2338121,2338562..2338623,2338711..2338958, 2339042..2339211)) /locus_tag="BRARA_H00255" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00255" CDS complement(join(2337578..2337688,2337820..2337912, 2338011..2338121,2338562..2338623,2338711..2338958, 2339042..2339142)) /locus_tag="BRARA_H00255" /codon_start=1 /product="hypothetical protein" /protein_id="RID49456.1" /db_xref="Phytozome:Brara.H00255" /translation="MESVPTTSLPESSMAATQSHFLMNNLSHRISSQRNLRADARVSS SSCEMITRTNRPWALPCSLKVDNFQFQRTTRRGSPCLARFENGELLRSGIGDADGIII VDHGSRRRESNIMLEEFVKMFKDNTGYPIVEPAHMELAEPSIKSAFSLCVQQGAKRVV VSPFFLFPGRHWHQDIPALTADAAKEFPSISYLITAPLGLHNLLIDVVNDRIQHCLSH VEGDADECLVCAGTNKCKLYNSS" gene 2340014..2340860 /locus_tag="BRARA_H00256" /db_xref="Phytozome:Brara.H00256" mRNA join(2340014..2340242,2340431..2340860) /locus_tag="BRARA_H00256" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00256" CDS 2340543..2340758 /locus_tag="BRARA_H00256" /codon_start=1 /product="hypothetical protein" /protein_id="RID49457.1" /db_xref="Phytozome:Brara.H00256" /translation="MLLSQQKSNGAIVAEISYLCVFGFIKDYALLLSFLNSVFAGLEP FRYYYLLVHLSFFRKKNLHCFGSIYVF" gene complement(<2345307..>2347427) /locus_tag="BRARA_H00257" /db_xref="Phytozome:Brara.H00257" mRNA complement(join(<2345307..2346834,2346889..>2347427)) /locus_tag="BRARA_H00257" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00257" CDS complement(join(2345307..2346834,2346889..2347427)) /locus_tag="BRARA_H00257" /codon_start=1 /product="hypothetical protein" /protein_id="RID49458.1" /db_xref="Phytozome:Brara.H00257" /translation="MSQSSLVIRNGGSSSGAHRTKRSDEDIIRIPDCDINAAKERFKL TLIGRVFHLRGRSIDALINLLPRSRIWNVEGRVRGINLGNGRFQFDFNNEADLMMVLN KRPCHFNQWSFALERWEPFTSENFPNTIPFWINVTGVPVHFWNDKTFTEIANAVGKKL LVDETKARIQVSINADQPLHYEGLHRYCFTCNLISHDENTCPQLTPTERDYKKKQRAE SQANNDRARLPPQSSQTFNTRNPLKRPRSPAYGRNTSPVAASRSNELFREDKRQRSTP SSHPGRDGHYSDYHNRDHRSSSRQDIGTLQGRAVWSRLEAPSTRETSRGRNNDRHQPR NTPRYKAPWQRPSSPPREWRQRTSKQDPKNKVPENRVHGNRERLPERSRGTFDSQRTV SDNRASLESGEIFANRNHNPETRIAETEEERIRRIKGKAIDTGSPSSLGNPKRPSFSL VQRNTTLTINEQHASSPQRALLAEQRYHLSTQEPNDLSFVPMEGLELGIDTPLTELEN AEVDNLVLETERLEMEENMLDIDNMIDVDNDDLLGDSPDHDAEKIEAISQLSPATAAI SRDALPPPQQDTAMADATLQQVPVNKTQRKHRDQMLGYAPKGLLKKKVPRSPAKGSTA SKKLQVIQSLNSKASPKKKTISGKRHSSSSSMVPRNEVFPSALSKKSVFLSGSVVSQK PSSKKI" gene 2348952..2357166 /locus_tag="BRARA_H00258" /db_xref="Phytozome:Brara.H00258" mRNA join(2348952..2349105,2349292..2349822,2349904..2350028, 2350101..2350195,2350281..2350505,2350584..2350674, 2350919..2350970,2351920..2351985,2352942..2353093, 2353210..2353280,2353653..2353847,2353947..2353985, 2354310..2354417,2354684..2354773,2354868..2354943, 2355027..2355123,2355210..2355279,2355354..2355530, 2355621..2355743,2355857..2355940,2356022..2356206, 2356299..2356437,2356527..2356586,2356657..2356728, 2356841..2357166) /locus_tag="BRARA_H00258" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00258" CDS join(2349323..2349822,2349904..2350028,2350101..2350195, 2350281..2350505,2350584..2350674,2350919..2350970, 2351920..2351985,2352942..2353093,2353210..2353280, 2353653..2353847,2353947..2353985,2354310..2354417, 2354684..2354773,2354868..2354943,2355027..2355123, 2355210..2355279,2355354..2355530,2355621..2355743, 2355857..2355940,2356022..2356206,2356299..2356437, 2356527..2356586,2356657..2356728,2356841..2356948) /locus_tag="BRARA_H00258" /codon_start=1 /product="hypothetical protein" /protein_id="RID49459.1" /db_xref="Phytozome:Brara.H00258" /translation="MYSRLIRKNQRLSCFVLKQDKCLAKPPTRDFTGSPSYRLTNPLT NHFTRKHPSYFLIASGNSLSLSQNSQFRRFSSEGDGAGEDNLSKEKTGKEKSVLGGVN RFDSHAQLGKQDQIDWLNNEKLASESKKESPFLNKRERLKNEFSRRIQPWETIQLSFE SFPYYLHEHTKDTLVECVSSHIKQRNVASTYGSRLGSSSGRILLQSVPGTELYRERLV RALARDSQVPLLILDSSVLAPYDFADDYNEESESDDEAAESDDETAESEAEEETDANN DEEGSSEAKVEGSDDEERYLEISKEVLKKLGADIEDIEKRMSGQLLGSSEVPKAIAVD HSDKAKRPLKKGDQVRYVGSAKKDEGKRRVVLGKISTSDGQKSAFTVIPGRPLSKGQR GEVYEVSGNRVAVIFDSGDNKTSEGSEEKPAEQPQTLPIHWVDAKELKLDMDMQAVDG YIAMEALNEVLASNQPLIVYFPDFSQWLSRAVPKARRKEFVDKVQEMFDKLSGPIVMI CGQNKIETGSKEKEKFTMVLPNFSQFAKLPLPLKRLTEGLAGSKRSEENEIYKLFTNV MRLHPPKEEDALGLFKKQLGEDRRIVISRSNINELLKVLEEHELLCTDLFQVNTDGVI LTKQKAEKVIGWARNHYLATCPEPLVKGGRLSLPRESLEISIARLRKLEDNSLQPSQN LKDIAKDEYERNFVSAVVAPGEIGVKFEDIGALENVKKALNELVILPMRRPELFSRGN LLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFS YATKLAPVIIFVDEIDSLLGARGGSSEHEATRRMRNEFMAAWDGLRSKDSHRILILGA TNRPFDLDDAVIRRLPRRIYVDLPDAENRLKILKIFLTPENLESGFEFDKLAKETEGY SGSDLKNLCIAAAYRPVQEVLQEEQKGEGADASPCLRPLSLDDFIQSKAKVSPSVAYD ATTMNELRKWNEQYGEGGSRTKSPFGF" gene complement(2359327..2364043) /locus_tag="BRARA_H00259" /db_xref="Phytozome:Brara.H00259" mRNA complement(join(2359327..2359618,2359696..2359859, 2359957..2360040,2360124..2360174,2360257..2360363, 2360472..2360588,2360842..2361088,2361224..2361291, 2361451..2361526,2362294..2362451,2362582..2362659, 2363181..2363550,2363624..2364043)) /locus_tag="BRARA_H00259" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00259" CDS complement(join(2359468..2359618,2359696..2359859, 2359957..2360040,2360124..2360174,2360257..2360363, 2360472..2360588,2360842..2361088,2361224..2361291, 2361451..2361526,2362294..2362451,2362582..2362659, 2363181..2363490)) /locus_tag="BRARA_H00259" /codon_start=1 /product="hypothetical protein" /protein_id="RID49460.1" /db_xref="Phytozome:Brara.H00259" /translation="MLSSRFSFLGIGSSSSEVNDSLGDSGTKIKPSLSVQTDKDVYRP GDFVFVTLEVGYSAVRDHENGASPSVLVDKLSFEVKGVEKLDVQWFSAQKPSPGSKGR RGEHIFLDSSTPSLISNQLLSPGAKMTLMVRAALPQIIPPSYKGATLRYHYYIKSTLS GRLMALENSQFCKDSTKDFIEVETRVPIQVWAIQKNNGLLLEESQTDGIVPASTIQTE IYWKGMDGDSEWTRANDAYDNGEDGYDSSRDEISSVSSYPNKGNLNRAFGSSVSLNSG PRLSMNATSYIEGVGSSPKELVSQLSAAVVSYDSGPDGFSPGKSSDSVIPSQQPKQTN GAGASNSPEAGAGEPVPSERFTRGRSYNIRMDDQVLLRFSPKNADSTYYFSDNIGGTL TFFHEGARRCLEVSVTLETSETINRKFVHPSRRSSPTHTKVQSDHHEVVADLIQTSFL FSIPTDGPMSFTTPRVSVQWILRFEFLITPKDVDLSRYEHPLLVPEREKSEWVLPITV HAPPPRTSSPAQNRGDKLYGLEPSWIRS" gene complement(<2369825..>2374267) /locus_tag="BRARA_H00260" /db_xref="Phytozome:Brara.H00260" mRNA complement(join(<2369825..2370198,2370785..2372549, 2374259..>2374267)) /locus_tag="BRARA_H00260" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00260" CDS complement(join(2369825..2370198,2370785..2372549, 2374259..2374267)) /locus_tag="BRARA_H00260" /codon_start=1 /product="hypothetical protein" /protein_id="RID49461.1" /db_xref="Phytozome:Brara.H00260" /translation="MGKRDALLEFKDEFPNLQYSPRASNTSLSSWNKSMDCCSWEGVT CDGKSGEVNSLYFYSIFLNNSLKEDSGLFRLTHLQNLTLSNCCLHGNIPSSIGNLSQL ITLELSSNKLVGQLPPSMGNLTQLRFLVVSQNNLSGNIPVSFSNLKELVNLDISSNQF TGGNFPFILPNVTSLYRLPLGVSGLSKLENFDMHDNSLSGPLHTSLFMIPSLTWVDFS GNYLEGPIEFGNISSSSRLEYLFLANNQFKGTIPTSISNLVNLIHLDLSNNNLEGQVP GWLSQRQQVMLSQNSFNSFEKSWEVFDETNMEALDLRSNSFQGTFPYWICKLRSLRFL DVSDNLFTGSIPQCLKNSTASLTYLVLRKNSFSGILPNIFFNATQLISLDVSHNQLEG KVPKSLINCKAMQLLNMESNRFEDEFPSWLGSLPSLNIFILRSNQFYGPLYHNNRLSI GFQALKVIDLSNNHFSGSLPSFYFSNWHEMTTLTGNYDDSYMEYYVYYAAVYYNSMEM VNKGVNTEFERIRQDFRAIDFSRNNFCGSIPESIGLLKELHLLNVSGNTFTSNIPQSL KYLTKLETLDLSWNQLSGQIPGGLAYKIELDTGFHNPNIASLSFLSSMDFSHNNLQGP IPRSTQFQSQNCSSFMDNHKLYGLEEICRETDHAPNPTPQAYEDLFEREEERVINWIA AAIAYGPGVFCGLVIGHIFVSQKHKWLTERHLL" gene complement(<2380837..>2382420) /locus_tag="BRARA_H00261" /db_xref="Phytozome:Brara.H00261" mRNA complement(join(<2380837..2380950,2381341..2381396, 2381479..2381656,2381728..2381825,2381844..2381952, 2382304..>2382420)) /locus_tag="BRARA_H00261" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00261" CDS complement(join(2380837..2380950,2381341..2381396, 2381479..2381656,2381728..2381825,2381844..2381952, 2382304..2382420)) /locus_tag="BRARA_H00261" /codon_start=1 /product="hypothetical protein" /protein_id="RID49462.1" /db_xref="Phytozome:Brara.H00261" /translation="MIARSTSPENSSTETPAKSHIVLESFKSRPFCILCLLTLAFPGH MNTVSCLCFRHGISEVYSGSFDRSVKAWNVQDSFGHQDEILAIDALRKERALTLGRDR TMLLHKMSETSCTIYRAPASSLESCCFINDTEYLSGSDKNGLLKKIPVFLLKNAHSVV AGGITTNENGDHDCVEYSNSSTTSSWNCYGFCDINMLQETRFGRWRCIKSVQNGVAIH PLRLS" gene <2393712..>2394690 /locus_tag="BRARA_H00262" /db_xref="Phytozome:Brara.H00262" mRNA join(<2393712..2393807,2393913..2394044,2394131..2394226, 2394303..2394414,2394479..2394528,2394610..>2394690) /locus_tag="BRARA_H00262" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00262" CDS join(2393712..2393807,2393913..2394044,2394131..2394226, 2394303..2394414,2394479..2394528,2394610..2394690) /locus_tag="BRARA_H00262" /codon_start=1 /product="hypothetical protein" /protein_id="RID49463.1" /db_xref="Phytozome:Brara.H00262" /translation="MQNGSERLCMTPASLEQFVEAVKKTVLANNKRVPPPGKGALYIR PLLLGSGAILGVAPAPEYTFLIYVSPVGDYHKVSSGLNMKVDHNYHLAHSGGAGGVKS CTNCSPIVKSLVEARSSGFSDVLFLDAVTGRNIEEASTFNIFIRVTRKSISELARDIG YQVQERDVTVDELLEAEEVLCTGTAVVV" assembly_gap 2397202..2407201 /estimated_length=unknown /gap_type="between scaffolds" gene 2408061..2412158 /locus_tag="BRARA_H00263" /db_xref="Phytozome:Brara.H00263" mRNA join(2408061..2408162,2408325..2408502,2408716..2408892, 2408980..2409111,2410931..2411026,2411134..2411250, 2411393..2411488,2411576..2411688,2411802..2412158) /locus_tag="BRARA_H00263" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00263" CDS join(2408116..2408162,2408325..2408502,2408716..2408892, 2408980..2409111,2410931..2411026,2411134..2411250, 2411393..2411488,2411576..2411688,2411802..2411928) /locus_tag="BRARA_H00263" /codon_start=1 /product="hypothetical protein" /protein_id="RID49464.1" /db_xref="Phytozome:Brara.H00263" /translation="MAPSVPHSSSVPLLTSEANEKYANVNWEELGFSLIPTDYMYMSK CKQGESFSEGEIVPYGDIPISPCAGILNYGQGLFEGLKAYRTEDGRITLFRPDQNAFR MQTGADRLCMTSPSSDQFVQAVKKTVLANKKWVPPPGKGSLYIRPLLIGTGAVLGIAS APEYTFLIYVSPVGNYHTASSGLNLIVDHTYRRAHTGGTGGVKSCTNYSPVVKPLFEA KSSGFSDILFLDAATGRNIEEVSTCNIFITKGNIVSTPPTSGTILPGITRKSISELAS DIGYQVQERDVSVEELLEAEEVFCTGTAMVVKAVETVTFHDKKIKYRTGEEALSTKLH LMLTNIQMGIVEDKKSWMVEINGCDE" gene 2414052..2415595 /locus_tag="BRARA_H00264" /db_xref="Phytozome:Brara.H00264" mRNA join(2414052..2414501,2414646..2414872,2414966..2415073, 2415297..2415595) /locus_tag="BRARA_H00264" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00264" CDS join(2414312..2414501,2414646..2414872,2414966..2415073, 2415297..2415419) /locus_tag="BRARA_H00264" /codon_start=1 /product="hypothetical protein" /protein_id="RID49465.1" /db_xref="Phytozome:Brara.H00264" /translation="MASSLEIVRLCASPVSISRHKSPVKLESRKRVFRLADSRSWGRL GRCVRVHSSALNNGDNQSKGEEPPESLFMKELKRRGMTPTSLLQDYEVDVDEIKTGGK DTRNSSSKTTATTTPPFDQSLLNQRERSLALNSEGLEGLIPRARILLTIGGTFFLGFW PLIVLTLGAFSALYLYFGADFIHDGSRTPVSPPPYIDPYTLLEDERISGINPRLN" gene complement(2415810..2418022) /locus_tag="BRARA_H00265" /db_xref="Phytozome:Brara.H00265" mRNA complement(join(2415810..2416615,2416690..2417060, 2417153..2417387,2417809..2418022)) /locus_tag="BRARA_H00265" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00265" CDS complement(join(2415962..2416615,2416690..2417060, 2417153..2417387,2417809..2417901)) /locus_tag="BRARA_H00265" /codon_start=1 /product="hypothetical protein" /protein_id="RID49466.1" /db_xref="Phytozome:Brara.H00265" /translation="MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGG GDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANN FARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVD YGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSILLDNEAIYDICRRSLS IERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVI SAEKAFHEQLSVAEITNSAFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGT IKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRID HKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGDDEDDEGEEY " gene 2418911..2420081 /locus_tag="BRARA_H00266" /db_xref="Phytozome:Brara.H00266" mRNA join(2418911..2419261,2419411..2420081) /locus_tag="BRARA_H00266" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00266" CDS 2419579..2419767 /locus_tag="BRARA_H00266" /codon_start=1 /product="hypothetical protein" /protein_id="RID49467.1" /db_xref="Phytozome:Brara.H00266" /translation="MRLGQRFPFFFFFFFGQQFPWFKKFLVNVSNMHKNKPPFSKKDQ NLPKRNMHIHIKRKPTVP" gene complement(<2426771..>2435471) /locus_tag="BRARA_H00267" /db_xref="Phytozome:Brara.H00267" mRNA complement(join(<2426771..2427079,2427148..2427588, 2427690..2427739,2428110..2428239,2428323..2428766, 2433613..2433662,2433968..2434100,2434187..2434660, 2434774..2435229,2435331..>2435471)) /locus_tag="BRARA_H00267" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00267" CDS complement(join(2426771..2427079,2427148..2427588, 2427690..2427739,2428110..2428239,2428323..2428766, 2433613..2433662,2433968..2434100,2434187..2434660, 2434774..2435229,2435331..2435471)) /locus_tag="BRARA_H00267" /codon_start=1 /product="hypothetical protein" /protein_id="RID49468.1" /db_xref="Phytozome:Brara.H00267" /translation="MSWDDGTARKVKKVHITYDDVIYSVQVTYGTALQAPRRGSVGPM SVEFTLESDEYITALSGYALSTQDVVTSLTFTTNKKTYGPYGNKFGYQISAPEKTGKQ IAGFHGTKDNILNSIDVHYAPIPTGTGGSETGSGVQKLPGEGLVGGTAWDDGSDHDGV TNIYVASTLLGIKNVMFGYMKDGQSKQGGHHGGDPTKQEIVINHPDEHLVSVEGWYES SSKFIMGIQFKTNYKICASMGYRYEGGNDYKFTHQVQDKKIIGFHGFASNHLNSIGAY FAPLSSTTTLPIGTGGSGAQKLGAQGVTGGSAWDDGSYHDGVTKIVVRTCTLGVQFVN FFYDNDIGAVHGAPGDPTGSTQHIVINHPDEHLVSIEGWYISNYISGIRFKTNQKTSV YIGYEYTGSVVNGVEHGTPTLLGFEEFTLELGLDEYITALSAYVETLSTRDVVTSLTF TTSKKNYGPYGNKSGFQIFSPGETGKQIAGFHGTSGNVLNSISGYYAPIPTYKLVAVG GTGGSAWDDGSDHDGVTKITVRTGGVGVQYVKFDYVKAGQPKQGTLHGVHGSRGSTRE IVINHPDEHLVSVEGWYDSSNVILGIQFKTNLKTSDYLGYEFEVVNGVENGTPTLLGF EEFTLGPYEYVTALSAYTKTLSTQDIVTSLTFTTNKKTYGPYGNKSGFLFPFPEETGK QIAGFHGTGGNVLNSIQVHYAPIPTVQKLDAQGGTGGTKWDDGSDHDGVTNIYVRSNM DGIQYVSFDYLKAGQPKQGAHHGGSGSRGSKGEIAINHPDEQVVSVEGWYDSANVICG VRFRTNQKIYDYMGYKFDGTGTKFTLKVQDKKIIGFHGFATNQLISLGAYFAPLSSAT APPIVTPKEVEAKGGDFNS" gene 2448508..2451634 /locus_tag="BRARA_H00268" /db_xref="Phytozome:Brara.H00268" mRNA join(2448508..2448799,2449485..2449600,2449710..2449919, 2450003..2450107,2450193..2450255,2450354..2450465, 2450717..2450778,2450861..2451043,2451139..2451634) /locus_tag="BRARA_H00268" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00268" CDS join(2448565..2448799,2449485..2449600,2449710..2449919, 2450003..2450107,2450193..2450255,2450354..2450465, 2450717..2450778,2450861..2451043,2451139..2451219) /locus_tag="BRARA_H00268" /codon_start=1 /product="hypothetical protein" /protein_id="RID49470.1" /db_xref="Phytozome:Brara.H00268" /translation="MATSMVSPLTSQLNHESVCSKFVLPKSPFMSGSKLFSSNMPCSS VPRRTRRSNCFASAKDMSFDHIPKQFRGDNLKAGVTQNFKNVPQYFYGLNPAQMDMFM TEDSPVRRQAEKVTEESISSRSNYLDNGGTWSMSGMNAADPKRYSMSVQMYRGGGGGG GSARPRTAPPDLPSLLLDARICYLGMPIVPAVTELLVAQFMWLDYDNPSKPIYLYINS PGTQNEKMETVGSETEAYAIADTISYCKSDVYTINCGMAFGQAAMLLSLGKKGYRAVQ PHSSTKLYLPKVNRSSGAAIDMWIKAKELDANTEYYIELLAKGTGKTKEQINEDIKRP KYLQAQAAIDYGIADKIANSQDSSFEKRDYDGSLAQRAMGRPGGGNPTSPAGLR" gene complement(2451140..2454348) /locus_tag="BRARA_H00269" /db_xref="Phytozome:Brara.H00269" mRNA complement(join(2451140..2451867,2451956..2452033, 2452218..2452309,2452393..2452538,2452628..2452724, 2452808..2452992,2453093..2453152,2453249..2453324, 2453415..2453515,2453594..2453770,2453862..2453959, 2454205..2454348)) /locus_tag="BRARA_H00269" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00269" CDS complement(join(2451416..2451867,2451956..2452033, 2452218..2452309,2452393..2452538,2452628..2452724, 2452808..2452992,2453093..2453152,2453249..2453324, 2453415..2453515,2453594..2453770,2453862..2453959, 2454205..2454292)) /locus_tag="BRARA_H00269" /codon_start=1 /product="hypothetical protein" /protein_id="RID49469.1" /db_xref="Phytozome:Brara.H00269" /translation="MAKIDDFAPFPVKDQLPGVEYCVSSSPNWPEGIVQGFQHYIVML GTTVIIPSILVPLMGGGDVQKAEVINTVLFVSGINTLLQSLFGSRLPVVIGASYAYVI PALYITFSYRFTYYLHPHVRFEETMRAIQGALIIASISHMVMGFFGLWRILVRFLTPL SAAPLVILTGVGLVVLAFPQLARCVEIGLPALIILIILSQYLPHLFKCKRSICEQFAV LFTVAIVWAYAEILTAAGAYNKRPDSTQLSCRTDRSGLISASPWVRIPYPLQWGRPSF HASDAFAMIAASYVAIVETTGSLIAASRFGSATHIPPSVLSRGIGWQGIGVLLNGLFG TATGATALVENTGLLGLTKVGSRRVVQISAGFMIFFSIFGKFGAVLASIPLPIFAALY CVLFAYVASAGLGLLQFCNLNSFRTKFILGFSIFIGLSVAQYFTEYLFISGRGPVHTR TSAVSVLHHHFMFVYFLSVMIRLPWTQFNVIMQVIFSSAATVGIMAAFLLDCTHSYGH ASVRRDSGRHWWEKFRVYYTDTRTEEFYALPYNLNRFFPSF" gene 2456737..2458771 /locus_tag="BRARA_H00270" /db_xref="Phytozome:Brara.H00270" mRNA join(2456737..2456861,2457163..2457333,2457416..2457594, 2457749..2457833,2457913..2458002,2458094..2458415, 2458495..2458771) /locus_tag="BRARA_H00270" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00270" CDS join(2457184..2457333,2457416..2457594,2457749..2457833, 2457913..2458002,2458094..2458415,2458495..2458514) /locus_tag="BRARA_H00270" /codon_start=1 /product="hypothetical protein" /protein_id="RID49471.1" /db_xref="Phytozome:Brara.H00270" /translation="MGAPKHKWSQEEESALRSAVAKHGPGRWRTILKDPDFSQVLFLR SNVDLKDKWRNISVMGYGSGSRPKPVKRTLGSLPSDEEILEMVDAKNVSTTGSSALQV SSPRTPNWLDSLITEAICTMKQLGGSSKTAIGNYIQERYEVPPNFKQLLSSRLKYLSA FGCGKLIKVKRKYRIPNSTALSSHKKRHLGTSSDKDEVSVQRQSEVDAELAKVMIVNI HEAAAVAAQAVAEAEAAMVEADEAAKQAEIAEAEAEAAQVFAEEVSKSLKGIKQLQCD DPCLR" gene complement(2458778..2461729) /locus_tag="BRARA_H00271" /db_xref="Phytozome:Brara.H00271" mRNA complement(join(2458778..2459087,2459183..2459283, 2459375..2459453,2459778..2459858,2459947..2460022, 2460116..2460382,2460557..2460639,2461311..2461441, 2461505..2461729)) /locus_tag="BRARA_H00271" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00271" CDS complement(join(2459000..2459087,2459183..2459283, 2459375..2459453,2459778..2459858,2459947..2460022, 2460116..2460382,2460557..2460639,2461311..2461441, 2461505..2461618)) /locus_tag="BRARA_H00271" /codon_start=1 /product="hypothetical protein" /protein_id="RID49472.1" /db_xref="Phytozome:Brara.H00271" /translation="MTLVPSIGRELSNPPSDGISNLRFSNSSDHLVVSSWDKSVRLYD ANADSMRGEFKHGGAVLDCCFHDDSSGFSASADHKVRRIDFNAGKEDILGMHDEPVRC VEYSYAAGQVITGSWDRTIKCWDPRAASGPERSQIGTYKQPERVNSLSLVGNHLVVAT AGRHVNIYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVSMEFFDLSE AAQAKKYAFKCHRKSEDGRDIVYPVNAIAFHPIYGTFATGGCDGFVNVWDGNNKKRLY QYSKYPTSIAALSFSRDGGLLAIASSYTFEEGDKPHEPDAIFVRNVNEIEVKPKPKVY PNPPA" gene 2463159..2464645 /locus_tag="BRARA_H00272" /db_xref="Phytozome:Brara.H00272" mRNA 2463159..2464645 /locus_tag="BRARA_H00272" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00272" CDS 2463260..2464615 /locus_tag="BRARA_H00272" /codon_start=1 /product="hypothetical protein" /protein_id="RID49473.1" /db_xref="Phytozome:Brara.H00272" /translation="MGSKFHAFMYPCFAFGHMIPYLHLANKLAEKGHSITFLLPKKAQ KQLESLNLHPESICFHPLTLPPVEGLPVGAETTADLPPNTTGKLISDAMDLLRDQIEA KVRVLKPDLIFFDLVPWVPEMAKEFGVKSVSYQIVSAACVAVALAPGVELGFPQQPGY PSSKVAFRGHDANLYSIFTNSHKRFFSRIITGLKNSDVASIRTCAEIEGKYCSFIERE CQRKVLLTGPMFPEPQEKSVKPLEDQWNQWLNRFEPGSVVFCALGSQTILEKDQFQEL CLGMELTGLPFLVAVKLPRGSSTVQEALPEGFEERVKGRGIVWGGWVEQPLILSHQSV GCFVNHCGSGSMWESLVSDCQIVFIPQLGDQVLTTKLLSEELEVSVKVHREDSGWFSK ESLRDAVKSVMDKDSEIGNLVKRNHMKLKETLVSPGLLSGYADKFVEALEAEVNNTKS S" gene complement(2471775..2475198) /locus_tag="BRARA_H00273" /db_xref="Phytozome:Brara.H00273" mRNA complement(join(2471775..2472260,2472356..2472463, 2472617..2472706,2472786..2472902,2473360..2473479, 2473820..2475198)) /locus_tag="BRARA_H00273" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00273" CDS complement(join(2472192..2472260,2472356..2472463, 2472617..2472706,2472786..2472902,2473360..2473479, 2473820..2474992)) /locus_tag="BRARA_H00273" /codon_start=1 /product="hypothetical protein" /protein_id="RID49474.1" /db_xref="Phytozome:Brara.H00273" /translation="MVAGVISSSTDPRNPPRDRPNNVGSGQRRPTRGKQVPSRYLSPS PSHSLSSSTTTTSSSSSSSSSAFLKTTKRHPSPLLPRPTNSTSNPIKTPSLLPKRSQS ADRRRPSAAPAEMSAATKMLITSTRSLSVSFQGEAFSLPVSKKKEPASPPVSHRRSTP VRDQRENSKPVDQHRWPGASRRGKSEPFAPNPLFGESPRVSINGRLSLNMESGRRRRR PNNGLLTSSDVTASDTDSVSSGSTNGETSKSRSLASSARFWQETNTRLRRLQDPGSPS VSSPRGMASSPVRGGGGAAAIRSASPSKLWATTTTTTSSPARALSSPCRVSDQMNNRK DTPSILSFSADIRRGKIGGDRVVDAHLLRLLYNRYLQWRFVNARADSALMVQRLNAEK NLWNAWVSISELRHSVTLKRIKLLLLRQKLKLASLLRGQMGYLEEWSLLDKDHSSSLS GATQALKASTLRLPIVGKTVVDIKDLKYAVSSAVDVMQAMSSSIFSLTLKVDEMNSVM VETVNVTAKEKVLLERCQGFLSRVAAMQVTDCSVKTHIIQLRPITSSLTS" gene complement(2501599..2503485) /locus_tag="BRARA_H00274" /db_xref="Phytozome:Brara.H00274" mRNA complement(join(2501599..2501843,2501974..2502051, 2502135..2502199,2502393..2502440,2502751..2502828, 2503186..2503485)) /locus_tag="BRARA_H00274" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00274" CDS complement(join(2501738..2501843,2501974..2502051, 2502135..2502199,2502393..2502440,2502751..2502828, 2503186..2503380)) /locus_tag="BRARA_H00274" /codon_start=1 /product="hypothetical protein" /protein_id="RID49475.1" /db_xref="Phytozome:Brara.H00274" /translation="MAENPWQPLLHTFEKLSNCVQTHLSNFIGIKSTPRSSPTIQNPI SSDSSPTITINSSNLQKLPLKDKPIGPVTKEDLGRATWTFLHTLAAQYPEKPTRQQKK DVKELMAILSRMYPCRECADHFKEILRSNPPRAGSQEEFSQWLCHVHNTVNRSLGKLV FPCERVDARWGKLECEQKSCDLHGTSMDF" gene complement(2508890..2512292) /locus_tag="BRARA_H00275" /db_xref="Phytozome:Brara.H00275" mRNA complement(join(2508890..2509161,2509265..2511248, 2511331..2511506,2511587..2511727,2512023..2512292)) /locus_tag="BRARA_H00275" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00275" CDS complement(join(2509114..2509161,2509265..2511248, 2511331..2511506,2511587..2511727,2512023..2512139)) /locus_tag="BRARA_H00275" /codon_start=1 /product="hypothetical protein" /protein_id="RID49476.1" /db_xref="Phytozome:Brara.H00275" /translation="MIKAAIDLGCLDLGCLSVSDKRSGADPLQFSSSPSKSGQKNSPR ETSTLRKSHSKRSSQRKSSPLGWFPRRRGGDSYLNRKIKKLQEEVGGMNQTLDETLGD SNPHYCRIVREQMAVREAAGKAMELRKAALVEASWSRILRAARIPSLEAETLMENAEK AAVEAFEAASALGVIMHDKPNSSRKQYKIKSSGAHGGGSPTHTVTASFETAFDVDKEV AAAVKAAFAKLANCPSLSKAEIRDLLRKISENPDLRDNQHEITEVSSECDTESDSEHK VDEEVAECEETSSFKMRQLKVKRRQSFGKLNREKLVDMMLERLQGLQEDQLSSLASIV ATCGLSEALAEVDHQKLQTTNIEPTVSDTSAETRSRRDSKFGSFTEGKTTSDGKETEI PSLDKYLVKHMTKLEREVNEAKRASKDVFEKGRNVPQGVASETVPDLGSILVKHSSKL EKEIEEAKKNPGVNPRTYQKNSRRRKAPLVPVPDLKSLLVKKHVSRLEKDVEETIRNC GNMYENVKKPGKQDVPEDSSLESCMVKHVSKLEKEVQEAKKRNKEDLEARNLEKVEKS SSLLTEEMEKENMDLNKKTKGQEESLDKILVKPVHRLEREKAGSEAVYGNLRIKQRKQ ESEYESLDKVLVKHVPKLEKEKLRFKAEREATTTVVEEKENSKSNNEESMKTVKPVLT RRQMRDKEIQETWGGLGLGESKRPESKKTEVNEHLGEETRPVLTRRQERDKEMLEAWG GLGLGDSSLLTVNNKHKRKPESEKMETAAPVLTRRQARDREMQEAWGGLDLGNAIRPS LSKLEREKAAWIKAEEEERTRGN" gene <2519326..>2519814 /locus_tag="BRARA_H00276" /db_xref="Phytozome:Brara.H00276" mRNA join(<2519326..2519577,2519628..2519653,2519721..>2519814) /locus_tag="BRARA_H00276" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00276" CDS join(2519326..2519577,2519628..2519653,2519721..2519814) /locus_tag="BRARA_H00276" /codon_start=1 /product="hypothetical protein" /protein_id="RID49477.1" /db_xref="Phytozome:Brara.H00276" /translation="MPSAIPKYHQYYSSAMSYSSHPPVYSTTPTGNEIASDVRATKFS QFSRQITLGGINGGNEATRGADSSTHATRKSVKWTTEQNLKPEEQIVLRNHYNNMNQK LEKWISAYDNAKRMQQSGCSE" gene 2539888..2540421 /locus_tag="BRARA_H00277" /db_xref="Phytozome:Brara.H00277" mRNA join(2539888..2540028,2540381..2540421) /locus_tag="BRARA_H00277" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00277" CDS join(2539957..2540028,2540381..2540404) /locus_tag="BRARA_H00277" /codon_start=1 /product="hypothetical protein" /protein_id="RID49478.1" /db_xref="Phytozome:Brara.H00277" /translation="MFSFSFLKARRDLESIPKVYTLLKIDLSAFL" gene complement(<2543041..>2544860) /locus_tag="BRARA_H00278" /db_xref="Phytozome:Brara.H00278" mRNA complement(join(<2543041..2543513,2544599..>2544860)) /locus_tag="BRARA_H00278" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00278" CDS complement(join(2543041..2543513,2544599..>2544860)) /locus_tag="BRARA_H00278" /codon_start=1 /product="hypothetical protein" /protein_id="RID49479.1" /db_xref="Phytozome:Brara.H00278" /translation="LFLPLLKWFITRFLLTNPKRLKRYGSWAMVTGATDGIGRAFAHE LAKHGLNLILISRNPLKLASVSDDFRQEFPQIKIKIIPFDFSSEGGYGAIEEGIKGVE VGILINNVGITYPRAMFFHEVDQLTWIKILRVNLEATTWVTRSLIGPMLHRRRRAIVN ISSGAAVVVPSHPLYAIYAATKALRLSTLTSLLFSFSSGQCTFQNYSTHEQQNRAELL GLKKNIWDTKFSFTRYVMSIKTEIYL" assembly_gap 2545426..2555425 /estimated_length=unknown /gap_type="between scaffolds" gene complement(<2555599..>2556423) /locus_tag="BRARA_H00279" /db_xref="Phytozome:Brara.H00279" mRNA complement(<2555599..>2556423) /locus_tag="BRARA_H00279" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00279" CDS complement(2555599..2556423) /locus_tag="BRARA_H00279" /codon_start=1 /product="hypothetical protein" /protein_id="RID49480.1" /db_xref="Phytozome:Brara.H00279" /translation="MVKRGGTKRKAEIKKITNKSSKAVTFTKRRDGLFSKAAQLCLLG DAQIAILATPSSSHSNVSFFSFGHSSVDSMVSAYLSGQRPAPPPVLEDSKEMREDIAV CMARKELGLGYWWEDEKLLASKSREEIMEAMESMQILWKAAERLREDEAIDFDQREGS LEKMEDMSNDQTTLISTEDDQIISVCDSFFNYNNNNNAALSATPPDEDDDHQIEAVSE NHCSNNMNALLSPPAAAAGGLNDQNLLDLDLANLDLDTIFEGLADLDAEFVASLLM" gene complement(2557510..2560682) /locus_tag="BRARA_H00280" /db_xref="Phytozome:Brara.H00280" mRNA complement(join(2557510..2557909,2558486..2558579, 2558662..2558792,2558870..2558937,2559099..2559582, 2560117..2560682)) /locus_tag="BRARA_H00280" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00280" CDS complement(join(2557781..2557909,2558486..2558579, 2558662..2558792,2558870..2558937,2559099..2559582, 2560117..2560485)) /locus_tag="BRARA_H00280" /codon_start=1 /product="hypothetical protein" /protein_id="RID49481.1" /db_xref="Phytozome:Brara.H00280" /translation="MQTTNNGPDSSSAGTTPPPLQQPTPPPQQQHWQNQQQWMAAMQY PMAMMQQQQHMMMYPHQYAPYTQGHYQHPPQFHYAPYHQQQHQQRGGSGGDDVKTLWV GDLLHWMDETYLHTCFSHTNEVSSVKVIRNKQTNQSEGYGFVEFLSRSAAEEVLQSYS GVTMPNADQPFRLNWASFSTGEKRASENGGPDLSIFVGDLAPDVTDALLLETFAGYQS VKGAKVVIDSNTGRSKGYGFVRFGDESERSRALTEMNGAFCSSRQMRVGIATPKRASA YGQQNGSQALTLAGGHGANGSVSDGDSNNSTIFVGGIDADVTEEDLMQPFSQFGEVVS VKIPVGKGCGFVQFGNRKSAEEAIENLNGTVIGKNTVRLSWGRSPNRQWRGEAGHQWN GGYSRGQGYSNGYANQDSNMYATAAAAVPGAS" gene complement(<2567566..>2570213) /locus_tag="BRARA_H00281" /db_xref="Phytozome:Brara.H00281" mRNA complement(join(<2567566..2567936,2568048..2568344, 2568414..2568821,2569750..2569861,2570043..>2570213)) /locus_tag="BRARA_H00281" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00281" CDS complement(join(2567566..2567936,2568048..2568344, 2568414..2568821,2569750..2569861,2570043..2570213)) /locus_tag="BRARA_H00281" /codon_start=1 /product="hypothetical protein" /protein_id="RID49482.1" /db_xref="Phytozome:Brara.H00281" /translation="MIFIIPKKLKAGNGVDLETANGTGVEGEVAGEGGGEGEESGGVD GGGVEVEVEGEGDRRLAGVATAPPCGASSLVSSGRCLKRKIGCIDVSTQTGKFGSVRI CRSKSNGIDFACKTLKKGEETVHREVEIMQHLSGHPRVVTLHAVYEESDCFHLVMELC SGGRLIDQMVKEGRYSEQRAANIFKDLMLVINYCHEMGVVHRDIKPENILLTAAGKIQ LADFGLAMRIAKGQTLSGLAGSPAYVAPEVLSESYSEKVDVWSAGVLLYALLSGVLPF KADSLDAIFEAIKKVKLDFNSGVWESVSKPARDLLSTMVTRDESARITADEVLRHPWI LFYTDRTLKTMCIKSKHKGQSGSPPGLQLRSPIKKTDVNRANREKKTTYDDDSPTDSF SNTEEEEDESGVVDVLVVAISNVRISEPKRSRLCSPTNSPIEQQHSSNLTTTTNTLCR AF" gene <2578047..>2581168 /locus_tag="BRARA_H00282" /db_xref="Phytozome:Brara.H00282" mRNA join(<2578047..2578837,2581096..>2581168) /locus_tag="BRARA_H00282" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00282" CDS join(<2578047..2578837,2581096..2581168) /locus_tag="BRARA_H00282" /codon_start=1 /product="hypothetical protein" /protein_id="RID49483.1" /db_xref="Phytozome:Brara.H00282" /translation="GCPRIVTCFGDSLRQGLSNYGNKVHKLQLEYASEGSLSAFMDHY ADRKLPEALVKDFTRMILEGLVSIHDHGYVHCDIKPDNLLVFPSRQDSYELKISDFGN TLEVGEVPKFWESEFPWVGTPIYMPPESVRDGFANKGIGLWSVGCLVLEMYTGVIPWE GVNLDLLASRLHCGKAPEIPESLPSDAKAFIETCFSRNPEERGSVCELLLHPFLPRPL VEGEEKKTSNSFLLKLFKLRIRRTSSNKKPTADVVAVSDKKPLKLSRELSVRTRAKAC GSVTLRAEETA" gene complement(<2582356..>2583494) /locus_tag="BRARA_H00283" /db_xref="Phytozome:Brara.H00283" mRNA complement(join(<2582356..2582829,2582886..>2583494)) /locus_tag="BRARA_H00283" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00283" CDS complement(join(2582356..2582829,2582886..2583494)) /locus_tag="BRARA_H00283" /codon_start=1 /product="hypothetical protein" /protein_id="RID49484.1" /db_xref="Phytozome:Brara.H00283" /translation="MLRRIALSYPLRSHPLFIRHFPRYYQPLSPSQTAVILSGSHYLC RLSTTTLRCISSQTSSDLVSEHPPFVRIYKDGRVERLAGTETIPASLTPQNGVVSKDV VYSPEHNLSVRLFLPHKSTELVTGNNNKLPLLIYIHGGAWLIGSPFSPIYHNFLTEVV KTANCLAVSVQYRLAPEYPIPAAYEDSWSAIQWIISHSNESGPRRRGGNMAHHMAVRA GKEKLNARIKGTAIVHPAFWGKEPIDELDVQDGEARRRVAEVWEKLVSPGSVDGADDP WFNVVGSGSDFLGLGCEKVLVAVAGRDVFVRQGLGYAEKLKKSGWRGDVEVMEEEDED HCFHLLNPCSENAPRFMTKFVEFITG" gene complement(2584009..2588651) /locus_tag="BRARA_H00284" /db_xref="Phytozome:Brara.H00284" mRNA complement(join(2584009..2584407,2584622..2584708, 2584798..2584884,2585049..2585126,2585230..2585358, 2585434..2585553,2585637..2585711,2585832..2585927, 2586009..2586110,2586198..2586266,2586347..2586473, 2586549..2586735,2586909..2586996,2587195..2587271, 2587349..2587454,2587536..2587717,2587797..2587970, 2588403..2588651)) /locus_tag="BRARA_H00284" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00284" CDS complement(join(2584357..2584407,2584622..2584708, 2584798..2584884,2585049..2585126,2585230..2585358, 2585434..2585553,2585637..2585711,2585832..2585927, 2586009..2586110,2586198..2586266,2586347..2586473, 2586549..2586735,2586909..2586996,2587195..2587271, 2587349..2587454,2587536..2587717,2587797..2587970, 2588403..2588457)) /locus_tag="BRARA_H00284" /codon_start=1 /product="hypothetical protein" /protein_id="RID49485.1" /db_xref="Phytozome:Brara.H00284" /translation="MEIKPGLSALVTGGASGIGRALCLALAEKGVFVTVVDFSEEKGK ETTSLVQKANASFHPGLNSPSAIFVKCDVTNRGDLIAAFDKHLATFGTLDICINNAGI ANPARFDKDDSDGSRSWRHTINVDLVAVVESTQLAIKAMKGKQKPGVIINMGSAAGLY PMSFDPIYSAAKGGVVLFTRSLAHLKRQGVRINVLCPEFIQTDLAEAIGASFLQAIGG YMPMDMLIKGAFELITDESKAGACLWISNRRGLEYWPTPMEQAKYLVGSGSRKRTSFK VTSTIELPQSFEKIIVHALSHNFRNATRIVRTPLKLPIGPHQVLLKIIYAGVNASDVN FSSGRYFSGGSPKLPFDAGFEGVGLIAAVGESVNNLEVGTPAAVMTFGAYAEYMIVSA KHVLPVPRPDPEVVAMLTSGLTALTALEKAGQMKSDETVLVTAAAGGTGQFAVQLAKL AGNKVIATCGGSEKAKLLKELGVDRVIDYKAEDIKTVLKKEFPKGVDIIYESVGGKMF DLCLNALAVYGRLIVIGMISQYQGEKGWQPANYPGLCEKILAKSQTVAGFFLVQYSQL WKQNLDKLFNLYSLGKLKVGIDQKKFIGLNTVSDAVEYLHSGKSTGKVVVCMDPTFEQ TTSRL" gene complement(2613395..2616048) /locus_tag="BRARA_H00285" /db_xref="Phytozome:Brara.H00285" mRNA complement(join(2613395..2613822,2613898..2614128, 2614207..2614363,2614789..2614893,2614972..2615213, 2615286..2615446,2615529..2616048)) /locus_tag="BRARA_H00285" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00285" CDS complement(join(2613567..2613822,2613898..2614128, 2614207..2614363,2614789..2614893,2614972..2615213, 2615286..2615446,2615529..2615885)) /locus_tag="BRARA_H00285" /codon_start=1 /product="hypothetical protein" /protein_id="RID49486.1" /db_xref="Phytozome:Brara.H00285" /translation="MGVFSNLRGPRIGATHDEMPVANTSSSTPTLSIKRKLSSLLPIC VALVVIAEICFLGRLDKVSLVNTLTDFFAQSPPSRPDQNIGLMSESCEEWLTRQDSVT FSRDFKKDPIFVYGGQKDFQSCSIDCTFGDSSGRAPDAAFGLGNQPGSLSILRSMESA QYYPENDISQARRSGYDIVMTTSLSSDVPVGYFSWAEYDIMAPVQPKTEKAIAAAFIS NCGAPNFRLKALEALMKSSIKIDSYGGCHRNRDGEVDKVEALKRYKFSLAFENTNEED YVTEKFFQSLVAGSVPVVVGAPNIDEFAPASDSFLHIKSMEDVEPVAKKMKYLAANPA AYNQTLRWKYEGPSDSFKALVDMAAVHSSCRLCIFLATRIQEQEEKSPSFKKRPCKCT RGGSDTVYHVFVRERGRFEMESIFLRGKHLTLEALESAVVAKFKSLKHEPVWKKERPP SLKGDNELRVHRIYPLGLTQRQALYKFKFEGNSSLSTHIQHNPCAKFEVVFV" gene complement(<2626688..>2626903) /locus_tag="BRARA_H00286" /db_xref="Phytozome:Brara.H00286" mRNA complement(<2626688..>2626903) /locus_tag="BRARA_H00286" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00286" CDS complement(2626688..>2626903) /locus_tag="BRARA_H00286" /codon_start=1 /product="hypothetical protein" /protein_id="RID49487.1" /db_xref="Phytozome:Brara.H00286" /translation="PCPVLVRMVFQMTVYHIWRERNARRHGKAWIPYEKLTSQIDKTM RNRISSLKYFYGDKLAGLMRRWFEVTM" gene complement(2627455..2628131) /locus_tag="BRARA_H00287" /db_xref="Phytozome:Brara.H00287" mRNA complement(join(2627455..2627696,2627940..2628131)) /locus_tag="BRARA_H00287" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00287" CDS complement(join(2627608..2627696,2627940..2628018)) /locus_tag="BRARA_H00287" /codon_start=1 /product="hypothetical protein" /protein_id="RID49488.1" /db_xref="Phytozome:Brara.H00287" /translation="MFLFTSRSQKRIDLREEASALYCLRNTHSRHLFLSTDTQHQSLQ FIVTVFNTHNF" gene complement(<2643158..>2644798) /locus_tag="BRARA_H00288" /db_xref="Phytozome:Brara.H00288" mRNA complement(join(<2643158..2644258,2644538..>2644798)) /locus_tag="BRARA_H00288" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00288" CDS complement(join(2643158..2644258,2644538..2644798)) /locus_tag="BRARA_H00288" /codon_start=1 /product="hypothetical protein" /protein_id="RID49489.1" /db_xref="Phytozome:Brara.H00288" /translation="MGKRKGPKLRSPPKEGRSTSGSASVPKVPPSDPPTPTGSVVVES DTESVAAGSPAPLKESTTLQLENEAPNGLEPQSKPAGGPVIAILKKSCDSYILPSGEA CVKIPNSVIEKHRKSWDCFVIGQFYVDPPSQGTIHNIVNGIWSKQYRDIAVSKMEGFT YLFRIPNVSTRNHVINQRLWQIDGKTMFVAKWEPGVVPSKPELSEAPIWLELRQVPLQ FFNEDGLERIASLVGDPKFLHPTTANKTNLEVAKVFTIIDPRKPLPEVVNVQFEAGKI CRVLVSSPWMPPVCGHCKEIGHTSTKCKLALITCIPCNLTSHSPLTCPKTKSLEAKRR KTRRAKPKEKQWALVNPKHQDLPGLDSSVKSKLGTSSDFAIGECSKSHKNQLQPQEDN SKQKEAQADVSSGVDPDSSDVESSEEEEGEILEDDEENYRQVHNKSNSKYGDSRGEGP NLA" gene 2645367..2648119 /locus_tag="BRARA_H00289" /db_xref="Phytozome:Brara.H00289" mRNA join(2645367..2645846,2646057..2646179,2646272..2646409, 2646598..2646696,2646778..2647081,2647163..2647386, 2647563..2647649,2647735..2648119) /locus_tag="BRARA_H00289" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00289" CDS join(2645766..2645846,2646057..2646179,2646272..2646409, 2646598..2646696,2646778..2647081,2647163..2647386, 2647563..2647649,2647735..2647755) /locus_tag="BRARA_H00289" /codon_start=1 /product="hypothetical protein" /protein_id="RID49490.1" /db_xref="Phytozome:Brara.H00289" /translation="MLQRLVFLLIVLLIQSSFLFEISSALQEGKTCILNDNCDAGLHC ETCLANNNLRPRCSRTQPINPISKVKGLPFNKYAWLTTHNSFARLGQVSKTGSVILAP TNQQDSVTSQLANGVRGFMLDMYDFENNIWLCHSFDGTCFNFTAFQPAVNVLREIQVF LENNKDEVVTIIIEDYVKSPKGLTKVFNAAGLQKFMFPVTRMPKIGGDWPTLDDMIQQ NQRLLVFTSDRSKEATEGIAYQWKYMVENQYGNGGLKVGACPNRAQSAPMSDKSKSLV LVNHFPDAPDLVVACRQNSAPLLESIKACYQAAGQRWPNFIAVDFYKRSDGGGAPQAV DVSNGNLICGCDNFAACKANGECG" gene complement(2657910..2663243) /locus_tag="BRARA_H00290" /db_xref="Phytozome:Brara.H00290" mRNA complement(join(2657910..2658738,2662329..2663243)) /locus_tag="BRARA_H00290" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00290" CDS complement(join(2658162..2658738,2662329..2663179)) /locus_tag="BRARA_H00290" /codon_start=1 /product="hypothetical protein" /protein_id="RID49491.1" /db_xref="Phytozome:Brara.H00290" /translation="MASLLLLLLSLSLVSFTLPTGDTHITKRKSFEIIIGGGGNPPPS PSPEPEPEPEDCSPPPPPPPCLPAPQLPPPQRQRAPPKPPPKSRGQPPLPLVGFESPL LEKVFPVLKAFKKLVTRDPMLILKTWEGTDICNKYKYLGLECAIFPNTTDKALASIQF NGFNFGGDKLVLHNFLDKLDTVTIFHANSNDFLGSVPEVTNLKYLFELDLSNNKLTGD FPASVLKAKNLTFLDLRFNTFSGCVPPQVFNLDLDVLFINNNNLVQTLPSNLGSITAL YLTFANNRFTGPIPASIGNIKFLQEVLFLNNSLTGCLPYQIGKLNRATVFDVGFNQLT GLIPYSFGCLAKMEQLNLARNKFYGTIPEIVCELSSLKNLSLSYNYFTQAGPKCRELI KRNILDVRMNCILDLPNQKMASECSTFFMQRQTCPDPKSMYLIPCGKNPNGVKLDQER LEAKEAQASSPVSYGVLNPDGVRNR" gene complement(<2672160..>2673689) /locus_tag="BRARA_H00291" /db_xref="Phytozome:Brara.H00291" mRNA complement(join(<2672160..2672498,2672699..2673400, 2673588..>2673689)) /locus_tag="BRARA_H00291" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00291" CDS complement(join(<2672160..2672498,2672699..2673400, 2673588..>2673689)) /locus_tag="BRARA_H00291" /codon_start=1 /product="hypothetical protein" /protein_id="RID49492.1" /db_xref="Phytozome:Brara.H00291" /translation="AREDVHTKNSYVLDGFSYAFQIWIMEGIPDIGSMGELFTFISST GDFDVIADTEFLREDEKKDERVGRIVELINAKQDWTHFDWEVESLPAHMDLSDSEQDE PADVAEEPSEQEEATVVAGEPAVTAKRGKRKLIDPGAESRKKQLLCQRAAEHNSGVSS EMKTFIEGLFTASFNSFKEVVQKDIHERFDNLANEVSQLKEQVSQLKGLSETVGKGNT SEILSPSATIGKDQGPSSHSTGPPAGNGKGKASANVVPPPVRRSPRPVREDVQTDENE MMDFLKNLTKSARCVDKGTQDSLQEAMGNLSQASHVKGFDPSQHLDGDEPADFATPLS SFKPADWRPPTLKDVDSLEDRIHDPDYSLVFVPEASWGKLVDWTKTF" gene complement(<2675805..>2677076) /locus_tag="BRARA_H00292" /db_xref="Phytozome:Brara.H00292" mRNA complement(<2675805..>2677076) /locus_tag="BRARA_H00292" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00292" CDS complement(2675805..2677076) /locus_tag="BRARA_H00292" /codon_start=1 /product="hypothetical protein" /protein_id="RID49493.1" /db_xref="Phytozome:Brara.H00292" /translation="MPGNLEPLDLGIQIPYHFRCPISLELMTDPVTVSTGQTYDRTSI ESWIATGNTSCPVTRLPLSDFTLIPNHTLRRLIQEWCVANRSSGVERIPTPKQPADPI SVRSLLSQASAISGTHVSVRSRAAAIRRLRGLARDSEKNRVLIAGHNAREILVRILFA DVDVGVETSSSEVVTESLALLVMFHMTEGECESISSDPGRVGFMTRLLFDSSIENRVN AAALVEMVLTGSKSTDLKMIISGSGSVFEGVMDLLRSSVSSRRALKIGIKALFALCLV KQTRHLAISAGAPGTLIDRLAADFDRCDTERGLATVELLCRLPEGCAAFGEHALTVPL LVKTILRVSDRATEYAAGALLALCTAEERCRDEAAAAGLVTQLLLLVQSDCTERAKRK AQMLLKLLRDSWPDDTLVNSDEFGRSEVAPF" gene complement(2689712..2690261) /locus_tag="BRARA_H00293" /db_xref="Phytozome:Brara.H00293" mRNA complement(2689712..2690261) /locus_tag="BRARA_H00293" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00293" CDS complement(2689877..2690206) /locus_tag="BRARA_H00293" /codon_start=1 /product="hypothetical protein" /protein_id="RID49494.1" /db_xref="Phytozome:Brara.H00293" /translation="MVIAKNRTEHYVVLVLVLINMVGSVLLATEGRPVKYSSTALTQL RDSPVYNGSVMSKLKPVESSAQDVSWLATVKQSGPSPGVGHHRAKGYKTFGRVRDESG PSPGVGH" gene complement(2704102..2707154) /locus_tag="BRARA_H00294" /db_xref="Phytozome:Brara.H00294" mRNA complement(join(2704102..2704445,2704514..2704681, 2704760..2704930,2705002..2705117,2705211..2705507, 2705830..2705908,2705984..2706092,2706165..2706206, 2706276..2706406,2706495..2707154)) /locus_tag="BRARA_H00294" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00294" CDS complement(join(2704302..2704445,2704514..2704681, 2704760..2704930,2705002..2705117,2705211..2705507, 2705830..2705908,2705984..2706092,2706165..2706206, 2706276..2706406,2706495..2707028)) /locus_tag="BRARA_H00294" /codon_start=1 /product="hypothetical protein" /protein_id="RID49495.1" /db_xref="Phytozome:Brara.H00294" /translation="MGGCTSKPSTSGRPDNPFFPGNDYPLIDNSTPTNPTPSAAKASP FFPFYTPSPARHRRNKSRDVTGGESKSVTSTPLRQLRRAFNPPSPAKHIRAALRRRKG KKEAALAAEATEAPPQEEGEELGLDKRFGFAKDFHSRVELGDEIGRGHFGYTCSAKFR KGELKGQVVAVKIIPKSKMTSAIAIEDVRREVKILQALSGHKNLVQFYDAFEDNANVY IAMELCEGGELLDRILARGGKYSEDDAKPVIIQILNVVAFCHLQGVVHRDLKPENFLY TSKEENSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYTTEADVWSIGVIA YILLCGSRPFWARTESGIFRAVLKADPSFDEAPWPFLSSEAKDFVKRLLFKDPRRRMS ASQALMHPWIRGYDRDMNVPFDILIFRQMKAYLRSSSLRKAALRALSKTLITDEIIYL KTQFSFLSPNKDGFITLGSIRSSLSSNATDEMKETRIPEFLALLNGLHHRGMDFEEFC AAAINVHQHESLDCWEESIRHAYELFERNGNRAIVIEELASELGVGPAITVHSVLHDW IRHTDGKLSFFGFAKLLHGVSVRPSVKTTR" gene complement(2711681..2714622) /locus_tag="BRARA_H00295" /db_xref="Phytozome:Brara.H00295" mRNA complement(join(2711681..2712314,2713415..2713580, 2713704..2713895,2714253..2714622)) /locus_tag="BRARA_H00295" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00295" CDS complement(join(2711899..2712314,2713415..2713580, 2713704..2713895,2714253..2714588)) /locus_tag="BRARA_H00295" /codon_start=1 /product="hypothetical protein" /protein_id="RID49496.1" /db_xref="Phytozome:Brara.H00295" /translation="MDRKIFSYWFITHLALLSLLFNDVVSSFPSEYNDGPKNGYNNGY NNGHNGGYNNGHGSRGYGMISNLDYRFYDRSCPRLQMMVKSGVWRAFKDDSRIAASLL RLHFHDCFVNGCDGSILLDDSEDFKGEKNALPNRNSVRGFDVIEDIKTDIESACPLTV SCADIVALAAREAVVLTGGPYWPVPLGRRDSLTASEQAANTNLPSPFEPLENITAKFV SLGLDFKDVVVLSGAHTIGFAQCFVFKHRLFNFKGSGQPDPNLAASSDLLSKLTDTCP NVDSSNSNLAALDAASVVKFDNAYYVNLMNNVGLLDSDQILMSDSNAAALVKSYSENP YLFSRDFAVSMVKMGKIGVMTGSDGVIRAKCGFPG" gene complement(2730807..2731492) /locus_tag="BRARA_H00296" /db_xref="Phytozome:Brara.H00296" mRNA complement(2730807..2731492) /locus_tag="BRARA_H00296" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00296" CDS complement(2731030..2731347) /locus_tag="BRARA_H00296" /codon_start=1 /product="hypothetical protein" /protein_id="RID49497.1" /db_xref="Phytozome:Brara.H00296" /translation="MMGNWRKKRDDEKGLVWKLFEDIGNVGPALGLGAGCGFGFGAGL TGGYGPGLPKLQFGVGFGTGCGIGVGLGFGVGRGAVFDHARAFNNVVDYLWWKLIGFA QSP" gene <2739001..>2740206 /locus_tag="BRARA_H00297" /db_xref="Phytozome:Brara.H00297" mRNA join(<2739001..2739451,2739632..>2740206) /locus_tag="BRARA_H00297" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00297" CDS join(2739001..2739451,2739632..2740206) /locus_tag="BRARA_H00297" /codon_start=1 /product="hypothetical protein" /protein_id="RID49498.1" /db_xref="Phytozome:Brara.H00297" /translation="MVSVWFVLVALTIISINLKIFQVTSHVTLNGQSIFDYHQKWMIQ FSKVYKDDFEKEMRLKVFKKNLIFIENFNNMGNQSYKLGVNEFTDMTKEEFLATYTGG LQSINVTSLPEVVDQTMSSRKLNFSELLFVKDWRIEGAVTPVKNQRSCGSCWAFSSVA AVEGLTKISGNNLVSLSEQQLVDCTNGCNAGRIDQAFDYMIKNGGISSDSEYPYQAKS GQCRSDARPAIMIKGYERVPFNNENALLDAVLRQPVSVDIDARTDSFRHYKEGVFDAR DCGIDVNHSVALVGYGVTEDGIKYWLVKNSWGENWGEKGYMRIRRMVEWPEGMCGVAQ YAFYPVV" gene <2762667..>2763355 /locus_tag="BRARA_H00298" /db_xref="Phytozome:Brara.H00298" mRNA join(<2762667..2763111,2763186..>2763355) /locus_tag="BRARA_H00298" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00298" CDS join(2762667..2763111,2763186..2763355) /locus_tag="BRARA_H00298" /codon_start=1 /product="hypothetical protein" /protein_id="RID49499.1" /db_xref="Phytozome:Brara.H00298" /translation="MVSVLSVFVALTIISINLMTFQASYNVTLNEKSISDYYQKWMIQ FSKVYKDNFEKEMRFKVFKKNLIFIENFNNMGNQSYKLGVNEFTDMTKEEFLATYTGR LRGINITSLPKVVDQSMSSRKLNFSELFYALLDSVLRQPISVDIDSIVLVGYGVTDDG IMYWLAKNSWGENWGEQGYMRIRRMVEWPEGMCGLSQYANYPVV" assembly_gap 2776914..2786913 /estimated_length=unknown /gap_type="between scaffolds" assembly_gap 2787798..2797797 /estimated_length=unknown /gap_type="between scaffolds" gene 2809126..2809581 /locus_tag="BRARA_H00299" /db_xref="Phytozome:Brara.H00299" mRNA 2809126..2809581 /locus_tag="BRARA_H00299" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00299" CDS 2809213..2809404 /locus_tag="BRARA_H00299" /codon_start=1 /product="hypothetical protein" /protein_id="RID49500.1" /db_xref="Phytozome:Brara.H00299" /translation="MVTMKLEICIELVKLTVDFVAAVAESIEVAFRNRPPPPIPHLAV RNGRRSNHSAIPIPLVGFL" gene 2810969..2813501 /locus_tag="BRARA_H00300" /db_xref="Phytozome:Brara.H00300" mRNA 2810969..2813501 /locus_tag="BRARA_H00300" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00300" CDS 2811043..2813277 /locus_tag="BRARA_H00300" /codon_start=1 /product="hypothetical protein" /protein_id="RID49501.1" /db_xref="Phytozome:Brara.H00300" /translation="MDKPLGTFFILLLISPIVVATINEETSFPENAHLINNLDQKCVD IIKVDPSLKFENDRLKRAYIALQAWKKAIYSDPFKTTKNWVGPDVCSYNGVYCAEALD DPSLKVVAGVDLNHADIAGHLPAELGLITDLAMFHINSNRFCGIIPKSLSKLALMYEF DVSNNRFVGSFPEVSLSWPSLKFLDLRYNEFEGCLPSEIFDKNLDAIFLNNNRFESVI PDTIGKSAASVVTFANNKFSGCIPRSIGQMKNLNEVIFTGNNLTGCFPNEIGSLNNVT VFDASNNGFIGSLPLTLSSLSRVEQLDLSNNKLTGSVVDTFCKLPNLERFKFSYNYFN GEAESCVHGKNNGKQFDDRSNCLKNRPDQKSVNQCVPVVSRPVDCSKDKCSGGSQRGS PPSIKTPEIIPPKPKELVIPKPEESPKPEPQNPLRPETPTTNVQQPIPEHEPPKHESP KPENRTNKPEQPKPETPKPQQPKSEESPKPEQPKINPPEQKESPKNSETPEPVSPPKE DPYNASPVKNRRPPPPPPPKVKEIQVPPPQPPMPSSPPPPVYSSPPPPAPVNSPPPPV ASPPPPSPPPPVNSPPPPPIFSPPPPSPVYSPPPPIHSPPPPPIFSPPPAHIIIQPPI QAPTPVQAPSSESDQSPVSSPIQSPTPIQSPTPSSVLDQPTIDAQSPGQSPTPLNEPA SSPKEAEDRDAPEPSLSTPSPSPSPSENVAPPPENNNHSDLNLPPHIGFEYGSPPPPM FPGY" gene 2819939..2821880 /locus_tag="BRARA_H00301" /db_xref="Phytozome:Brara.H00301" mRNA join(2819939..2820210,2820747..2821014,2821092..2821291, 2821358..2821880) /locus_tag="BRARA_H00301" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00301" CDS join(2820786..2821014,2821092..2821291,2821358..2821606) /locus_tag="BRARA_H00301" /codon_start=1 /product="hypothetical protein" /protein_id="RID49502.1" /db_xref="Phytozome:Brara.H00301" /translation="MDSTHSEFNKRARLFEDHQNKDAKVIHPMIPESTTPLDKGYDAS TTTQNLFTESKPEVATPKVLKKRGRKKKNPNPEEVNSSTPRGDDSENRSKFYESASAR MRTVTAEERERAITAAKAYEPTNPFFRVVLRPSYLYRGCIMYLPSGFAEKYLSGISGF IKIQLGEKQWPVRCLYKAGRAKFSQGWYEFTLENNIGEGDVCVFELLSTRDFVLKVTA FRVNQYV" gene complement(2822282..2823391) /locus_tag="BRARA_H00302" /db_xref="Phytozome:Brara.H00302" mRNA complement(join(2822282..2822528,2822615..2823053, 2823176..2823391)) /locus_tag="BRARA_H00302" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00302" mRNA complement(join(2822282..2822528,2822615..2823056, 2823176..2823391)) /locus_tag="BRARA_H00302" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00302" CDS complement(join(2822524..2822528,2822615..2823053, 2823176..2823310)) /locus_tag="BRARA_H00302" /codon_start=1 /product="hypothetical protein" /protein_id="RID49504.1" /db_xref="Phytozome:Brara.H00302" /translation="MNTTRRASARITSAASQRRQKPEPEPAVKKFIKVILPSTIKEKM MIPARFVRLGPKLTDTVTIQTPVGFKRSIGIKRTGNEVWFDNGWSEFAEAHSISEGHF LYFCSEGNSSFRVMIFDVSASEIDYPMDKVHVIESDDDEVMEVMDTDDGEGFTRVDSS DNDSSDEEAIDLEKLLKKKPRVNVKFETINID" CDS complement(join(2822524..2822528,2822615..2823056, 2823176..2823310)) /locus_tag="BRARA_H00302" /codon_start=1 /product="hypothetical protein" /protein_id="RID49503.1" /db_xref="Phytozome:Brara.H00302" /translation="MNTTRRASARITSAASQRRQKPEPEPAVKKFIKVILPSTIKEKM MKIPARFVRLGPKLTDTVTIQTPVGFKRSIGIKRTGNEVWFDNGWSEFAEAHSISEGH FLYFCSEGNSSFRVMIFDVSASEIDYPMDKVHVIESDDDEVMEVMDTDDGEGFTRVDS SDNDSSDEEAIDLEKLLKKKPRVNVKFETINID" gene complement(2829020..2830172) /locus_tag="BRARA_H00303" /db_xref="Phytozome:Brara.H00303" mRNA complement(2829020..2830172) /locus_tag="BRARA_H00303" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00303" CDS complement(2829119..2830018) /locus_tag="BRARA_H00303" /codon_start=1 /product="hypothetical protein" /protein_id="RID49505.1" /db_xref="Phytozome:Brara.H00303" /translation="MGTFLGHFVPGLSLALLGLWHLFNIIRSYCLKGPETFSAKFWFP FPKLKHLELVLILFFSFFAIILLTIDFPDFNFSSFKPDNLEHASMFLHLIIFACFALF CELTLSSDLFSGIIGILSASVFAQELFLLHFHSTDHLGLEGHYHFLLQLIAFVSFSSA LASASFPKSFSAALVLSVSVMFQGCWFLNMGFMLWVPRYVPRGCVSNTTASDNRSFVH SSAVACESPGAEVRAKALANLQFSWILSAILIITCALCFKFSCKVMLPKNRSSSEYER LCRQGSDRSPALTVEVSPNSDQK" gene complement(2836531..2838432) /locus_tag="BRARA_H00304" /db_xref="Phytozome:Brara.H00304" mRNA complement(2836531..2838432) /locus_tag="BRARA_H00304" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00304" CDS complement(2836918..2838321) /locus_tag="BRARA_H00304" /codon_start=1 /product="hypothetical protein" /protein_id="RID49506.1" /db_xref="Phytozome:Brara.H00304" /translation="MAIQDNHSHNDHYRRLTFASFLKSDSLSTGDEAYHTDGDHNHND NKDAISTNSDSQRPSNASTSDSPIYPLSPWNQTYYPPNDNTTAFSSTNQSPWNQTCSP YHKSPWIYQTRNSDFEDDPDNGLVGTIVRQEGHVYSLAASGDLLFTGSDSKNVRVWKD LKDLSGFKSTSGFVKAIVITGDNRVFTGHQDGKIRVWRGSKRNPERYSRVGSLPTLKE FLTKSVNPKNYVETRRKKNKLKIRHFDAVSCLTVNEDLGLLYSGSWDKTLKVWRLSDS KCLESIEAHDDAVNTVVAGFDDLVFTGSADGTLKVWKRELQGKETKKHVLVHVLMKQE SAVTALAVNLNDAVVYCGSSDGSVNFWERKKYLTHGGTIHGHRMAVLCLTSAGSLLLS GGADNNICVWRRNGDGSHTCLSVLMDHDGPVKCLTAVEEAQDDVDDGDKADQRWIVYS GSLDRSVKVWRVTDYAS" gene complement(<2867144..>2868208) /locus_tag="BRARA_H00305" /db_xref="Phytozome:Brara.H00305" mRNA complement(<2867144..>2868208) /locus_tag="BRARA_H00305" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00305" CDS complement(2867144..2868208) /locus_tag="BRARA_H00305" /codon_start=1 /product="hypothetical protein" /protein_id="RID49507.1" /db_xref="Phytozome:Brara.H00305" /translation="MQSNEEFVKFLGEGAYGYVNLVRYTNPDDGSSFLSAVKNSYDAD YDTLQKELEILLKLRGCPRIVTCFGDSLRQGLSNYGNKVHKLQLEYASEGSLSAFMDR YADRKLPEPLIKDFTRMILEGLVSIHDHGYVHCDIKPDNLLVFPSRQDSYELKISDFG NTLEVGEVPKFWESEFPWVGTPIYMPPESVRDGFANKGIDLWSVGCLVLEMYTGVIPW EGVNINLLATRLRCGKAPEIPENLPSDAKAFIETCFSRNPEERGSACELLLHPFLPRP QIEEEEKKTKNSFLLKLFKLRIRRTSSNKIPTADVVAVSDKKPLKLRFFPTKTTQFKR TLHKVLRLKKSTHFNLVSVH" gene 2869840..2871152 /locus_tag="BRARA_H00306" /db_xref="Phytozome:Brara.H00306" mRNA join(2869840..2870081,2870872..2871152) /locus_tag="BRARA_H00306" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00306" CDS 2869902..2870066 /locus_tag="BRARA_H00306" /codon_start=1 /product="hypothetical protein" /protein_id="RID49508.1" /db_xref="Phytozome:Brara.H00306" /translation="MFSGMFMEKPDKAVALKQLRTHVALFGGWVVAIRAVPYVLSYFS DSKEELKLDF" gene 2886722..2888017 /locus_tag="BRARA_H00307" /db_xref="Phytozome:Brara.H00307" mRNA join(2886722..2887088,2887156..2887288,2887377..2888017) /locus_tag="BRARA_H00307" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00307" CDS join(2886958..2887088,2887156..2887288,2887377..2887568) /locus_tag="BRARA_H00307" /codon_start=1 /product="hypothetical protein" /protein_id="RID49509.1" /db_xref="Phytozome:Brara.H00307" /translation="MVEVPGSVGTSASLSLRLGQTFLAFGALLFMTIGVRFYQFTAFC YLVTIMALAIPWNLTLAMVDIYCVLLNQPFQKPRILLAISIGDWVVSVLALASASSAA SVVDLLRSDESVCPPTICNRYQFAATLAFLTWFLSLSSSLFNLWLLPSL" gene complement(<2889840..2892194) /locus_tag="BRARA_H00308" /db_xref="Phytozome:Brara.H00308" mRNA complement(join(<2889840..2889932,2890041..2890124, 2890197..2890353,2890457..2890722,2890812..2891084, 2891275..2891386,2891472..2891691,2891759..2892194)) /locus_tag="BRARA_H00308" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00308" CDS complement(join(<2889840..2889932,2890041..2890124, 2890197..2890353,2890457..2890722,2890812..2891084, 2891275..2891386,2891472..2891691,2891759..2892095)) /locus_tag="BRARA_H00308" /codon_start=1 /product="hypothetical protein" /protein_id="RID49510.1" /db_xref="Phytozome:Brara.H00308" /translation="MIVTLNPKILHFSKLNPQCPRPRPSPRFHRTTNCKLQNPQDANK TGGITKTISLSDSAPPVTEEGSAKGGGNGGGGGGGGFLKRLPRKVLSVLSNLPLAITE MSTIAALMALGTVIEQGETPEFYFEKYPEDSPVLGFFTWRWIFALGFDHMYSAPIFLG MLALLAASLMACTYTTQIPLVKVARRWSFMKSDESIKKQDFAESLPRASIQDLGVILM GDGYEVFMKGPSLYAFKGLAGRFAPIGVHIAMILIMVGGTLSATGSFRGSVTVPQGLN FVMGDVLAPIGFLSVPTDSFNTEVHVNRFTMDYYDSGEVSQFHSDLSLRDLNGKEVVR KTISVNDPLRYGGVTIYQTDWSFSALQVSKDGEGPFNLAMAPIKINGDKKLYGTFLPV GDTNAPNVKGISMLARDLQSIVVYDLEGKFAGIRRPNSKLPIEIDGMKIVIEDAIGST GLELKTDPGVPVVYAGFGALMLTTCISYLSHSQIWALQNGTTLVVGGRTNRAKNEFPD DMNRLL" gene complement(<2914847..>2915908) /locus_tag="BRARA_H00309" /db_xref="Phytozome:Brara.H00309" mRNA complement(<2914847..>2915908) /locus_tag="BRARA_H00309" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00309" CDS complement(2914847..2915908) /locus_tag="BRARA_H00309" /codon_start=1 /product="hypothetical protein" /protein_id="RID49511.1" /db_xref="Phytozome:Brara.H00309" /translation="MDDESRRGNLRRRARQINGEDVDRPTNRRRQNELQNDGVQAGDH VEPLPLELSLGSTSHSSQIPPMTTSSLPLAPPSPFALPLTTSSLPLAPASPFAPPMTT WQTSGSIAQYFASSQSHYMTYPPYLSNSIYQTRPSTPMQPPFGQSFNLFPSSSFVPTR PVMRPAASGAVSRRSYRSQSSVNRNDDTIPPPFPWATNKRGWIQSLENLASKQITTIT GEVQCKHCEKVYQVSYNLREKFSEVENIFVMGKWIMRERAPPIWTNPEPARCDLCGRD KAVKPVIAERKYQINWLFLLLGQTLGFCTLEQLKNFCKHSRSHRTGAKNRVLYLTYLG LCKMLEPNRELFQRETARR" gene complement(2925522..2926902) /locus_tag="BRARA_H00310" /db_xref="Phytozome:Brara.H00310" mRNA complement(join(2925522..2926395,2926805..2926902)) /locus_tag="BRARA_H00310" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00310" CDS complement(join(2925610..2926395,2926805..2926867)) /locus_tag="BRARA_H00310" /codon_start=1 /product="hypothetical protein" /protein_id="RID49512.1" /db_xref="Phytozome:Brara.H00310" /translation="MASLRFSVTFPALLSLLLLSLWVVEAYTSRKLISNNEQEGQNIS HLFKDGEFEDPTMYMFFKISDLKLGTKLPIYFNKNDLRKVPPLLTRQEADLIPFSESN LDFLLNHFSISKDSPQGKAMKETLKRCDFKAIEGEYKFCGTSLESMLDLAKKTIASNA DLKVMTTKVMVPDQNRISYALHNYTFAEVPKELDGIKVLGCHRMPYPYVVYYCHGHKS GTKVFEVNLMSDDGIQLVVGPAVCHMDTSMWNADHVAFKVLKIEPRSAPVCHFFPLDN IVWVSK" gene 2941611..2942277 /locus_tag="BRARA_H00311" /db_xref="Phytozome:Brara.H00311" mRNA join(2941611..2941802,2941904..2942277) /locus_tag="BRARA_H00311" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00311" CDS join(2941746..2941802,2941904..2942092) /locus_tag="BRARA_H00311" /codon_start=1 /product="hypothetical protein" /protein_id="RID49513.1" /db_xref="Phytozome:Brara.H00311" /translation="MKQQRFLVAFFVVLFSFLLFVYLSEGKSEVAEDYWKKMMKSEPL PEPIKDILNNPFRTGQERFAKNFNTKSVVIIYHNPNV" gene complement(2945876..2947520) /locus_tag="BRARA_H00312" /db_xref="Phytozome:Brara.H00312" mRNA complement(join(2945876..2946134,2946213..2946439, 2946514..2946660,2946802..2946901,2947023..2947049, 2947331..2947520)) /locus_tag="BRARA_H00312" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00312" CDS complement(join(2946068..2946134,2946213..2946439, 2946514..2946660,2946802..2946901,2947023..2947049, 2947331..2947383)) /locus_tag="BRARA_H00312" /codon_start=1 /product="hypothetical protein" /protein_id="RID49514.1" /db_xref="Phytozome:Brara.H00312" /translation="MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGAD FLTKEVHYEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSAKSFEDLNNW REEFLIQASPSDPENFPFVVIGNKIDVDGGNSRVVSEKKARAWCASKGNIPYYETSAK EGTNVEDAFMCITKNAMKSGEEEEMYMPDTIDVGTSNPQRSTGCEC" gene complement(<2949005..>2949595) /locus_tag="BRARA_H00313" /db_xref="Phytozome:Brara.H00313" mRNA complement(<2949005..>2949595) /locus_tag="BRARA_H00313" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00313" CDS complement(2949005..2949595) /locus_tag="BRARA_H00313" /codon_start=1 /product="hypothetical protein" /protein_id="RID49515.1" /db_xref="Phytozome:Brara.H00313" /translation="MADHDEEETHHHQRPPYIPKETAVQALNTIIQLHFEKTLEKKRA IDNQKKKLHNLFTLFFIFLAVVFASLSQPSSRLQCRHCWAPICFLSFSHLIFYISVAQ TLRCINGFKYQRRCHKLTLGLATEKLRFVKTVAATAVGEEEISAAAAAGDLEVPYQEP SESYLGKFKRNWALYFGFLILLYAFLVSFSVVVLCF" gene complement(<2952053..>2952286) /locus_tag="BRARA_H00314" /db_xref="Phytozome:Brara.H00314" mRNA complement(<2952053..>2952286) /locus_tag="BRARA_H00314" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00314" CDS complement(2952053..2952286) /locus_tag="BRARA_H00314" /codon_start=1 /product="hypothetical protein" /protein_id="RID49516.1" /db_xref="Phytozome:Brara.H00314" /translation="MSSRSSSSSAREVELQKEAKRHEVALDELSCLSSSRSVYQKNGN LFFLTTAKKVKIDAEKQLDHAKSELAKIRSQTR" gene <2955811..>2956555 /locus_tag="BRARA_H00315" /db_xref="Phytozome:Brara.H00315" mRNA join(<2955811..2956026,2956028..>2956555) /locus_tag="BRARA_H00315" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00315" CDS join(2955811..2956026,2956028..2956555) /locus_tag="BRARA_H00315" /artificial_location="low-quality sequence region" /codon_start=1 /product="hypothetical protein" /protein_id="RID49517.1" /db_xref="Phytozome:Brara.H00315" /translation="MSKLGNTLLSRLVIYLLVLPLLVSAAAEEENDFSCSVPVNSATT LKYKIIAIFSTLIVGVFGVCSPIFGLDLLKISNYFSLVTGSICLIAPFLHILPDAIES LTSSCLGDEPAWGDFPMVGVVSMSAMILTMIESFASSYMKRLKSIAFENKEEENKKGD LIHVHNQRQHDHNNIRRKLLTHVLESGIVVHLIIVGIALGASSSVSTIKPFIGAITIR QLLEGVRLGRCIRLFKRCSCRNIILHGDF" gene 2957242..2958085 /locus_tag="BRARA_H00316" /db_xref="Phytozome:Brara.H00316" mRNA 2957242..2958085 /locus_tag="BRARA_H00316" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00316" CDS 2957807..2958022 /locus_tag="BRARA_H00316" /codon_start=1 /product="hypothetical protein" /protein_id="RID49518.1" /db_xref="Phytozome:Brara.H00316" /translation="MRAAVWLLSASDGAKLEHIKAHLIIELSPSEQRNAHKRTDRTIT TFASKLLSSTYGDGVGLGDCSSSNLLE" gene complement(<2962099..>2962779) /locus_tag="BRARA_H00317" /db_xref="Phytozome:Brara.H00317" mRNA complement(<2962099..>2962779) /locus_tag="BRARA_H00317" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00317" CDS complement(2962099..2962779) /locus_tag="BRARA_H00317" /codon_start=1 /product="hypothetical protein" /protein_id="RID49519.1" /db_xref="Phytozome:Brara.H00317" /translation="MSANELPSSAQAFQEQLLGGFVSRKLLMHNLFDHNTLRALAVPP SPPVTHENNLSETVLMLLSVLICGIICCLVLHYIIRCAFRSCSSFMISDSTSIFLTPH SSSNKGIKKKALKMFPVVSYTHEMNLSGIGEECVICLSDFVCDEKLRLLPKCNHGFHV RCIDKWLQQHLTCPKCRHCLVETCQKILGDSSQADQVTETASTESVFVRISPLEPEGR VNTFRESS" gene complement(<2965018..>2965689) /locus_tag="BRARA_H00318" /db_xref="Phytozome:Brara.H00318" mRNA complement(<2965018..>2965689) /locus_tag="BRARA_H00318" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00318" CDS complement(2965018..2965689) /locus_tag="BRARA_H00318" /codon_start=1 /product="hypothetical protein" /protein_id="RID49520.1" /db_xref="Phytozome:Brara.H00318" /translation="MSANKLPSSAQEFQELVRGGFVSRKLLLHNPFDHNPQGAFAVAP SPHITDENNLSGNVLMLLSVLVCGVICCLGLHYIIRCAFRRSPSFMISEPVSSIPTRR GSPNKGIKKKALNMFPVVTYSREMNLPGLGEECVICLSDFVCGEKLRLLPKCNHGFHV RCIDKWLKQHLTCPKCRHCLVETCQKILGDSSQVTATAPTESLTVRIAPLEPEGRVNT YREST" gene complement(<2986934..>2988668) /locus_tag="BRARA_H00319" /db_xref="Phytozome:Brara.H00319" mRNA complement(join(<2986934..2987371,2987465..2987553, 2987640..2988134,2988235..2988387,2988491..>2988668)) /locus_tag="BRARA_H00319" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00319" CDS complement(join(2986934..2987371,2987465..2987553, 2987640..2988134,2988235..2988387,2988491..2988668)) /locus_tag="BRARA_H00319" /codon_start=1 /product="hypothetical protein" /protein_id="RID49521.1" /db_xref="Phytozome:Brara.H00319" /translation="MSIAHITEAGDKALFLQQETSEINSPLNEFPPSTNVLVVDANLS TLLDMKEIMERCAYHVTAYADSEEAIAFLTKCKHEINIVIWDYHMPGINGLQALAIIG SKMDLPVVIMSGDDQTESVMNAMVHGACHYVMKPVRKEIIATIWQHIVRKRMMSKPGL VPPVVVHGDCSKQEKDDSVTVDQDDSEESIDKIEEKATQKQTMICIEETQPMQSHLVK SNGSDQDDDDSRSVRNYNYEQSIDKKKERYLKRPRISWTGDLQQKFLEAIDIVGGPKT EASPKVLLKCLHDMNIEGLTRNNVSSHLQKYRLSLEENKIPQQFPETGWSSLSRPSPF LGMNNGFIAPTSLRNWPAVYPVQDNQYQNGYLAINNNQFVTNNMPGFPYSENDHHLQQ QHQQRQYQLSNHVMNYMMRNEPQQAYNSIGLTDLEPNIYPSLPYDPNEFLFDGYNFSN " gene 3002330..3004491 /locus_tag="BRARA_H00320" /db_xref="Phytozome:Brara.H00320" mRNA join(3002330..3002710,3002780..3002838,3003227..3003291, 3003380..3003473,3003548..3003628,3003701..3003812, 3003901..3004491) /locus_tag="BRARA_H00320" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00320" CDS join(3002380..3002710,3002780..3002838,3003227..3003291, 3003380..3003473,3003548..3003628,3003701..3003812, 3003901..3003953) /locus_tag="BRARA_H00320" /codon_start=1 /product="hypothetical protein" /protein_id="RID49522.1" /db_xref="Phytozome:Brara.H00320" /translation="MDEYKAKSKLSESSTSTVWLAKHMLTGEEAVMKCFDLSKLNHNL RICLENELDFLSSVDHPNIIRLLQVLQEKDLLVMILEYCDGGTLSSYIKRHGRVQQHI ARRFMKQIGAGLEIIHDNHIIHRDLKPENILLAGSGDESVLKIADFSISRKLLPGKYL ETVCGSPFYMAPEVLQFQRYNEKADMWSVGAILFELLHGYPPFSGRNNVQVLRNIKSS LSCPFSRLIVQQLHPDCIDVCSRLLSTNPVTRLSFDEFYKHKFLSI" gene <3014239..>3018255 /locus_tag="BRARA_H00321" /db_xref="Phytozome:Brara.H00321" mRNA join(<3014239..3014370,3015323..3015548,3015633..3015878, 3015954..3016004,3016088..3016147,3016211..3016348, 3016446..3016613,3016698..3016952,3017148..3017670, 3017733..>3018255) /locus_tag="BRARA_H00321" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00321" CDS join(3014239..3014370,3015323..3015548,3015633..3015878, 3015954..3016004,3016088..3016147,3016211..3016348, 3016446..3016613,3016698..3016952,3017148..3017670, 3017733..3018255) /locus_tag="BRARA_H00321" /codon_start=1 /product="hypothetical protein" /protein_id="RID49523.1" /db_xref="Phytozome:Brara.H00321" /translation="MAEIGEREETIEGRNVGAMWDLEQKLDQPMDEEAHKLKNMQKEK GLSTLMLLRLAFQSLGIVYGDLGTSPLYVFYNTFPDGINDTEDVIGALSLIIYSLLLI PLIKYVFIVCKANDNGQGGTLAIYSLLCRNGKLKLIPDQQRSDQELTTYGRTFLPEGS MAAKTMNWLEKKDSRKRALLIIVLVGTCMTIGDGIFTPAISVLSATGGIKVNNPQMSS DTVVIVAVLILVGLFSMQQYGTGLYNICIYDTSVLKAFSPTYVYMYFRRRGRDGWVSL GGILLSITGTEALYDDIAYFPLLAIQLAFTLFVFPCLLLAYCGQAAYLVKNKDNYANA FYESIPDSIYWPMFIVATGAAVVGSQATISGTYSIIKQAVAHGCFPPVKVVHTSKKFL GQIYSPDINWILMVGCIAVTARFKNQNQIGNAYGTAVAVVMLVTTVLMVLLMLLVWRC NWFLILVFTVLSLMVEGAYFSAVLLKVNQGGWLPLVIAAVLLVVMLVWNYVKIKRYEF QVHSKVSMSWIISLGPSLGLVRVPGIGIVYSELASGVPHIFSHVITNLPAIHSVVVFV CVKYLPVYTVPEEERFLVKRIGSKTFRMFRCVARYGYKDLQKKDDDFENKLFNNLFSF IQMERMMEPVSNSSNSSSASICSQPHQSREVLINNNDMDMFSSMVDYTVSTLDTIIPL DIPINAASYYPDRAVGEEETNELEFLKSGRDSGVVHIQGNTVVKARRNSSLPKKIAIN YVYAFLKKICRGNNVIFNVPHESLLNVGQVFYV" gene complement(3021483..3022773) /locus_tag="BRARA_H00322" /db_xref="Phytozome:Brara.H00322" mRNA complement(join(3021483..3022135,3022228..3022773)) /locus_tag="BRARA_H00322" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00322" CDS complement(join(3021649..3022135,3022228..3022727)) /locus_tag="BRARA_H00322" /codon_start=1 /product="hypothetical protein" /protein_id="RID49524.1" /db_xref="Phytozome:Brara.H00322" /translation="MPTTRLTQRREKKKNRESERVKRLKQNLMESVAVTWSREEEKSF ENAIAMHCVEEEITEDQWMKMASMVPTKSLQEVKNHYQMLLEDVKAIESGQVPLPRYQ RTGEEAAATSPANRDCHSSGGGGSTEKKPNHGVSGISSSNGGGRSSSKLEQERKKGIP WTQEEHRLFLLGLEKFGKGDWRSISRNYVITRTPTQVASHAQKYFIRLNSMNRDRRRS SIHDITSVNNQAPAVTGQQQQQQQVVKHRPAQPQPQPQHHTMAGLGMYGGAPVGQPII APPDHMGSAVGTPVMLPPPMGTHHHIGVAPYAVPSYPVPPLPQQHPAPSTMH" gene complement(<3028111..>3028620) /locus_tag="BRARA_H00323" /db_xref="Phytozome:Brara.H00323" mRNA complement(join(<3028111..3028380,3028444..>3028620)) /locus_tag="BRARA_H00323" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00323" CDS complement(join(3028111..3028380,3028444..3028620)) /locus_tag="BRARA_H00323" /codon_start=1 /product="hypothetical protein" /protein_id="RID49525.1" /db_xref="Phytozome:Brara.H00323" /translation="MDHMKELIAVHKPIMLDGSNFGDWKVKIRYIICGIDEEAWASIF NGWTERTLMNEKRKQAISTIFSTVYIDQFKIIQYCESAKDSWNTLVNYFEGDSSVKRT RLDHLETKWENLRMEEDEPLGSFTNKLNMIENEAAVLGEKYKKEAC" gene <3038503..>3038805 /locus_tag="BRARA_H00324" /db_xref="Phytozome:Brara.H00324" mRNA <3038503..>3038805 /locus_tag="BRARA_H00324" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00324" CDS 3038503..3038805 /locus_tag="BRARA_H00324" /codon_start=1 /product="hypothetical protein" /protein_id="RID49526.1" /db_xref="Phytozome:Brara.H00324" /translation="MKKHPKPCSFLFHISLLSVLFVFLLVSFAFTTSYTRKSGIGLSH KRILASNFDFTPFIKIKDRTQRQRRRRSQSLAGEETGSWYNDEERLVPSGPNPLHH" gene 3050782..3051334 /locus_tag="BRARA_H00325" /db_xref="Phytozome:Brara.H00325" mRNA 3050782..3051334 /locus_tag="BRARA_H00325" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00325" CDS 3050809..3051099 /locus_tag="BRARA_H00325" /codon_start=1 /product="hypothetical protein" /protein_id="RID49527.1" /db_xref="Phytozome:Brara.H00325" /translation="MRSPRPKEFLMTLSHKAMTMVGRKNTCYSGGYKPEKKRAGAMEE EEEHGLWQREILMGGKCEPLDFSGVIYYDCNGRQLRDAPPRSPRRTPLPIRS" gene complement(<3051956..>3052456) /locus_tag="BRARA_H00326" /db_xref="Phytozome:Brara.H00326" mRNA complement(<3051956..>3052456) /locus_tag="BRARA_H00326" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00326" CDS complement(3051956..3052456) /locus_tag="BRARA_H00326" /codon_start=1 /product="hypothetical protein" /protein_id="RID49528.1" /db_xref="Phytozome:Brara.H00326" /translation="MADRTSPSHIQQRPYGSTIAPPRGNNINHPIASFLRQLQSQSPE HSRQRFGLLAFFISGGILLLLTGITVTAFVLGFIAFLPVIVISSPIWIPLFLLVTGFL SVAGFLFSTAVVMSWMYRYFKGMHPVGSEQVDYARSRIYDTAAHVKDYAGGYFHGKLK DAAPGA" gene 3065760..3068804 /locus_tag="BRARA_H00327" /db_xref="Phytozome:Brara.H00327" mRNA join(3065760..3066363,3066570..3066698,3066920..3067266, 3067350..3068094,3068183..3068279,3068356..3068410, 3068498..3068804) /locus_tag="BRARA_H00327" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00327" CDS join(3065826..3066363,3066570..3066698,3066920..3067266, 3067350..3068094,3068183..3068279,3068356..3068410, 3068498..3068560) /locus_tag="BRARA_H00327" /codon_start=1 /product="hypothetical protein" /protein_id="RID49529.1" /db_xref="Phytozome:Brara.H00327" /translation="MDPRRGPLAVPKVRRVGFFTSIEPSPETPRPNRSLSGPAEAITS SSPLSDSPSGQFISPVQIPPSRHHSDNLASRAAPVPVPGPSAFRRQLANDRALHVGSY NPVDSLLGTSPPSSNGEVSEDSGSLFGFQRSDSAKLSASFPNGGFDMTMRVRAPQESE AKVAIASTSDGRKKNVEASGEGESVAAKPRKEKETKSLKEKTSKAERRAIQEAQRAAK AAAKGEGSKRAGESSRPKPSKPAKQPQPKKEAPQVTSSVSEKRAVSVEKERRMDVPQT QMQYDDKSRVDKAKRRSVVEQTESKNKVELFLHLPQYERGNQLPNLSSNIFSLDTIHH AVYKVGLQHLAGDIAGDNARCIAMLHAFQEAINDYTTPPMKDLTMDLTAKINGYVSFL IECRPLSMSMGNAIRFLKNQIRKLPVDLSEPEAKASLCSEIGRFIDEKILLADKVIVQ HAVTKIRDGEVLLTYGFSCVVEMILLYAHEIGRKFRVVIVDSRPNLEGQKLLRRLVTR GLDCTYTHINAVSYIMGEVTRVFLGASSIFSNGTLYSKVGTACVAMVANAFSVPVIVC CEAYKFHERVLLDSICSNELGDPDAIANVPLRNNKKHSKTMDNNKNLQFLNLMYDSTP AEYISMIVTDYGMIPPTSIPVIVREYRREDLLL" gene complement(3068991..3070268) /locus_tag="BRARA_H00328" /db_xref="Phytozome:Brara.H00328" mRNA complement(join(3068991..3069266,3069426..3069611, 3069693..3069814,3069891..3070268)) /locus_tag="BRARA_H00328" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00328" CDS complement(join(3069152..3069266,3069426..3069611, 3069693..3069814,3069891..3070118)) /locus_tag="BRARA_H00328" /codon_start=1 /product="hypothetical protein" /protein_id="RID49530.1" /db_xref="Phytozome:Brara.H00328" /translation="MGDVRRIVVVVEDKEAARTALQWALHNLFRQGDIIVLLHVISPP PRKKKSTAARLLRRHGYHLALSFREICDAFFNTNTEIIVREGDEDGRTIAEVVKELGA SMILVGLHQNSFLYRWAMSGIDVTRNLGCKVMAIKQPSSEESPPGKVKGRRMTSHTTA TSDSLTNFDFSQIEISGLQVPEIRTPKVPYRLCPSPRAILWRTRPRRSKARYAVVS" gene complement(3071953..3075132) /locus_tag="BRARA_H00329" /db_xref="Phytozome:Brara.H00329" mRNA complement(join(3071953..3072245,3072549..3072724, 3072893..3073634,3073950..3074284,3074365..3074488, 3074560..3074782,3074896..3075132)) /locus_tag="BRARA_H00329" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00329" CDS complement(join(3072159..3072245,3072549..3072724, 3072893..3073634,3073950..3074284,3074365..3074488, 3074560..3074782,3074896..3074990)) /locus_tag="BRARA_H00329" /codon_start=1 /product="hypothetical protein" /protein_id="RID49531.1" /db_xref="Phytozome:Brara.H00329" /translation="MAQDSEKRFHQIMNKLFTPSKSPLPSSPSSTSSPVEQQSRGKKR PNPSSALALVEPKTALATTIDRSLKAPATGTSQSGLCRPWDRGDLMRRLASFKSMTWF AKPQVISALNCARRGWVNADTDTISCESCGAHLYFSAPASWSKQQVEKAASVFSLKLD NGHKLLCPWIENSCEETLSEFPSMTPQDLVDRHEERSEALLQLLALPVISPSAIDYMK SSDLEEYLRRHIASGDTTAECSQTESLINHVGASPAQLFYQAQKLISLCGWEPRALPY IVDCKDKSGEAAKGTDTIDLLPETATRELLSSSSSTSNPNGVSENSENPVVPDTLNSD PSSVVLDCKLCGACVGLWVFSTVPRPLELCRVTGDTEVNTEKNSRDGTLQRQTSSLQF TIAGGPPATKQNFKATISLPIVGRNLRSRFASYSRDRDHGTDNSIQDQQCRTPERNGG GIENSDQDMIDVGEKADGGRNASDLVSNTTPQSKDKQLMVVTSSLPENYKPKDSTGDT GKSNKQMEFDPINQHRHFCPWIWSTGRRGPGWRQTLSALQRQKGSCQTPPSPSSIFKV DDPLTSVRNLFKSPSPKKARLNRGSSS" gene complement(<3077209..3090199) /locus_tag="BRARA_H00330" /db_xref="Phytozome:Brara.H00330" mRNA complement(join(<3077209..3077261,3078966..3079297, 3079344..3079624,3079699..3079819,3079905..3079990, 3080226..3080354,3080448..3080633,3080726..3080821, 3080927..3081052,3081108..3081191,3089492..3089572, 3089727..3089878,3089986..3090034,3090119..3090199)) /locus_tag="BRARA_H00330" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00330" CDS complement(join(3077209..3077261,3078966..3079297, 3079344..3079624,3079699..3079819,3079905..3079990, 3080226..3080354,3080448..3080633,3080726..3080821, 3080927..3081052,3081108..3081191,3089492..3089572, 3089727..3089878,3089986..3090034,3090119..3090142)) /locus_tag="BRARA_H00330" /codon_start=1 /product="hypothetical protein" /protein_id="RID49532.1" /db_xref="Phytozome:Brara.H00330" /translation="MGKKSATKVEAAPVAIKATKPLKKGKREAEDDVDTKVSLKKQKK DVIAAVKKEKAVKKVPKKVESSSESSDSEEEAKKVPAKKVESSSDSSSESSDSEEEVK AKKVPAKKAPAKADSSSEESSDDSSSDDRLSKTFNVLSLKEPVVAKKATNGKVAKKTK DDSSSEEESSDDKPAAAAKNGSVKAKSESSSEEEDSSDEESSDEEPATKKPVAAKKPA AKAKDSSSSDDDDSSEYKSEDEKPAAKKAAPATKAASSSESSDEDSDEESEDEKPAPK KAITKAAKKDSSSGESDSDESESEDEKETPKKKPKTPATPATGGPKTLFAGNLSFQIE RSDVETFFKEAGEVVDVRFATNKDDGSFRGFGHVEFASSEDAQKALELNGRALLGRDI RLDMAAERGDRPAYNTPQSGGGNFRSGGGGGEGQKIFVKGFDSSLPEEDIRQALTQHF ASCGEITRVSIPMDRETGASRGWLTKTIVLKCIIAYIDFKEGAEKAYDLNGTELGGWN IVVDEAKPRDSSGGGGFSGGGGGRFSGGGGGRFGSGRGRDSGRGRFGSGRNGGGRFGR DNGGGRGFSEPSFTSSVVLIPGVAKPQPKKVHP" gene 3106910..>3109845 /locus_tag="BRARA_H00331" /db_xref="Phytozome:Brara.H00331" mRNA join(3106910..3107253,3107333..3107432,3107503..3107656, 3108255..3108405,3108488..3108547,3109741..>3109845) /locus_tag="BRARA_H00331" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00331" CDS join(3107023..3107253,3107333..3107432,3107503..3107656, 3108255..3108405,3108488..3108547,3109741..3109845) /locus_tag="BRARA_H00331" /codon_start=1 /product="hypothetical protein" /protein_id="RID49533.1" /db_xref="Phytozome:Brara.H00331" /translation="MVLAELGGQIASALQKMSNVTIIDEKALNECLKEITRALLHSDV SFPLVREMQNNIKKIVNLEELAAGHNKRRIIEQAIFSELCKMLDPGKPAFSPKKAKPS VVMFVGLQGAGKTTTCTKYAYYHQKKGYKPALVCADTFRAGAFDQLKQNATKARIPFY GSYTESDPVKIAVEGVDTFKKENCDLIIVDTSGRHKQEATLFEEMRQVAEATKPDLVI FVMDSSIGQAAFDQMLKQFGGMGGLQSLMKQMGSGKDMMGMFGGGKDK" gene complement(3111086..3113341) /locus_tag="BRARA_H00332" /db_xref="Phytozome:Brara.H00332" mRNA complement(join(3111086..3111383,3111464..3111737, 3111810..3111968,3112048..3113341)) /locus_tag="BRARA_H00332" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00332" CDS complement(join(3111375..3111383,3111464..3111737, 3111810..3111968,3112048..3112931)) /locus_tag="BRARA_H00332" /codon_start=1 /product="hypothetical protein" /protein_id="RID49534.1" /db_xref="Phytozome:Brara.H00332" /translation="MSSFTKSTSFNRRALSSLSVESPRSTSSTAFNSPIGSAFASPRT FGGSPRPYTNRLKEISYLFQVLIVAGTLVSFLVIIAGGYLYVVPSLGYNGALQFNDTS VIINSKECDIFDGSWVVDASYPLYNASECPFVERGFNCLGNGRGHDEYLKWRWKPKHC SVPRFQVRDVLERLRGKRIVFVGDSMSRTQWESLICMLMTGLDDKRSVYEVNGNNITK RIRFLGVRFSSFNFTVEFYRSVFLVQPGKLLRWHAPKRVKSTLKLDVLDVINNEWSSA DYLVFNTGQWWVPGKLFETGCYFQVGNSLRLGMSIPSAYKVALETWASWIETQIDPNK TRVLFRTFEPSHWSDHRSCNVTKYPTPDTQGRDRSIFSEMIKEVVKNMTVPVSVLDVT SMSAFRSDGHVGLWSDNPLVPDCSHWCLPGVPDIWNEILLFFLFRQQVP" gene <3122657..>3123136 /locus_tag="BRARA_H00333" /db_xref="Phytozome:Brara.H00333" mRNA <3122657..>3123136 /locus_tag="BRARA_H00333" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00333" CDS 3122657..3123136 /locus_tag="BRARA_H00333" /codon_start=1 /product="hypothetical protein" /protein_id="RID49535.1" /db_xref="Phytozome:Brara.H00333" /translation="MSTFKIITLKSCDDKIFEVEEAVAVQSQMIAHMVEDDCIDGEIP ISNVTGAILAKVIEYCEKHLVNPDVDGDSSSSTEDELKNWDAEFMKNMDREMLFALIM AANYLNTKDLLDLICQTIADMIKGFSVDEVRTFFNIQNDFTPEEEAEIRAETEWAFE" gene 3133556..3136535 /locus_tag="BRARA_H00334" /db_xref="Phytozome:Brara.H00334" mRNA join(3133556..3133845,3133981..3134131,3134211..3134287, 3134362..3134420,3134555..3134638,3134752..3134844, 3135071..3135151,3135283..3135414,3135501..3135603, 3135675..3135769,3135852..3135917,3136004..3136088, 3136174..3136535) /locus_tag="BRARA_H00334" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00334" CDS join(3133710..3133845,3133981..3134131,3134211..3134287, 3134362..3134420,3134555..3134638,3134752..3134844, 3135071..3135151,3135283..3135414,3135501..3135603, 3135675..3135769,3135852..3135917,3136004..3136088, 3136174..3136337) /locus_tag="BRARA_H00334" /codon_start=1 /product="hypothetical protein" /protein_id="RID49536.1" /db_xref="Phytozome:Brara.H00334" /translation="MASSLTSKSILGSTKPGYSSLSPELRRLSSPAVKISIRTQTKKS LQIQATGSSYGTHFRVSTFGESHGGGVGCIIDGCPPRIPLSESDLQFDLDRRRPGQSR ITTPRKETDTCRISSGVSEGMTTGTPIHVFVPNTDQRGLDYSEMSVAYRPSHADATYD MKYGVRSVQGGGRSSARETIGRVAPGALAKKILKQFAGTEILAYVSQVHQVVLPEDLV DHENLTLEQIENNIVRCPNPEYAEKMIAAIDAVRTKGNSVGGVVTCIVRNAPRGLGTP VFDKLEAELAKACMSLPATKGFEFGSGFSGTFLTGLEHNDEFYTDENGRIRTKTNRSG GIQGGISNGEIINMRVAFKPTSTIGRKQNTVTRDKKETEMIARGRHDPCVVPRAVPMV EAMVALVLVDQLMAQYAQCHLFPINPELQEPLRTASPVELEQPQNAAAL" gene complement(<3140049..>3141338) /locus_tag="BRARA_H00335" /db_xref="Phytozome:Brara.H00335" mRNA complement(<3140049..>3141338) /locus_tag="BRARA_H00335" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00335" CDS complement(3140049..3141338) /locus_tag="BRARA_H00335" /codon_start=1 /product="hypothetical protein" /protein_id="RID49537.1" /db_xref="Phytozome:Brara.H00335" /translation="MAKIIRDVLMLRENQAPKVGASLSRSEPRKILMVGIKPHIPDLN VKPCSDSDEKEKREIAKEFQNLVGLKTHDACYVNHKLLYELEVEIIARLPCFEYWKLQ FLNKKFLQLLKSCEIFRVRQEKELVKPHMILHSGAVSNWEMFDKDFKTFRRLPKVPSS DYCFFHSDKETISVGTQLIVIGREIDGIVVFRYELENHKWFKGPSMITPRAMYGSTSH GKTAFFAGGIKMDENGNPVVVQTVEKYNADTKRWTMINGMHKARKFSSGCFLRGKFYV FGGRHDNDKHLTCGESYDETTNSWELIPDMLKDMTVIAHSQSPPLIAVVDDNLYMLET SLNELRVYDINTNIWKKLGVVPVSANVAFGWGIAFKSMGDRLLVIGTSHSWHKKTVVH SCRPSPDVEEQHWEEIKHWCVGAELPQFIHNCCVMFA" gene complement(<3144859..3146031) /locus_tag="BRARA_H00336" /db_xref="Phytozome:Brara.H00336" mRNA complement(join(<3144859..3145447,3145520..3146031)) /locus_tag="BRARA_H00336" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00336" CDS complement(join(3144859..3145447,3145520..3146004)) /locus_tag="BRARA_H00336" /codon_start=1 /product="hypothetical protein" /protein_id="RID49538.1" /db_xref="Phytozome:Brara.H00336" /translation="MNHNMRLAIFSKLSPLKLLAVADTRFAFVMLKRLKLVKRGLEAM VISEEWSTYIEDDVGKATFVKGKILSDDWWEQVSYIIDYTRPIYEMIRFCDTDKPCLH LVYEMWDSMIEKVKSEIYKKEKRLVIEVSPFYTVVHEILVDRWAKNNTTLHCLAHSLN PRFYSDEWLSEDSTRLGLHRDLEVSSERMKCFRRLFPSIEDHLKVMDEYALFSMRTGH FEDLTCISMMFTMEPKKWWANFGAQTPFLQTLAFRLLGQPSSSSCYERNWSTYSFIHS LRRNKLNPSRAKDLVFLHNNLRFLSRNSKQYEEEKTKMWDVGGDDFDSMEDMRYLEFA SLSLDEPKLENGLMDDWFVFYFT" gene complement(<3146109..>3147485) /locus_tag="BRARA_H00337" /db_xref="Phytozome:Brara.H00337" mRNA complement(join(<3146109..3146665,3147350..>3147485)) /locus_tag="BRARA_H00337" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00337" CDS complement(join(3146109..3146665,3147350..3147485)) /locus_tag="BRARA_H00337" /codon_start=1 /product="hypothetical protein" /protein_id="RID49539.1" /db_xref="Phytozome:Brara.H00337" /translation="MSINVYFRTIMHGDGISLTSHVPAVFRGRVRRGDSVETFPKRFR EDRQGSYSRIRVHLLGIKNQGIVICKKATRSQKSDMQKLEDEFEKKKNKSGSRALPLP CEKNETNPASKKRKSVDSAIARSFGIEVRDQLDQEIARMFYSGGVLFNLARNPHYHMY YQFAAENKIDGYVPPGYNKLRTTLLQKERNNVERLLVPFKSTWKERGVAIVSNGWSDP TRKPLINFIATS" gene 3155582..3158698 /locus_tag="BRARA_H00338" /db_xref="Phytozome:Brara.H00338" mRNA join(3155582..3155899,3155980..3156044,3156112..3156187, 3156264..3156440,3156527..3156621,3156734..3156839, 3156913..3156959,3157079..3157409,3157496..3157585, 3157682..3157744,3157838..3157912,3158035..3158097, 3158166..3158218,3158301..3158698) /locus_tag="BRARA_H00338" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00338" CDS join(3155786..3155899,3155980..3156044,3156112..3156187, 3156264..3156440,3156527..3156621,3156734..3156839, 3156913..3156959,3157079..3157409,3157496..3157585, 3157682..3157744,3157838..3157912,3158035..3158097, 3158166..3158218,3158301..3158475) /locus_tag="BRARA_H00338" /codon_start=1 /product="hypothetical protein" /protein_id="RID49540.1" /db_xref="Phytozome:Brara.H00338" /translation="MGSSPEIIDIATSARRIGVDNRISLKFYFRIADNILKQANIFRA EKNIIDLYVMLLRYSSLALETIPSHRDYRTSLKSNKEYLRMRLLDVLAELEKLKPVVR QRIEELNPKPLPRYNVQTLPSNGSQRWSSAVKPSLSSYDHTKVISPSGHNNVYMGSRG QQFLNAAPLEERFRNMSVNFIRPTEETLSKHSILGPNGLRAQWQPPKNDIKVQYPSNI DFSPIEIPSFRQQFVDSKPMITNGSSNEPEKPIVESSSIPSESIQKNYTEELSSMISF EEEENVNDNNIIRQPSPPPVLAEVQDLAHGLCHGANEVELNIDNSLPDESLRAESPLE LHIATTMMDAFMRLANSNTKKDLETCGILAGSLKNRKFYITALIIPKQESTSNSCQAT NEEEIFEVQDKQSLFPLGWIHTHPTQSCFMSSIDVHTHYSYQIMLPEAVAIVMAPQDS SRKHGIFRLTTPGGMTVIRNCDQRGFHAHSSPADGGPIYNTSTEVYMNPDLRFDVIDL R" gene complement(3159233..3160352) /locus_tag="BRARA_H00339" /db_xref="Phytozome:Brara.H00339" mRNA complement(join(3159233..3159513,3159649..3159731, 3159811..3160352)) /locus_tag="BRARA_H00339" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00339" mRNA complement(join(3159233..3159731,3159811..3160352)) /locus_tag="BRARA_H00339" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00339" CDS complement(join(3159654..3159731,3159811..3160209)) /locus_tag="BRARA_H00339" /codon_start=1 /product="hypothetical protein" /protein_id="RID49541.1" /db_xref="Phytozome:Brara.H00339" /translation="MEPPPERSKRLHNFTLPYLRWGQQRFLRCVNLQSHSHHPSQSPD HATQRSSPINGAVTTTRPWNLRTRGAACGDGLPAMKRGIGEEESENNEKLKFSVSLLK AEIEEDFSFIIGKRPPRRPKKRPRIVQKKLNTIFPGMWLSEEVTIDSYNVPEAVET" CDS complement(join(3159654..3159731,3159811..3160209)) /locus_tag="BRARA_H00339" /codon_start=1 /product="hypothetical protein" /protein_id="RID49542.1" /db_xref="Phytozome:Brara.H00339" /translation="MEPPPERSKRLHNFTLPYLRWGQQRFLRCVNLQSHSHHPSQSPD HATQRSSPINGAVTTTRPWNLRTRGAACGDGLPAMKRGIGEEESENNEKLKFSVSLLK AEIEEDFSFIIGKRPPRRPKKRPRIVQKKLNTIFPGMWLSEEVTIDSYNVPEAVET" gene complement(<3172904..>3173197) /locus_tag="BRARA_H00340" /db_xref="Phytozome:Brara.H00340" mRNA complement(<3172904..>3173197) /locus_tag="BRARA_H00340" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00340" CDS complement(3172904..3173197) /locus_tag="BRARA_H00340" /codon_start=1 /product="hypothetical protein" /protein_id="RID49543.1" /db_xref="Phytozome:Brara.H00340" /translation="MVKMMWGSSLALAAALLLVTVANIPVAEGVTCSPTELTSCSSAF MSASPPSATCCAKLREQNPCLCGYLRNPALSQYVNSPNAKKVASSCNVATPKC" gene complement(3193457..3194206) /locus_tag="BRARA_H00341" /db_xref="Phytozome:Brara.H00341" mRNA complement(3193457..3194206) /locus_tag="BRARA_H00341" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00341" CDS complement(3193712..3194098) /locus_tag="BRARA_H00341" /codon_start=1 /product="hypothetical protein" /protein_id="RID49544.1" /db_xref="Phytozome:Brara.H00341" /translation="MNDRKTLLQREIEEENRARNQITVSPTKSQIVVTDANAASTGPS EVAFNVCCLCVYCPLCVLWCCIKQPCTIGWRAILKARGQLSRCTSCGRSYSRKVKAAD YSSFSDIDSDDVNCKAHNCSKRNGRL" gene <3195516..>3197024 /locus_tag="BRARA_H00342" /db_xref="Phytozome:Brara.H00342" mRNA join(<3195516..3195531,3195585..3195743,3196864..>3197024) /locus_tag="BRARA_H00342" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00342" CDS join(3195516..3195531,3195585..3195743,3196864..3197024) /locus_tag="BRARA_H00342" /codon_start=1 /product="hypothetical protein" /protein_id="RID49545.1" /db_xref="Phytozome:Brara.H00342" /translation="MNDHKTSPTRSQIVVTNANVALTDPSEVAFNVCCLCVYCPLCIL WCCIKLPFFCFAKNGRAILKTARPLHGCSGCGRSLSMRIKDADYSSFLDIDLDEVKYK AHSRLKLNG" gene 3232918..3234819 /locus_tag="BRARA_H00343" /db_xref="Phytozome:Brara.H00343" mRNA join(3232918..3233227,3233414..3233864,3234097..3234165, 3234393..3234819) /locus_tag="BRARA_H00343" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00343" CDS join(3233421..3233864,3234097..3234165,3234393..3234479) /locus_tag="BRARA_H00343" /codon_start=1 /product="hypothetical protein" /protein_id="RID49546.1" /db_xref="Phytozome:Brara.H00343" /translation="MAKTSPSAHGTGLEPPRSDTLGDASDSKLPSDLTGVSAAATGSQ KRGRGRPPKPKSSDSQLNGAVPDRKPSGRPRRNRAPTEAVPTSVVKRGRGRPKRSNTL SGTETVVDGSRKRGRPKKDDVAAPAKKRGRKPKSEVAKRSVGRPKKATERSAGQGAAD PKEIKKKSALLQKKVKQAAEKLKIAVSAIEEVQELAAGM" gene complement(3245699..3247132) /locus_tag="BRARA_H00344" /db_xref="Phytozome:Brara.H00344" mRNA complement(join(3245699..3246119,3246235..3246330, 3246727..3246867,3247105..3247132)) /locus_tag="BRARA_H00344" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00344" mRNA complement(join(3245699..3246119,3246235..3246330, 3246727..3246936)) /locus_tag="BRARA_H00344" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00344" CDS complement(join(3245829..3246119,3246235..3246330, 3246727..3246879)) /locus_tag="BRARA_H00344" /codon_start=1 /product="hypothetical protein" /protein_id="RID49547.1" /db_xref="Phytozome:Brara.H00344" /translation="MLFQGRSITMKPSMMESLLGLLRIRVKRGVNLAVRDLNSSDPYV VVKMGKQKLKTRVIYNDVNPEWNEDLTLSVTDPSLKVLLTVYDHDTFTKDDKMGDAEF EIKPYINALTMHLQDLPCGTIVTTVQPSRDNCLAEGSRIIWSDGKLVQDIVLRLKHVE CGEVEVQLKWIDLPGSKGL" CDS complement(join(3245829..3246119,3246235..3246330, 3246727..3246852)) /locus_tag="BRARA_H00344" /codon_start=1 /product="hypothetical protein" /protein_id="RID49548.1" /db_xref="Phytozome:Brara.H00344" /translation="MKPSMMESLLGLLRIRVKRGVNLAVRDLNSSDPYVVVKMGKQKL KTRVIYNDVNPEWNEDLTLSVTDPSLKVLLTVYDHDTFTKDDKMGDAEFEIKPYINAL TMHLQDLPCGTIVTTVQPSRDNCLAEGSRIIWSDGKLVQDIVLRLKHVECGEVEVQLK WIDLPGSKGL" gene complement(3258305..3259227) /locus_tag="BRARA_H00345" /db_xref="Phytozome:Brara.H00345" mRNA complement(join(3258305..3258842,3259021..3259227)) /locus_tag="BRARA_H00345" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00345" mRNA complement(join(3258305..3258861,3259021..3259227)) /locus_tag="BRARA_H00345" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00345" CDS complement(3258375..3258731) /locus_tag="BRARA_H00345" /codon_start=1 /product="hypothetical protein" /protein_id="RID49549.1" /db_xref="Phytozome:Brara.H00345" /translation="MQTQISWSFIVESVLAKFCVYLLVASHRISSLARRCKSFQDRRR TREGGAVKRCNQAKKEVDGSRSGGFPAKPEPFSSDPETSIATQGCTCRSSVKVLLLRN TCFSWILILCIGLRLG" CDS complement(3258375..3258731) /locus_tag="BRARA_H00345" /codon_start=1 /product="hypothetical protein" /protein_id="RID49550.1" /db_xref="Phytozome:Brara.H00345" /translation="MQTQISWSFIVESVLAKFCVYLLVASHRISSLARRCKSFQDRRR TREGGAVKRCNQAKKEVDGSRSGGFPAKPEPFSSDPETSIATQGCTCRSSVKVLLLRN TCFSWILILCIGLRLG" gene complement(3265666..3268199) /locus_tag="BRARA_H00346" /db_xref="Phytozome:Brara.H00346" mRNA complement(join(3265666..3266081,3266168..3266274, 3266392..3266470,3266549..3266641,3266724..3266983, 3267076..3267228,3267317..3267641,3267721..3268199)) /locus_tag="BRARA_H00346" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00346" CDS complement(join(3266428..3266470,3266549..3266641, 3266724..3266983,3267076..3267228,3267317..3267641, 3267721..3267935)) /locus_tag="BRARA_H00346" /codon_start=1 /product="hypothetical protein" /protein_id="RID49551.1" /db_xref="Phytozome:Brara.H00346" /translation="MSFMWNVVYVIIALVIVKISQWLWQWSNPNSKGSGKLPPGSMGF PIIGETIEFFKSSGLLEIPPFFQKRMLRYGPLFRTNILGSRTVISTDADVIFEIFRQE NQSFVQSYPDVFVKVLGKDNVFFKTGDVHKHIKHTTMHLIGSEGLKRKMIGFMNRTTR EHLRWKASEGAFNLRHAVSTLIVSYITPQMISNLKPETEAKLIDNFMAFNIEWFQSPF ALSTWKNLFKVLRARKEAAKIINVALERRKDSILEKQGDFLDTLLEEMKKEGSIFDQA SIVNLLLNIGVVSRDTTSHATALTVDFISKNPRVLTELQESSESMRRLYKRETIKKQD LAGRNIKTACLSPAWLSTSRFGWQTWEP" gene complement(3282414..3284405) /locus_tag="BRARA_H00347" /db_xref="Phytozome:Brara.H00347" mRNA complement(join(3282414..3283260,3283472..3284405)) /locus_tag="BRARA_H00347" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00347" CDS complement(join(3282631..3283260,3283472..3284371)) /locus_tag="BRARA_H00347" /codon_start=1 /product="hypothetical protein" /protein_id="RID49552.1" /db_xref="Phytozome:Brara.H00347" /translation="MVDEFQNCFIFILLCFFTVFCYSVLFCRKTKLEVDFPPSPPSLP VIGHLHLLLSAVSHKAFQNISSKYGPLLYLRLFSFPIVLASSASVAYELFRTHDVNVS SRMPPITIESLIFGSSGFASAPFGDYAKFMKKLLATRLFRTQAIENLRGVRAEELERF YLNLYDKAAKKESVEIGEETMKFTNNMICRMCMGRSCSVENGGIERVRELIIKCFAMS KKLFLANTCLTWLEKLGISPFKKEIMDVSHGFDELLERILVEHEERPEQDQDMDMMDL LLEASREENAEYKITRKQIKSLFVEIFMAGIDTAAQATQWTMAEIINNPKILERLRGE IDLVVGKARLIQETDIPNLPYLQAVVKEGLRLHPPGPFLVRRFQESCKVKEFYVPGQT TLLVNVYAIMRDPDLWEDPDEFKPERFLSSRSSEQEEEIKGQAFKYIPFGAGRRGCPA GNLGPIFVGIAVGMMVQCFDWRINGHGEISMEEVIAGISLTMAHPLKCTPVSRLSSFS L" gene complement(<3299768..>3300799) /locus_tag="BRARA_H00348" /db_xref="Phytozome:Brara.H00348" mRNA complement(<3299768..>3300799) /locus_tag="BRARA_H00348" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00348" CDS complement(3299768..3300799) /locus_tag="BRARA_H00348" /codon_start=1 /product="hypothetical protein" /protein_id="RID49553.1" /db_xref="Phytozome:Brara.H00348" /translation="MYTVSFMYVWGLVMVSLCYTFYVGKLVGRGIMRQILIFPVLLIF LIVPLLVSSIHLICITSFFIAWLANFKIILFTLGRGPLYSLSLPVFLAVASLPIKIQL IPKPHESREGTLLNYAKKFATLVLIIKVIEYSSKLPDKAVFTLYLMIQNYFSLKVILS TVSVVVRATSNLELEPQFDEPHLATSLQNFWGRRWNLMVTGILRPTVYEPTMELLSGL GRNRSRYLAVFITFAVSGLMHVLIFFYIGRLRPDWKIMWFFLINGFCTSVEIAIKKNV KGRFPTTISRVLTMGFVVVTSWWLFFPEFKRCNLPQRVFQEYVSIGAFAARVKNTITA SLLSAYHTM" gene 3316765..3323576 /locus_tag="BRARA_H00349" /db_xref="Phytozome:Brara.H00349" mRNA join(3316765..3317368,3317457..3317497,3317631..3317801, 3317880..3319232,3319329..3319501,3319670..3319907, 3320031..3320123,3320205..3320345,3320418..3320510, 3320721..3320925,3321211..3321296,3321558..3321646, 3321913..3321964,3322051..3322107,3322220..3322381, 3322471..3322548,3322953..3323074,3323146..3323576) /locus_tag="BRARA_H00349" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00349" CDS join(3316855..3317368,3317457..3317497,3317631..3317801, 3317880..3319232,3319329..3319501,3319670..3319907, 3320031..3320123,3320205..3320345,3320418..3320510, 3320721..3320925,3321211..3321296,3321558..3321646, 3321913..3321964,3322051..3322107,3322220..3322381, 3322471..3322548,3322953..3323039) /locus_tag="BRARA_H00349" /codon_start=1 /product="hypothetical protein" /protein_id="RID49554.1" /db_xref="Phytozome:Brara.H00349" /translation="MVFKKTKLFFSSKKSGSSDSSNSPRSVGSSSPIGSDNHKSKSPN STSSPFAAVFDGFKKKEKDGSSSKAKETSSSDQVPGKSKLSSEASKLTAETPIMASSL GLNRIKTRSGPLPQESFFNFGDEKAVPIVPPKLGTRWDSGSSSSSHTKKKEAANVDRR SNVPAMPALSTGQLKVTPSDAGTPENSYEVEPETPRNQALLRMTSAPRRRFSGDIKSF SHELNSKGVRPYPLWKPRRSNSVEEILNLIRTKFDKAKEEVNLDLGVFAGDLIEISEE YAESHPEWEVTIYDLLILAQKCTKSTSGEFWLQCEGIVQELDDRRQELPPGVLKKLHT RMLFILTRCTRLLQFHKESWGQEEEALRQSRVLHSADKRAPTGEVRDRKGLNTATALK IPSTKKAYSQEQRGLNWKDDFVNRPSPLSSPYNETSNDSESLVNMDRMSSWKKLPSPA PKGVKESTVSKEQTDSKTEPPKVATSDDMAVSKAPDCSPKKLSHEHMTKHRHNISWGY WGDQSYIPEESSIICRICEEDVPTTHVEDHSIVCALADKYDQKGLSVDDRLVAVAVTL DKITEAFIQKDSLAAVESPDGMKISKASLTGESDVLSPKLSDWSRRGSEDMLDCFPEA DNSAFMDDMRCFTSLSCRTRFGPKSDQGMTASSGGSMTPRSPIPTPRSDPVELFLGGK GTFHDLDDIPQMTELADIARRASNAIPDGDRSIRLLLSCLDDLRVVIDRRNFDALTVE TFGTRIEKLIQEKYLQLLDDEKFDLSSTVIDEDAPLEDDDVIRSLKTSPVHLHDRISI DDFDEIKEISRGAFGRVLLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILINV RNPFVVRFFYSFTSRENLYLVMEYLGGGDFYSLLKNIGCMDESNARVYIAEVILALEY LHSEGVVHRDLKPDNLLIAHDGHVKLTDFGLSKVGLISSTDDLSGPDFGASSLFLEEK PKWTTSEHEFGSRDKRSAVGTPDYLAPEILLGTGHGATADWWSVGIILFEFIVGIPPF NADHPEQIFDNILNRNIPWPSVPEEMSHEARDLIDRLLTEDPHQRLGARGAAEVKQHI FFKDINWDTLAEQKAAFVPDSEDVLDTSYFQCRYQPSFSDKQCFPTNENGDSSESGSS GCLSNDPNEEIDERGGPAELETNVSKNNPFDNFSFKNLSQLAYINYDVISKGQKEGTP TNLHRR" gene complement(3324700..3327291) /locus_tag="BRARA_H00350" /db_xref="Phytozome:Brara.H00350" mRNA complement(join(3324700..3325564,3325845..3327291)) /locus_tag="BRARA_H00350" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00350" CDS complement(join(3324969..3325564,3325845..3327252)) /locus_tag="BRARA_H00350" /codon_start=1 /product="hypothetical protein" /protein_id="RID49555.1" /db_xref="Phytozome:Brara.H00350" /translation="MSLLFLLPSLSLSPSMNHRTMRLFPYSSMAILSLFLTTLLLSLP LPSTQDLNADRAALLSLRSAVGGRTFRWDIRQTSPCNWAGVKCDNNRVTALRLPGVSL SGTIPNGVFGNLTRLRTLSLRLNALTGSLPLDLTTSSDLRHLYLQGNRFSGQIPESLF SLTNLVKLNLAENSFTGGISSSFNNLTRLKTLFLQDNNLSGSIPDLDLPLVQFNVSNN SLNGSIPKHLQRFESGSFLQTSLCGKPLKICPGEETVPSQPTSGGNRTPPSVGGSNEK RKNKLSGGAIAGIVIGCVVGLALIVLILMVLCRKRSRAVDGSTIKQQEPAVVPREAAA ENGNGYSVTAAAAAAMTGNSKAGEVAGPAAKKLVFFGNATKVFDLEDLLRASAEVLGK GTFGTAYKAVLDAVTVVAVKRLKDVVMQDKDFREKIELVGAMDHENLVPLRAYYLSRD EKLLVYDFMHMGSLSALLHGNRGAGRTPLTWDVRSRIALGAARGLDYLHSQGTSTSHG NVKSSNILLTKSHDAKVSDFGLSQLVAASTTTPNRGTGYRAPEVTDPKRVSQKGDVYS FGVVLLELITGKAPSNSVMNEEGVDLPRWVKSVVRDEWRREVFDSELLSLEREEEEMM EEMVQLGIECTSQHPDQRPEMTEVVRKIESLRRSGPDQVDEAY" gene complement(3337136..3338696) /locus_tag="BRARA_H00351" /db_xref="Phytozome:Brara.H00351" mRNA complement(join(3337136..3337487,3337569..3337909, 3338064..3338375,3338451..3338484,3338574..3338696)) /locus_tag="BRARA_H00351" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00351" CDS complement(join(3337269..3337487,3337569..3337909, 3338064..3338375,3338451..3338484,3338574..3338654)) /locus_tag="BRARA_H00351" /codon_start=1 /product="hypothetical protein" /protein_id="RID49556.1" /db_xref="Phytozome:Brara.H00351" /translation="MESKAICLGFLPPRLQLSSRVSLSLPRGSSTSPNSDVRQTLVWS KRQGGVYLNRGRVLCSSQPDSNAPRAELFRGKSGSVSFNGLTHQLVEESKLVSAPFQE EDKGSLLWVLAPAVLISSLILPQFFLSGAIEATFKNDTVAEIVTSFCFETAFYAGLAI FLSVTDRVQRPYLDFSSKRWGLITGLRGYLMSTFLMMGLKVVVPVFAVYMTWPALGMD ALIAVLPFLVGCAVQRGFEAQLERRGSSCWPIVPIVFEVYRLYQVTRAATFVQRLMFM MKDASTTVEITERGVALVGLVVTLQFLAVLCLWSLITFLMRLFPSRPVSENY" gene complement(<3345883..>3346876) /locus_tag="BRARA_H00352" /db_xref="Phytozome:Brara.H00352" mRNA complement(join(<3345883..3346000,3346044..3346099, 3346532..3346614,3346705..>3346876)) /locus_tag="BRARA_H00352" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00352" CDS complement(join(3345883..3346000,3346044..3346099, 3346532..3346614,3346705..3346876)) /locus_tag="BRARA_H00352" /codon_start=1 /product="hypothetical protein" /protein_id="RID49557.1" /db_xref="Phytozome:Brara.H00352" /translation="MALQWLILSYVVAAEVVIAVVLTLPYPMVVKKRVVSLVSLVLQP AASIVAFAAFQLCDIYWKNEHRLSCSSEVCTATERDRYEKSIYKAQRNVVLCAAGILL YWSQCNFTDIYLLYMCIYRICKYNKDLELLEEAEKRHKDE" gene 3347336..3351207 /locus_tag="BRARA_H00353" /db_xref="Phytozome:Brara.H00353" mRNA join(3347336..3347462,3347630..3347703,3347765..3347828, 3347898..3348010,3348085..3348132,3348208..3348279, 3348357..3348446,3348545..3348718,3348827..3348910, 3349055..3349110,3349230..3349360,3349436..3349528, 3349639..3349703,3349818..3349961,3350046..3350089, 3350177..3350260,3350351..3350424,3350499..3350611, 3350694..3350789,3350860..3351207) /locus_tag="BRARA_H00353" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00353" CDS join(3347423..3347462,3347630..3347703,3347765..3347828, 3347898..3348010,3348085..3348132,3348208..3348279, 3348357..3348446,3348545..3348718,3348827..3348910, 3349055..3349110,3349230..3349360,3349436..3349528, 3349639..3349703,3349818..3349961,3350046..3350089, 3350177..3350260,3350351..3350424,3350499..3350611, 3350694..3350789,3350860..3350985) /locus_tag="BRARA_H00353" /codon_start=1 /product="hypothetical protein" /protein_id="RID49558.1" /db_xref="Phytozome:Brara.H00353" /translation="MAPPAKKFINNPNDVVTEFIEGLVETYPGLQYLDGLPEVKVVLR ADVSAADYDKVAVISGGGSGHEPAQAGYVGEGMLTAAICGDVFASPPVDSIIAGIRAV TGPMGCLLVVTNYTGDRLNFGLAAELAKTEGFKVETVIVGDDCALPPPRGISGRRGLA GTVLVHKVAGAAAAAGLSLEEVAAEAKHASEMVGTMGVALTVCSLPGQATSDRLGPEK MELGLGVHGEPGAAVVDIQPVDVVVSHVLQQILSPETNYVPITRGNSVVLMVNGLGGT PLMELMIAAGKAVPKLQLEFGLAVDRVYTGSFMSSLDMAGFSISIMKADQSILERLDA PTKGPSWPVGTDGNRPPSKIPVPLPPFQQNKNEESLGRPQELSQQGRILEAAIKAAAT VVISLKDSLNEWDGKVGDGDCGSTMCRGATAILEDMKNYYPLNDAAETVNEIGSSIRR VMGGTSGIIYSLLCKAAYAELKANAQSEVTPKNWSDALKSSISAVSKYGGASAGYRTM LDALIPASKVLEEKLSVGEDPVSAFVLSAEAATAGAESTIQMQAQAGRSSYVSAEILA SIPDPGAMAAAAWYSAAARAVKEQSQGL" gene 3360453..3366384 /locus_tag="BRARA_H00354" /db_xref="Phytozome:Brara.H00354" mRNA join(3360453..3360583,3360983..3361719,3361801..3362009, 3362096..3362245,3362336..3362469,3362568..3362677, 3362813..3362919,3363010..3363148,3363221..3363337, 3363452..3363559,3363655..3363726,3363818..3363940, 3364036..3364198,3364277..3364536,3364644..3364786, 3364884..3364946,3365036..3365099,3365191..3365326, 3365424..3365497,3365592..3365686,3365786..3365817, 3365933..3366384) /locus_tag="BRARA_H00354" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00354" CDS join(3360991..3361719,3361801..3362009,3362096..3362245, 3362336..3362469,3362568..3362677,3362813..3362919, 3363010..3363148,3363221..3363337,3363452..3363559, 3363655..3363726,3363818..3363940,3364036..3364198, 3364277..3364536,3364644..3364786,3364884..3364946, 3365036..3365099,3365191..3365326,3365424..3365497, 3365592..3365686,3365786..3365817,3365933..3366144) /locus_tag="BRARA_H00354" /codon_start=1 /product="hypothetical protein" /protein_id="RID49559.1" /db_xref="Phytozome:Brara.H00354" /translation="MVRKKRTDGSSEGGEGSGSREAGPHSGGGRGGYQQGGGRGGGGG QQQGGRGYGPQSQQGGRGGGRGYGQPPQQYGGPREGQQPQQYGGPREGQQPQQYGGPR EGQPPQHQHQQQYGGPRGGPPRGGYGGGGRGGAPSAGQPQRQSVPELHQATSPTYQAV SSQPTPSEVSPTRIPDTSAPVQEFEQLSIEQGASSQAIQPIPSSSKAFKFPMRPGKGQ VGKRCIVKANHFFAELPDKDLHQYDVTITPEVTSRGVNRAVMKQLVDLYRESHLGRRL PAYDGRKSLYTAGPLPFVSKEFRILLHDEEEGAGGQRREREFKVVIKLAARADLHHLG MFLQGKQADAPQEALQVLDIVLRELPTSKYTPVARSFYSPDIGRKQSLGDGLESWRGF YQSIRPTQMGLSLNIDMSSTAFIEALPVTEFVCQLLNRDIRSRPLSDADRVKIKKALR GVKVEVTHRGNMRRKYRISGLTAVATRELTFPVDERNTQKSVVEYFYETYGFRIQHTQ LPCLQVGNSNRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPQEREKDILRT VELNDYSHDPYAKEFGIKISASLASVEARILPPPWLKYHESGREGTCLPQVGQWNMMN KKMINGGTVSNWICINFSRQVPDNMARSFCQELAQMCHISGMAFNPEPVLPPVSARPE HVEKVLKTRYHDAMAKLSQGKEIDLLIVILPDNNGSLYGDLKRICETELGIVSQCCLT KHVFKMSKQYMANVALKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPG EDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLFKEWKDPQKGVVTGGMIKE LLIAFRRSTGHKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEAGYQPPVTFVV VQKRHHTRLFAQNHNDRNSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRP AHYHVLWDENNFSADGLQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPE TSDSGSMASGSMARGGGMGGRNMRGPHVNAAVRPLPPLKDNVKRVMFYC" gene complement(3380176..3380938) /locus_tag="BRARA_H00355" /db_xref="Phytozome:Brara.H00355" mRNA complement(join(3380176..3380581,3380753..3380938)) /locus_tag="BRARA_H00355" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00355" CDS complement(join(3380397..3380581,3380753..3380852)) /locus_tag="BRARA_H00355" /codon_start=1 /product="hypothetical protein" /protein_id="RID49560.1" /db_xref="Phytozome:Brara.H00355" /translation="MKKITQSLLLSLVPILLCVSFQVGVIEARLRHLGTPITETRMIH VNPPPPCGAKIQVAPPLPPSTQIQTGEMPPFETQTGGACRPVPRRLPRPP" gene <3389655..>3390631 /locus_tag="BRARA_H00356" /db_xref="Phytozome:Brara.H00356" mRNA join(<3389655..3389700,3389790..>3390631) /locus_tag="BRARA_H00356" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00356" CDS join(3389655..3389700,3389790..3390631) /locus_tag="BRARA_H00356" /codon_start=1 /product="hypothetical protein" /protein_id="RID49561.1" /db_xref="Phytozome:Brara.H00356" /translation="MDASQPSLPQFPSLSELESRMQVLRVSALEENQTGETLSQRADW FYQRRPLILSLCEDLYDSYTTLLNRYNHAKPQNLPKPVSHDNDSDTSSEVTSILSFQH MQITTCDKQKIEELVSQLATANTEKDMAQDELRRGEQKFREASKTIELLKKLVTLLDM EKEVAVEETTNLGYKLTSLLEENRDLATEALFMKKEAVRLARCVLKMRNDHFHEMCLL QNQVYALQSSSRESVYENETSPRCFGLDKSKSKKRKMSETRTEPGEKKRKSKWLKRLN PFIKSSVSPSPALLHRPAQ" gene 3392075..3393934 /locus_tag="BRARA_H00357" /db_xref="Phytozome:Brara.H00357" mRNA join(3392075..3392449,3392525..3392635,3392708..3392797, 3392871..3393130,3393498..3393934) /locus_tag="BRARA_H00357" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00357" CDS join(3392138..3392449,3392525..3392635,3392708..3392797, 3392871..3393130,3393498..3393804) /locus_tag="BRARA_H00357" /codon_start=1 /product="hypothetical protein" /protein_id="RID49563.1" /db_xref="Phytozome:Brara.H00357" /translation="MVRASSSKKGGDKDDPESKQRKRLKTLALDNKLLSDSPSRCLSS LKPSKQVLKHHGCDIIRKSQRKNRFLFSFPGLLAPVSGATIGDLDRLSTKNPVLYLNF PQGRMKLFGTILYPKNRYLTLQFSRGGKNVLCDDYFDNMIVFSESWWIGTKEENPEEA RLDFPKELSPQAEKTEEFDFRGGAGAASTTAAASASVKTAATPETGSQPTETETDSPE VEMEEILSDDGEFTDDKIQVTPVQLTPVRQSQRNSGKKFNFAETSPENSSGESEGNTS DEEDEKPLLETDSSTRVREEPQADITTASTGKLPTELPAKKEKSNSKDGKLVQATVAN LFKKAEQKTVGTSKAKSSSKASQKK" gene complement(3393935..3396788) /locus_tag="BRARA_H00358" /db_xref="Phytozome:Brara.H00358" mRNA complement(join(3393935..3395186,3395270..3395459, 3395551..3395685,3395768..3395865,3395938..3396095, 3396265..3396788)) /locus_tag="BRARA_H00358" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00358" CDS complement(join(3394065..3395186,3395270..3395459, 3395551..3395685,3395768..3395865,3395938..3396095, 3396265..3396706)) /locus_tag="BRARA_H00358" /codon_start=1 /product="hypothetical protein" /protein_id="RID49562.1" /db_xref="Phytozome:Brara.H00358" /translation="MRKGDLTPDRDRVIEEHELQETRFSPETERNKNARQYQREEEEE EEESVEGIFESREVPSWKKQLTIRAFVVSFALSILFSFIVMKLNLTTGIIPSLNVSAG LLGFFFVKTWTKMLHKSGLLKQPFTRQENTVIQTCVVASSGIAFSGGFGTYLFAMSER IANHSGDAARGVKDPSLGWIIAFLFVVSFLGLFSVVPLRKIMIIDFKLTYPSGTATAH LINSFHTPQGAKLAKEQVRVLGKFFSLSFLWGFFQWFFTAGENCGFHSFPTFGLRAYQ YKFYFDFSATYVGVGMICPYIINISLLLGGILSWGLMWPLIETRKGDWFPSDVDSSSM SGLQAYKVFIAVAMILGDGVYNFCKVLSRTLSGLFKQIRGEASWRNSLSREEEPPASQ LTPKISYDDQRRTRFFLKDQIPSWFAGGGYVLIAAVSIAILPQMFDQLRWYYILVIYV FAPVLAFCNAYGAGLTDWSLASTYGKLAIFTIGAWAGSDHGGVLAGLAACGVMMNIVS TASDLTQDFKTGYLTLSSPRAMFVSQVIGTAMGCLVSPCVFWLFYKAFDDLGLPNSKY PAPFATVYRSMAKLGVEGVSSLPRDCLVLCYAFFGFAILINVVKDGLGNRWGRYVPLP MAMAIPFFLGPYFAIDMCVGSLVLFVWERLDAPRAEAFATAVASGLICGDGIWTLPSS VLAIAGVEPPICMKFLSSETNHRVDKFLQGSS" gene complement(3397361..3401844) /locus_tag="BRARA_H00359" /db_xref="Phytozome:Brara.H00359" mRNA complement(join(3397361..3397927,3398004..3398511, 3398590..3398789,3398865..3399005,3399084..3399171, 3399258..3399328,3399418..3399533,3399629..3399703, 3400186..3400248,3400367..3400634,3400710..3400814, 3400899..3401221,3401296..3401398,3401485..3401844)) /locus_tag="BRARA_H00359" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00359" CDS complement(join(3397484..3397927,3398004..3398511, 3398590..3398789,3398865..3399005,3399084..3399171, 3399258..3399328,3399418..3399533,3399629..3399703, 3400186..3400248,3400367..3400634,3400710..3400814, 3400899..3401221,3401296..3401398,3401485..3401577)) /locus_tag="BRARA_H00359" /codon_start=1 /product="hypothetical protein" /protein_id="RID49564.1" /db_xref="Phytozome:Brara.H00359" /translation="MLTGQESLLRLIGKRRRCLPNRHNLLSTPTPNSLNLDVNDSGNL ISPTGDNIRSPETPPTYPGNSDDLSVSRKNKKKRRLTQTTLLQWSGSKQSQHSPLIHP PKQQQSILEFDDDSSSEEISKTVALDEANGDEAIQTFIVGRKFSDVQDLEAGEKICLL RHPENIKDPNAIKVLSSDSGLSEILGYLPKDVSQCLSPLIDQYDLKFEGTINSVPKNS SEAVSVKVVCRKMTSDVWKESESAGGDFKLMWEKVLQVVEHQMQFPPKTTRYQLNFSV LVQEVLRSCSHLFTADEKAFLESFTSLSEDSQRLFIRLYTRKGPWFRLSNISYPEVSD SLQALKDLTVKGFMTSVENANDLNYQTMKEIIELLNVTELRDILSVNKVFSRGSRKRD LINSLCSYYNDGTRINVGTMILERTGLCAKISSTSESLIWRVERLFFLNGEQDLSSFV LLDLGIIKYPIYKCIDSEQIFSDRTKLLAYEEAIEVAQLMDESLDCEDSGTVLKCIMI AETRISSSSSSESALFNCFTAPWVYSKMVLLGVSFLENQKRYNQAVYLLRRLLSCFSC DGRRGYWTVRLSTDLEHMGRPNESLSVAEQGLLDPWVRAGSRIALQRRILRLAKPPRR WKTPTFPNLVDNKIPEVTIQGRSLNCEVGMKNRFYGEDGEQCGVEQLALQYYNGEGGG WQGMHTESSIWLTIFGLLMWDILFSDVPGVFQTRFQTAPLDLETESFYQTRKETIEAQ LEKVASGMAEEILIISYETHRGTACRGVAWERFSLEELRAAVACVGGKCVASLCRYLA QDYRSWCSGMPDLLLWRFKENGYEGEAKLVEVKSEKDRLSEQQRAWLLLLMDSGFNVE ICKVRPACV" gene complement(3401927..3402908) /locus_tag="BRARA_H00360" /db_xref="Phytozome:Brara.H00360" mRNA complement(join(3401927..3402201,3402282..3402443, 3402648..3402908)) /locus_tag="BRARA_H00360" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00360" CDS complement(join(3402052..3402201,3402282..3402443, 3402648..3402851)) /locus_tag="BRARA_H00360" /codon_start=1 /product="hypothetical protein" /protein_id="RID49565.1" /db_xref="Phytozome:Brara.H00360" /translation="MASVSGCGSVSLLTNRNAFLGSGLQHHAVFLKPWSPPSLLKSGS LVVEAKTKTSSEDRIARHSRIRKKVNGTTERPRLCVFRSNKHLYVQVIDDTKMHTLAS ASTKQKPISEEFDYTSGPTIEVAKKVGEVIAKSCLEKGITKVAFDRGGYPYHGRIEAL AAAAREHGLQF" gene complement(3405424..3406139) /locus_tag="BRARA_H00361" /db_xref="Phytozome:Brara.H00361" mRNA complement(3405424..3406139) /locus_tag="BRARA_H00361" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00361" CDS complement(3405771..3406004) /locus_tag="BRARA_H00361" /codon_start=1 /product="hypothetical protein" /protein_id="RID49566.1" /db_xref="Phytozome:Brara.H00361" /translation="MTSWKKTIATPFKKAATFFNQPQQTSHNRHANAKAREEHERRTV KELQGDVMACGYEDVLVMWSILDKSNSSNNLSS" gene 3436900..>3437593 /locus_tag="BRARA_H00362" /db_xref="Phytozome:Brara.H00362" mRNA join(3436900..3437014,3437096..>3437593) /locus_tag="BRARA_H00362" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00362" CDS 3437258..3437593 /locus_tag="BRARA_H00362" /codon_start=1 /product="hypothetical protein" /protein_id="RID49567.1" /db_xref="Phytozome:Brara.H00362" /translation="MIWKFLPNSCFGLNVADEQKAEHDSGLSLLFLCGCIDIQKLYRK CVRNKKVVYMHTPKLVYACVQVLVCYTVVLVFLKLLVKPKMEICGSHEERWSVWINIM ICINVLSVK" gene complement(<3442584..>3445513) /locus_tag="BRARA_H00363" /db_xref="Phytozome:Brara.H00363" mRNA complement(join(<3442584..3442783,3444905..3445043, 3445367..>3445513)) /locus_tag="BRARA_H00363" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00363" CDS complement(join(3442584..3442783,3444905..3445043, 3445367..3445513)) /locus_tag="BRARA_H00363" /codon_start=1 /product="hypothetical protein" /protein_id="RID49568.1" /db_xref="Phytozome:Brara.H00363" /translation="MISALPDDLLVQILLFLPTEDAVITMIMSKLWRLIWTMIPKLDY NDRYKKYEDSHVKLLSSCPVLKKLSVLRDEFDDNVTTFIVKVPSLENLIYESSQKGCS RNLPISWNQPSSVPVCLLSHLEIFQFKGYRKRREEKLFVAYILANSKCLKTAGISLRS S" gene 3449134..3449943 /locus_tag="BRARA_H00364" /db_xref="Phytozome:Brara.H00364" mRNA join(3449134..3449322,3449405..3449943) /locus_tag="BRARA_H00364" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00364" CDS join(3449197..3449322,3449405..3449746) /locus_tag="BRARA_H00364" /codon_start=1 /product="hypothetical protein" /protein_id="RID49569.1" /db_xref="Phytozome:Brara.H00364" /translation="MVSASSKTKALDPPLHALGFEIDELSPTRVTGRLPVSPICCQPF KVLHGGVSALIAESLASMGAHMASGFKRVAGIQLSINHVKSADLGDLVFAEASPVSTG KTIQVWEVKLWKSKEGSENRTLISSSRVTLLCNLPVPDHVKDASDPLKLISKL" gene complement(<3453728..>3454350) /locus_tag="BRARA_H00365" /db_xref="Phytozome:Brara.H00365" mRNA complement(join(<3453728..3453870,3453903..3453962, 3454042..3454114,3454195..>3454350)) /locus_tag="BRARA_H00365" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00365" CDS complement(join(3453728..3453870,3453903..3453962, 3454042..3454114,3454195..>3454350)) /locus_tag="BRARA_H00365" /codon_start=1 /product="hypothetical protein" /protein_id="RID49570.1" /db_xref="Phytozome:Brara.H00365" /translation="IKEYISVELFFEILELEPDKLEILKVENMIIKEKRVTDATIEII YVRLIKHRAIPDETIKKDWSSYYGLVKNQGPRDICWAIVAVELITAIRWIKNQLVEFV CPGRGILNEKLHNFCYKLNIMSTLEYVIKHGVQEAADRPFD" gene complement(3456514..3457885) /locus_tag="BRARA_H00366" /db_xref="Phytozome:Brara.H00366" mRNA complement(join(3456514..3457310,3457394..3457885)) /locus_tag="BRARA_H00366" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00366" CDS complement(join(3456618..3457310,3457394..3457771)) /locus_tag="BRARA_H00366" /codon_start=1 /product="hypothetical protein" /protein_id="RID49571.1" /db_xref="Phytozome:Brara.H00366" /translation="MEASGVVLRQIPCVSGSVTDGRYSGLRFSGSMRTTVGFQTRRFR GIVCSNEFADKGHMSYYSGTTRCGGEGKDKVKVMEKEKKKEMKKKAKVLKALSKNLNM FSSIGFGLDPEAGLVAEIQNKTISEATEILVKQLDQLKAEEKLLKKQRKEEKAKAKAM KMMTEMDSESSSSSESSDSDCGKGKVVDMSSLRNKAKPILEPLQPESGVATLPRIQQD SCKNTTGEALKLALLESAAATTAFPSVVNPGLPLKTVEAVPVVGLPSKRVEVCMGGKC KKSGGAVLLDEFQKAMTGMEGSAVGCKCMGKCRDGPNVRVVNETDAVMTDSVRTPSKT VCVGVGLQDVETIVTSFFGEEF" gene complement(<3460120..>3460350) /locus_tag="BRARA_H00367" /db_xref="Phytozome:Brara.H00367" mRNA complement(<3460120..>3460350) /locus_tag="BRARA_H00367" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00367" CDS complement(3460120..3460350) /locus_tag="BRARA_H00367" /codon_start=1 /product="hypothetical protein" /protein_id="RID49572.1" /db_xref="Phytozome:Brara.H00367" /translation="MCLQRYSNTRWFHDFDGTYYAFYRIQIENYVYGKNIHQPLNKNH EKTNQDGWDLLDKQILGVRRLTLSKKKQRKGS" gene <3473455..3478034 /locus_tag="BRARA_H00368" /db_xref="Phytozome:Brara.H00368" mRNA join(3473455..3473737,3473827..3474183) /locus_tag="BRARA_H00368" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00368" mRNA join(<3473573..3473737,3473827..3473889,3476989..3477168, 3477271..3477375,3477460..3477576,3477668..3477805, 3477899..3478034) /locus_tag="BRARA_H00368" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00368" CDS join(3473573..3473737,3473827..3473889,3476989..3477168, 3477271..3477375,3477460..3477576,3477668..3477805, 3477899..3477946) /locus_tag="BRARA_H00368" /codon_start=1 /product="hypothetical protein" /protein_id="RID49574.1" /db_xref="Phytozome:Brara.H00368" /translation="MVTEGMRVGKYELGRTLGEGNSAKVKLATDIVSGQSFAVKIIDK SRTSRLNVPFQIKREIRTLKVLKHPNIVRLHEIFKGDPPVPRWLSPGAKTMIKRMLDP NPVTRMTVASIMANDWFKHEYSPSSCDNEDDEEDVSEEEKSHDSPTIINAFQLIAMSP FLDLSGLFETETVSERQIRFMSNRLATDVMEKIKTILMEMGFCVQKKHTMLKAIREES TRKGQGGLSLTAEVFKMIPSLNMIELRKSHGDSSLYKQLCERLLNEFDASSQR" CDS join(3473573..3473737,3473827..3473985) /locus_tag="BRARA_H00368" /codon_start=1 /product="hypothetical protein" /protein_id="RID49573.1" /db_xref="Phytozome:Brara.H00368" /translation="MVTEGMRVGKYELGRTLGEGNSAKVKLATDIVSGQSFAVKIIDK SRTSRLNVPFQIKREIRTLKVLKHPNIVRLHEVNVSIAYGDSLVLVIEFFVIQDMTSN ILITA" gene <3489097..>3490837 /locus_tag="BRARA_H00369" /db_xref="Phytozome:Brara.H00369" mRNA join(<3489097..3489141,3489251..3489358,3489447..3489527, 3489598..3489777,3489866..3489989,3490243..3490268, 3490355..3490471,3490559..3490696,3490790..>3490837) /locus_tag="BRARA_H00369" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00369" CDS join(3489097..3489141,3489251..3489358,3489447..3489527, 3489598..3489777,3489866..3489989,3490243..3490268, 3490355..3490471,3490559..3490696,3490790..3490837) /locus_tag="BRARA_H00369" /codon_start=1 /product="hypothetical protein" /protein_id="RID49575.1" /db_xref="Phytozome:Brara.H00369" /translation="MVLECVTGRDLFDRIVSKGKLSETEGRKMFQQLIDGISYCHNKG IFHRDLKLENVLLDENGHIKITDFGVRAVPQHFREDGLLHTTCGSPNYVAPEVLANKG YDGAASDIWSCGVILYVILTGCLPFDDTNLAVLCRKIVKGDSPIPRWLSPGAKTMIKR MLDPNPVTRMTVASIMANTDLSGLFETVTVSERQIGFMSNRLATDVMGKIKTILMEKG FYVQKKHTMLKAIREERSRKGPGGLSLTAEVFEIIPSLNVIELRKSHGDSSPYKQLCE RLLNELDASSQI" gene complement(3490790..3492689) /locus_tag="BRARA_H00370" /db_xref="Phytozome:Brara.H00370" mRNA complement(join(3490790..3491263,3491360..3491638, 3491824..3492086,3492395..3492689)) /locus_tag="BRARA_H00370" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00370" mRNA complement(join(3490790..3491263,3491360..3491638, 3491824..3492356)) /locus_tag="BRARA_H00370" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00370" CDS complement(join(3491259..3491263,3491360..3491638, 3491824..3492079)) /locus_tag="BRARA_H00370" /codon_start=1 /product="hypothetical protein" /protein_id="RID49576.1" /db_xref="Phytozome:Brara.H00370" /translation="MSSPAAVTVIWDFQYAWIPEGYDLRSLKTSIESALKRCNPDFFI EGKLIAVEHAIGDRHQAITMLPDDFELSPVQPDNARTPDPEDQTALELVLKLSMKVLH NRANILVISGNHDVMGAINRWTQRGIFIMLALPESSDPSFYHCANVTWLLSSEDPERV AATMVNGGGPIPPVPRIRG" CDS complement(join(3491259..3491263,3491360..3491638, 3491824..3492079)) /locus_tag="BRARA_H00370" /codon_start=1 /product="hypothetical protein" /protein_id="RID49577.1" /db_xref="Phytozome:Brara.H00370" /translation="MSSPAAVTVIWDFQYAWIPEGYDLRSLKTSIESALKRCNPDFFI EGKLIAVEHAIGDRHQAITMLPDDFELSPVQPDNARTPDPEDQTALELVLKLSMKVLH NRANILVISGNHDVMGAINRWTQRGIFIMLALPESSDPSFYHCANVTWLLSSEDPERV AATMVNGGGPIPPVPRIRG" gene complement(3493925..3496800) /locus_tag="BRARA_H00371" /db_xref="Phytozome:Brara.H00371" mRNA complement(join(3493925..3494273,3494356..3494450, 3494541..3494806,3494966..3495227,3495327..3495388, 3495546..3495596,3495677..3495708,3495802..3495909, 3496153..3496412,3496556..3496800)) /locus_tag="BRARA_H00371" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00371" CDS complement(join(3494133..3494273,3494356..3494450, 3494541..3494806,3494966..3495227,3495327..3495388, 3495546..3495596,3495677..3495708,3495802..3495909, 3496153..3496245)) /locus_tag="BRARA_H00371" /codon_start=1 /product="hypothetical protein" /protein_id="RID49578.1" /db_xref="Phytozome:Brara.H00371" /translation="MAKMINKTLVLTYIYLLIYVLLSSGVILYNKWVLSPKYFNFPLP ITLTMIHMGFSGFVAFLLIRVFKVVSPVKMTFEIYVTCVVPISAFFASSLWFGNTAYL HISVAFIQMLKALMPVATFIMAIVCGTDNARCDVFMNMLLVSVGVVISSYGEINFNII GTVYQVMGIFAEALRLVLTQVLLQKKGLTLNPVTSLYYIAPCSFVFLSLPWYVLEKPN IEVSQIQFNFWIFFSNALCALALNFSIFLVIGRTGAVTMRVAGVLKDWILIALSTVIF PESTITGLNIIGYAIALCGVVMYNYIKIKDVKATQPITDSLPDRITKDWKEKRSSDGE SPRGGVELNDEEAPLITSSRLSHIGRTQLGSHTAV" assembly_gap 3501351..3511350 /estimated_length=unknown /gap_type="between scaffolds" gene complement(3521135..3523581) /locus_tag="BRARA_H00372" /db_xref="Phytozome:Brara.H00372" mRNA complement(join(3521135..3522987,3523289..3523581)) /locus_tag="BRARA_H00372" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00372" CDS complement(3521728..3522855) /locus_tag="BRARA_H00372" /codon_start=1 /product="hypothetical protein" /protein_id="RID49579.1" /db_xref="Phytozome:Brara.H00372" /translation="MNPDEQEMNALFTNLLKIWKLEERVTGTDLGFGKFQFDFRTEEE LDVVLKHQPYHFDYWMLSLAKWQPRQSRSFPSEIMFWIKVIGIPLEFRTVPTFESIGG ALGRVVAVDAVHNRVQVVVDAFKELCFETTVDFKGGEFYDGEEAAVSLRYEKLFGYCK LCGSLCHKDELCPLDVKNSKISPERKRETREGTGVWPDGKHYDDRARSYKGVVINGNM GPQNKERDNREYYGKGKGKMHEEPDSKWVKVAERGSRRPPNHHGNYRGDSESSRYKNA RRGDGRRGVTGGESGVYEVQTKLSSAQAREAQSQRFFPQEIREEGEIKSTDTGDVNLA SAGFQLELAKPRRRQQRGLWRLLTWKRVYLRCKEWWRSRMS" gene complement(<3554743..3556976) /locus_tag="BRARA_H00373" /db_xref="Phytozome:Brara.H00373" mRNA complement(join(<3554743..3556335,3556671..3556976)) /locus_tag="BRARA_H00373" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00373" CDS complement(<3554743..3556308) /locus_tag="BRARA_H00373" /codon_start=1 /product="hypothetical protein" /protein_id="RID49580.1" /db_xref="Phytozome:Brara.H00373" /translation="MKEGETARKRMKISVPHFDNSALIKTYSKSLIGRCMNPPEQEMK ALLQNVPKIWKLEERVVGTDLGFGKFRFDFETEEEIDTVLKLQPYYWMLALARWQPKK SQLFPSEITFWIRVIGVPMEFRTVPTFENLGDALGRTVAVDVEHCRVQVVVDAFQELC FETTLDFKGGEFYEGEEAAISLRYEKLFGYCTLCSSLCHKEEKCPLAKKVSPEKKREG REGNGGWYDGGKHDDRARSYKGVVINGNQNQQHKERDGRDYYGKGKVKMVEEADSKWV KVADRGNKGSFTNHRSFRGEGDGSRHRSSRREEHRAEGQGQGQGGSNRSSSGQSGVPK EVVQEEGEIKNADDSEKTLPSQDFQEELAKTQAVGSEVISDPMDAEEGIQMIKSLIVE PSTLEDDKVLDMDECRAICLEHGIDMDAADDLPDCSDGEFEEMLKEQDDEEAIPADLE NENTEVEKAPVKGDVAKKQGTRKRLFKPSTAGSTKMRIASALVSLRKRAPAKVGTRHE DHSKHQEIKGTSNP" gene complement(<3569754..>3571867) /locus_tag="BRARA_H00374" /db_xref="Phytozome:Brara.H00374" mRNA complement(join(<3569754..3569828,3570094..3570177, 3570323..3570371,3570450..3570512,3570641..3570712, 3570796..3570861,3571104..3571324,3571422..3571568, 3571688..>3571867)) /locus_tag="BRARA_H00374" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00374" CDS complement(join(3569754..3569828,3570094..3570177, 3570323..3570371,3570450..3570512,3570641..3570712, 3570796..3570861,3571104..3571324,3571422..3571568, 3571688..3571867)) /locus_tag="BRARA_H00374" /codon_start=1 /product="hypothetical protein" /protein_id="RID49581.1" /db_xref="Phytozome:Brara.H00374" /translation="MDLMEKLELVELPKLEGHTDRVWNVFWNPVSTLPILASCSGNNT VCIWEHNSLSHSWSCKTVLEETHTRTVRSCAWSPSGKLLATASFDGTTAIWQNFGDEF ECISNLEGHENKVKSVSWNVAGSYLATCSRDKSVWIWEVLGGANEYDCAAVLNGPTQD VKMVQWHPTMNVLFSCSFDNTINGHSSTVWAISFNAAGDKMVTCSDDLTLKIWETDIA MMHSGEAYAYWNHLCTLSGYHDRTIYSAHWSRDNIIASGAGDDAIRLFADGPSYILLL KKENAHDMDVNSVQWSPGEENRLLASASDDGMVKIWQLATKQ" gene complement(<3575845..>3576987) /locus_tag="BRARA_H00375" /db_xref="Phytozome:Brara.H00375" mRNA complement(<3575845..>3576987) /locus_tag="BRARA_H00375" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00375" CDS complement(3575845..3576987) /locus_tag="BRARA_H00375" /codon_start=1 /product="hypothetical protein" /protein_id="RID49582.1" /db_xref="Phytozome:Brara.H00375" /translation="MDTSSLVINHRQSLSRIIKLPHVPKSYAFSSFQSFQTRQLLNKQ LSFRATSPAAVQRDNHETASFVEDDDESYGEVNRIIGSRMAAGGGGAAMEYLIEWKDG HSPSWVPSSYIAADVVSEYETPWWTAARKADDQALAQLLESSEGRRDANTVDENGRTA LLFVAGLGSDKCVRLLSEAGADLDHRDIRGGLTALHMAAGYVQPDAVAALVELGADAE VEDERGLTPLELAREILKTTPKGNPMQFGRRIGLEKVISILEDQVFEYAEVEEILEKR GRGKDVEYLVKWKDGGDCDWVKGVHVAEDVVKDYEAGLEYAVAEKVMGKRMGDDGNTE YLVKWTDMADATWEPEENVDISLVQLYEQEEEAQPVNELELGIIKE" gene complement(3577372..3579416) /locus_tag="BRARA_H00376" /db_xref="Phytozome:Brara.H00376" mRNA complement(join(3577372..3577684,3577764..3577867, 3577967..3578054,3578130..3578289,3578407..3578473, 3578553..3578590,3578677..3578756,3579298..3579416)) /locus_tag="BRARA_H00376" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00376" mRNA complement(join(3577372..3577684,3577764..3577867, 3577947..3578054,3578130..3578289,3578407..3578473, 3578553..3578590,3578677..3578756,3579298..3579416)) /locus_tag="BRARA_H00376" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00376" mRNA complement(join(3577372..3577684,3577764..3577867, 3577967..3578054,3578130..3578289,3578407..3578473, 3578553..3578590,3578677..3578756,3579141..3579408)) /locus_tag="BRARA_H00376" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00376" mRNA complement(join(3577372..3577684,3577764..3577867, 3577947..3578054,3578130..3578289,3578407..3578473, 3578553..3578590,3578677..3578756,3579141..3579408)) /locus_tag="BRARA_H00376" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00376" CDS complement(join(3577641..3577684,3577764..3577867, 3577967..3578054,3578130..3578289,3578407..3578473, 3578553..3578590,3578677..3578742)) /locus_tag="BRARA_H00376" /codon_start=1 /product="hypothetical protein" /protein_id="RID49583.1" /db_xref="Phytozome:Brara.H00376" /translation="MALVKEDCCEESHKYMGFALHQAKLALEALEVPVGCVILEEGNI IASGRNRTNETRNATRHAEMEAIDELIGQWQKDRLSPSQVAEKFSKCVLYVTCEPCIM CASALSFFGIKEVYYGCGNDKFGGCGSILSLHIGSSQAEQGQGGKGYKCRGGIMADEA VSLFKCFYEQGNPNAPKPHRPVVQREMG" CDS complement(join(3577641..3577684,3577764..3577867, 3577967..3578054,3578130..3578289,3578407..3578473, 3578553..3578590,3578677..3578742)) /locus_tag="BRARA_H00376" /codon_start=1 /product="hypothetical protein" /protein_id="RID49585.1" /db_xref="Phytozome:Brara.H00376" /translation="MALVKEDCCEESHKYMGFALHQAKLALEALEVPVGCVILEEGNI IASGRNRTNETRNATRHAEMEAIDELIGQWQKDRLSPSQVAEKFSKCVLYVTCEPCIM CASALSFFGIKEVYYGCGNDKFGGCGSILSLHIGSSQAEQGQGGKGYKCRGGIMADEA VSLFKCFYEQGNPNAPKPHRPVVQREMG" CDS complement(join(3577963..3578054,3578130..3578289, 3578407..3578473,3578553..3578590,3578677..3578742)) /locus_tag="BRARA_H00376" /codon_start=1 /product="hypothetical protein" /protein_id="RID49584.1" /db_xref="Phytozome:Brara.H00376" /translation="MALVKEDCCEESHKYMGFALHQAKLALEALEVPVGCVILEEGNI IASGRNRTNETRNATRHAEMEAIDELIGQWQKDRLSPSQVAEKFSKCVLYVTCEPCIM CASALSFFGIKEVYYGCGNDKFGGCGSILSLHIGSSQA" CDS complement(join(3577963..3578054,3578130..3578289, 3578407..3578473,3578553..3578590,3578677..3578742)) /locus_tag="BRARA_H00376" /codon_start=1 /product="hypothetical protein" /protein_id="RID49586.1" /db_xref="Phytozome:Brara.H00376" /translation="MALVKEDCCEESHKYMGFALHQAKLALEALEVPVGCVILEEGNI IASGRNRTNETRNATRHAEMEAIDELIGQWQKDRLSPSQVAEKFSKCVLYVTCEPCIM CASALSFFGIKEVYYGCGNDKFGGCGSILSLHIGSSQA" gene 3579530..3581388 /locus_tag="BRARA_H00377" /db_xref="Phytozome:Brara.H00377" mRNA join(3579530..3579657,3579873..3580052,3580131..3580230, 3581036..3581388) /locus_tag="BRARA_H00377" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00377" CDS join(3579873..3580052,3580131..3580230,3581036..3581196) /locus_tag="BRARA_H00377" /codon_start=1 /product="hypothetical protein" /protein_id="RID49587.1" /db_xref="Phytozome:Brara.H00377" /translation="MENNGMLNVKKWVVMYPVYINSKKTVAEGRRISLSKACESPNCI EISDCCKHLKLPSAVEIDKAYPRDFMQVGRVRVQLKREDGTFVNPAIASRKQLMQKIA ELVPRHPERVKKQESQKAKKQEPQATTSTAGTSSKSGKGGKKKR" gene complement(3581389..3582718) /locus_tag="BRARA_H00378" /db_xref="Phytozome:Brara.H00378" mRNA complement(join(3581389..3581596,3581680..3581787, 3581884..3581994,3582546..3582718)) /locus_tag="BRARA_H00378" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00378" CDS complement(join(3581537..3581596,3581680..3581787, 3581884..3581985)) /locus_tag="BRARA_H00378" /codon_start=1 /product="hypothetical protein" /protein_id="RID49588.1" /db_xref="Phytozome:Brara.H00378" /translation="MKHIVKILSLLVAISAFWIGLLQAAIVPRSYTWLLPIYFVVSLG CYGLLMVGIGLMQFPTCPQEAVLLQQDIAEAKDFFKHKGVDVGSD" gene <3586006..>3586347 /locus_tag="BRARA_H00379" /db_xref="Phytozome:Brara.H00379" mRNA <3586006..>3586347 /locus_tag="BRARA_H00379" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00379" CDS 3586006..3586347 /locus_tag="BRARA_H00379" /codon_start=1 /product="hypothetical protein" /protein_id="RID49589.1" /db_xref="Phytozome:Brara.H00379" /translation="MSFNFLSSASKACLCVIGASSQIIRSIFSIGSANIVPGLMVQSL SSESFKGILKRECVVLPLGSSNAYIIEEAISRTICFLDPILDIMVWYRNVFHVPHAPY TKNSFPSILST" gene 3606579..3626977 /locus_tag="BRARA_H00380" /db_xref="Phytozome:Brara.H00380" mRNA join(3606579..3606786,3607125..3607206,3607352..3607455, 3607969..3608064,3608165..3608212,3608354..3608404, 3608623..3608726,3608869..3608986,3609514..3609615, 3609732..3609929,3610729..3610886,3611084..3611242, 3611599..3611691,3611853..3612207,3612298..3612411, 3612842..3612937,3613211..3613278,3613492..3613612, 3613686..3613907,3613993..3614220,3614301..3614410, 3614594..3614690,3614999..3615094,3615192..3615332, 3615488..3615556,3615997..3616470,3616558..3616878, 3617116..3617247,3617347..3617460,3617577..3617761, 3618049..3618157,3618240..3618383,3618721..3618996, 3619101..3619772,3619969..3620175,3620468..3620571, 3620881..3621176,3621320..3621404,3621707..3622408, 3622515..3622833,3623004..3623162,3623241..3623404, 3623501..3623681,3623763..3624032,3624117..3624323, 3624537..3624591,3624823..3624953,3625048..3625986, 3626071..3626147,3626507..3626977) /locus_tag="BRARA_H00380" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00380" CDS join(3606772..3606786,3607125..3607206,3607352..3607455, 3607969..3608064,3608165..3608212,3608354..3608404, 3608623..3608726,3608869..3608986,3609514..3609615, 3609732..3609929,3610729..3610886,3611084..3611242, 3611599..3611691,3611853..3612207,3612298..3612411, 3612842..3612937,3613211..3613278,3613492..3613612, 3613686..3613907,3613993..3614220,3614301..3614410, 3614594..3614690,3614999..3615094,3615192..3615332, 3615488..3615556,3615997..3616470,3616558..3616878, 3617116..3617247,3617347..3617460,3617577..3617761, 3618049..3618157,3618240..3618383,3618721..3618996, 3619101..3619772,3619969..3620175,3620468..3620571, 3620881..3621176,3621320..3621404,3621707..3622408, 3622515..3622833,3623004..3623162,3623241..3623404, 3623501..3623681,3623763..3624032,3624117..3624323, 3624537..3624591,3624823..3624953,3625048..3625986, 3626071..3626147,3626507..3626957) /locus_tag="BRARA_H00380" /codon_start=1 /product="hypothetical protein" /protein_id="RID49590.1" /db_xref="Phytozome:Brara.H00380" /translation="MFEAHVLHLLRRYLGEYVHGLSTEALRISVWKGDVVLKDLKLKA EALNSLKLPVAVKSGFVGTITLKVPWKSLGKEPVIVLIDRVFVLAYPAPDGRTVKEED MEKFLETKLQQIEEAESATLEARAKSKLGSSPAGNSWLGSLIATIIGNLKISISNVHV RYEDSTSNPGHPFASGITLAKLAAVTMDEEGNETFDTSGALDKLRKSLQLERLALYHD SNSSPWEMEKQWDDISPVEWVEMFEDGIKEQTAHNIKSKWALNRRYFLSPINGSLKYH RLGNQERNNQEIPFERASVNLNDVNVTITEEQYHDWIKLVEVVSRYKTYMEISHLRPM VPVSEAPRLWWRFASQASLQQRRLCYRFSWDSIHHFCRLRRRYIQLYANFLQLSSNAN NPEMREIEKDLDSKVILLWRLLAHAKVESVKSKEAAEQRKLKKGGWFSFKWRTESEDD PEADTVAEGSKSMEEGLTKEEWKAINKLLSHQPDEEMNLYSGKDMQNMTHFLVTVSIG QGAARIVDINQTEVLCGSFEQLDVTTKFRYRSTQCDVSLRFYGLSAPEGSLAQSVSSE RKTNALMASFVKSPIGENIDWRLSATISPCHATIWTESYDRVLEFVKRSNAISPTVAL ETAAVLQMKLEEVTRRAQEQLQIVLEEQSRFALDIDLDAPKVRIPLRASGSSKCSSHF LLDFGNFTLTTVDTRSEEQRQNLYSRFCISGRDIAAFFTGCGSDNQGCSLLMEDFTNQ PMMPPILEKGDSVYSLIDRCGMAVIVDQIKVPHPSHPTTRISIQVPNIGVHFSPTRYM RIMQLSDILYGAMKTYSQTPLDDIPDGIQPWSPADLVSDARILVWKGIGNSVATWQPC HLVLSGLYLYTYESEKSLDYQRYLCMAGRQVFEVPPENVGGSPYCLAVGLRGTNLKKA LESSSTWIIEFQGEEKAAWLRGLVQATYQASAPLSGDVLGHTSDGDGDFHEPQTGNLK AADLVINGALVETKLYLYGKIKDECDEKVEEVLLLEVLAAGGKVHMISSESGLTVRTK LHSLKIKDELQQSGSAQYLAYSVLKNEYIQDPRRCDAYDKEMSVGHADDEDAFTDALP EFLSPTEPGTPDMDMIQCSMMMDSDEHVGIEDAEGGFHEKDTSQGKGLCDEVFYEVQG GEFSDFVSVVFLTRSSSSPDYNGIDTQMSIRMSKLEFFCSRPTLVALIGFGFDLSAAT YVEDDKDANNLAFEKSGSEKEAKDEGGRIEGLLGYGKDRVVFYLNMNVDSVIVFLNKE DGSQLAMFVQERFVLDIKVHPSSLSIEGTLGNFKLCDKSLDSGNCWSWLCDIRDPGVE SLIKFKFNSYSAGDDDYEGYDYSLSGRLSAVRIVFLYRFVQEVTAYFMGLATPHTEEV IKLVDKVGGFEWLIQKYEIDGATALKLDLSLDTPIIVVPNDSLSKNYIQLDLGQLEIS NEISWHGCPEKDPSAVRVDALHAKILGLNMSVGINGSIGKPMIREGQGLDIFVRRSLR DVFKKVPTLSVEIKIDFLHGVMSDKEYDIIVSCTTMNLFEEPKLPPDFRGNSSGPKDQ MRLLVDKVNLNSQTIMSRTVTILAVDINYALLELRNSVSEESPLAHVELEGLWVSYRM TSLSETDLYVSVPKVSVLDIRPNTKPEMRLMLGSSVDASKQVSSESFPFSLNKGSFKR ANSRAVLDFDAPCSTMLLMDYRWRASSQSCVLRVQQPRILAVPDFLLAVGEFFVPALR AITGRDETMDPTNDPITRRSGIVLYEALYKQIEEVVYFSPCRQLVADSLGVDEYTYDG CGKVISLSEQGEKDSNSGRLEPIIIVGHGKKLRFVNVKIKNGSLLSKCVYLSNDSSCL FSPEDGVDISMLENTKSNSESVLSNAHKSSDVSDACQSDLKAGQNFTFEAQVVSPEFT FFDGTKSSLDDSSAVEKLLRVKLDFNFMYASKENDIWVRALLKNLVVETGSGLIILDP VDISLGYTSVKEKTNMSLTSTDIYIHLSLSALSLLLNLQSQVTGALQSGNAIPLASCT NFHRIWVSPKENGPRNNLTIWRPQAPSNYVILGDCVTSRAIPPTQAVMAISNTYGRVR KPTGFNCIGLFSVIQGSGGDNGQHSIDSDECSLWMPVAPAGYTAMGCVANLGSEPPPD HIVYCLRSDLVSSSSFSECIYTVPSNSLFESGFSIWRADNVLGSFYAHSSTAAPSKQY SPGLSHCLLWNPLQMKTSPISDSSPTGGSQSEQTSDQTGNSSGWDIVRSISKPTSYHV STSNFERIWWDKGGDLRRPVSIWRPIPRPGFAILGDSITEGLEPPALGILFKADDSEI AAKPVQFSKVAHIVGKGLDEVFCWFPVAPPGYVSLGCVLSKFDEAPRVDSFCCPRIDL VNQANIYEASVTRSSSSKSSQSWSIWKVDNQACTFLARPDLKRPPSRLAFAVGESVKP KTQENVNAEIKLRCFSLTLLDGLHGMMTPLFDTTVTNIKLATHGRPEAMNAVLVSSIA ASTFNPQLEAWEPLLEPFDGIFKLETYDTALSQSSRPGKRLRIAATNILNLNVSAANL ETLGDTVVSWRRQLELEERAAKMKEESGVSRESGDLSAFSALDEDDYQTIVVENKLGR DIYLKKLEENSDVIVKLCHDENTSVWVPPPRFSNRLNVSDSSREARNYMTVQILEAKR LHIVDDGNSHNFFCTLRLVVESHGAEPQKLFPQSARTKCVKPSTTVINDLMECTSNWN ELFIFEIPRKGLARLEVEVTNLAAKAGKGEVVGSLSFPIGHGENTFRKVASVRSLYQS SDAENISSYTLQRKNAEDIHENGCLLVSTSYFEKTTIPNTLRNMESKDFADGDTGFWI GVRPDDSWHSIRSLLPLSVAPKSLQNDFIAMEVSMRNGRKHATFRCLATVVNDSDVNL EISVSSDQNVSSGPSNHNALIASRSSYILPWGCLSKDNEQCLHVRPRVDPPHHSYAWG SCIAVSSGCGKDQPFVDQGLLTRQQTFKQSSRPASFVLKLNQLEKKDMLFCCQPATGS KPFWLSIGADASVLHTDLNTPVYDWKISINSPLKLENRLPCPVKFTVWEKTKVGTYLE RQHGVVSSRKLAHVYSTDIQRPVYLTLAVHGGWALEKDPIPVLDLYSSDSVTSFWFIH QQSKRRLRVSIERDVGETGAAPKTIRFFVPYWITNDSYIPLGYRVVEIEPSENVEAGS PCLSRAPRSFRKNPGFSMERRQQRKNVRVLEVIEDTSPMPSMLSPQESAGRSGVVLFP SQKDSYVSPRIGIAVAARDSEIYSPGISLLELEKKVTS" assembly_gap 3633100..3643099 /estimated_length=unknown /gap_type="between scaffolds" assembly_gap 3651184..3661183 /estimated_length=unknown /gap_type="between scaffolds" assembly_gap 3669625..3679624 /estimated_length=unknown /gap_type="between scaffolds" gene complement(3693887..3697380) /locus_tag="BRARA_H00381" /db_xref="Phytozome:Brara.H00381" mRNA complement(join(3693887..3694212,3694302..3694432, 3694519..3694815,3694896..3695063,3695141..3695347, 3695419..3695614,3695697..3695983,3696050..3696453, 3696532..3696663,3696793..3696844,3696943..3697035, 3697277..3697380)) /locus_tag="BRARA_H00381" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00381" CDS complement(join(3694149..3694212,3694302..3694432, 3694519..3694815,3694896..3695063,3695141..3695347, 3695419..3695614,3695697..3695983,3696050..3696453, 3696532..3696663,3696793..3696844,3696943..3697035, 3697277..3697291)) /locus_tag="BRARA_H00381" /codon_start=1 /product="hypothetical protein" /protein_id="RID49591.1" /db_xref="Phytozome:Brara.H00381" /translation="MARNREGLVLLVDIGPAMHSVLPDVEKTCSLLMQKKLIYNKFDE VGIVVFGTQETENELARDIGGYENIKVLRNIKVVDELVVDLVKRLPRGPVAGDFLDAL IVGMDMLIKMYGAGQKGKKRLCLITNAACPTKDPFEGTKDEQVSTIAVKMAAEGIKME SIVMRADASGDVDERIIEENDHLLTLFSTNAIAKTVYVESPLSLLGSLKTRRVAPVTL FRGDLEINASMKIKVWVYKKVAEERLPTLKMYSDKAPPSDKFAKHEVKIDYDYKVTAE TSEVLAPEERIKGFRYGPHVIPISPDEMETLKFKTEKGMKLLGFTDASNILRHYYMKD VNIVVPDPSKEKSVIAVSALAREMRQTNKVAIVRCVWRNGQGNVVVGVLTPNVSERDD TPDSFYFNVLPFAEDVREFPFPSFSRFPASLKPDEQQQAVADNLVKMLDLAPSPKEEV LKPELTPNPVLQRFYEYLELKSKSTDAALPPMNEAFKRIMEQDPELSSSNKSIMDSFS GSFEVKENPKLRKASKRLLRDKPSGSDDEDNRMITYNANENSIDTVGDANPVQNFEAM MSRRDGSDWTDKAISEMKKRIVKLVEDSTTDEGDKALECLLSLRKCCVLEQEPKQFNE FLNHLYELCQEKKLSHFLEHFTSKKITMIPKSEAADSDVADEEAADFAVKQEPKFET" gene complement(<3703926..>3704459) /locus_tag="BRARA_H00382" /db_xref="Phytozome:Brara.H00382" mRNA complement(<3703926..>3704459) /locus_tag="BRARA_H00382" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00382" CDS complement(3703926..3704459) /locus_tag="BRARA_H00382" /codon_start=1 /product="hypothetical protein" /protein_id="RID49592.1" /db_xref="Phytozome:Brara.H00382" /translation="MAANLRNNAFLSSLMLILLVGSSYAITSSEMSTICDKTLDRAFC LKFLNSKSASPNIQALAKTTLDATQARATQTSKRLQSIIDGGVDPRSKLAYRSCMDEY ENTIENLDEAFEHLASGDGFGMNMKVSAALDGADTCLDDVKRLRSVDASVVNNSKGIK KLCGIALVISNMLPRRS" gene 3724218..3726232 /locus_tag="BRARA_H00383" /db_xref="Phytozome:Brara.H00383" mRNA join(3724218..3725306,3725405..3725545,3725637..3726232) /locus_tag="BRARA_H00383" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00383" CDS join(3724383..3725306,3725405..3725545,3725637..3725966) /locus_tag="BRARA_H00383" /codon_start=1 /product="hypothetical protein" /protein_id="RID49593.1" /db_xref="Phytozome:Brara.H00383" /translation="MVDLISNLPDEILGKILSLVPTKVAASTSVLSKRWRNLLGLIDS LCFDESEEATSGSHRFFDFVDKTFALLSESPIIKKLSLSHIPTSGRDDDNSRVSRWIW TALERGLSELHLHATPRCHGVYLSRELFTSNTLVKLTLSGEYALEVNRVFLPALKSIS LLSTWLDGPNYGRLLDGCPVLEDLLITETHRWALPCCASFVESASLRRLVITVKLSDT EDTTVFLKAPSLVFLDYSGYVTSVYDFVDLDMLVEAKLNLMLWDSSVYDEHHDDDYYD DGRPEGISADITRLVAGISNITTLHLSPESLELFHLCCESMPVFNNLLTLSIESDKAH GWQAMPLLLESCPNLHTLVIKGLVHRVTNRCGDACPCSPEEHKNKKRRTVKDEEESCC LSTCHVKVLEISEYGGSFQELKQMRHFLGKLECLETVKVCVDADENNNNRQVLQANLL SLPRLSSKCNIMFI" gene <3730252..>3733610 /locus_tag="BRARA_H00384" /db_xref="Phytozome:Brara.H00384" mRNA join(<3730252..3730350,3730421..3731652,3731689..3732879, 3732985..3733045,3733248..>3733610) /locus_tag="BRARA_H00384" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00384" CDS join(3730252..3730350,3730421..3731652,3731689..3732879, 3732985..3733045,3733248..3733610) /locus_tag="BRARA_H00384" /codon_start=1 /product="hypothetical protein" /protein_id="RID49594.1" /db_xref="Phytozome:Brara.H00384" /translation="MDRRSWPWKKKSSDKTTLVVESAADTSHPQVEKDVVKKPKYVQI SVEQYTHLTSLEEQIKTYDVQIKSYESQVEAYEERVKSFEEQIEAYDDKVQSYAEQVE TLNEEKEDLSEKLTAANEEIDTKEALVKQHCKVAEDAVAGWEKADAEALTLKNTLESV TLSKLTAEDRAAHLDGALKECMRQIRSLKKDHEANLHDLALSRSKEMEKLTMEFEKRI SEYEQELLRSGADSDALSRTLQERSNMLVKISEEKARADAEIETLKSNLEMCEREIKS LKYEVHVVTRELEIRNEEKNMCIRSAEVANKQHLEGVKKIAKLEGECQRLRSLVRKKL PGPAALAQMKLEVESLGVGGDTRVKRSPSKASSPGKSPREYSSSGSDFSVDSSQKVQK ENEFLTERLLAMEEETKMLKEALAKRNNELLESRNVCAQSNSKLQSLEAQLHSISVSE DGNDDSGSCSGTLSQQQSNKEKEMAALVRVESVSSHVELMDDFLEMEKLACLPNQSSM DSKDSSGDQELEVANVEAHTEVKDSDKDSPAVMEFRSRLSKVLESVSADTDLGKIVED VKRILQDVNACMDQDKPSDVQVHPEEEAVHQDLKTAVSRIHEFVLLLRKEVRAGEDTV TEGNDFVELIDGFSITFNHVLSGHQNLGDFVSDLANVFNEAMELKVTFKGLAPSEVEV VSPDCIDKVALPESKAVAKEIYQNGCVNNEPEVPCDENRVLRYESESTLEEIEELKSE KEKMAADIEELKCQLQESEKMLGEIRSQLDSAQRSNSLADTQLRCMTESYRSLETRAA DLEIDVNQLKEKVRSLEDELEDEKRNHQEAIMRCHELEEHIQRSRDTSLVAVEDEEAD NKTKQERELTAAAEKLAECQETIFVLGKQLKSLRPPERQSESYSEDELGTKNYAVDEE DLADNWVNEVPRSMESPNCPSDSETSELMTSPSRVGSRLSRSGSSGNPTPEKASRGIS RFFSTKSGY" gene complement(3737207..3739885) /locus_tag="BRARA_H00385" /db_xref="Phytozome:Brara.H00385" mRNA complement(join(3737207..3737504,3737589..3737673, 3737751..3737827,3737918..3737983,3738065..3738113, 3738194..3738244,3738345..3738397,3738508..3738562, 3738649..3738737,3738819..3738904,3738996..3739055, 3739151..3739304,3739462..3739885)) /locus_tag="BRARA_H00385" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00385" mRNA complement(join(3737207..3737504,3737589..3737673, 3737751..3737827,3737918..3737983,3738065..3738113, 3738194..3738244,3738345..3738397,3738508..3738562, 3738649..3738737,3738819..3738904,3738996..3739055, 3739151..3739307,3739462..3739885)) /locus_tag="BRARA_H00385" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00385" CDS complement(join(3737433..3737504,3737589..3737673, 3737751..3737827,3737918..3737983,3738065..3738113, 3738194..3738244,3738345..3738397,3738508..3738562, 3738649..3738737,3738819..3738904,3738996..3739055, 3739151..3739304,3739462..3739665)) /locus_tag="BRARA_H00385" /codon_start=1 /product="hypothetical protein" /protein_id="RID49596.1" /db_xref="Phytozome:Brara.H00385" /translation="MAATSKSGEDPSLSYKHRSPFRFELIHSPSPTDPLHSSSTNRPL SVPQPQIQAHWDESFSPIPITQKLKSRKNHSISSSSMPGETIDIAKVIVKQESPQDNT KRGTKSKVTKLSKSVKREGLQLSGPNGSNNCRYDSSLGLLTKKFVNLIREAEDGSLDL NYCADVLEVQKRRIYDITNVLEGVGLIEKTTKNHIRWKGADNLGQLELGNQISRLKLE VESMQSEENRLDDLIRERQEALRSLEEDEHCKRYMFMTEEDITSLPCFQNQTLLAIKA PTASCIEVPDPDEVMSFPQRQYRMVIRSRMGPIDVYLLSKHKGDSSMETDESAVDTSS LKIVTSDTDLKTDYWFESGEEVTLTDLWNNFC" CDS complement(join(3737433..3737504,3737589..3737673, 3737751..3737827,3737918..3737983,3738065..3738113, 3738194..3738244,3738345..3738397,3738508..3738562, 3738649..3738737,3738819..3738904,3738996..3739055, 3739151..3739307,3739462..3739665)) /locus_tag="BRARA_H00385" /codon_start=1 /product="hypothetical protein" /protein_id="RID49595.1" /db_xref="Phytozome:Brara.H00385" /translation="MAATSKSGEDPSLSYKHRSPFRFELIHSPSPTDPLHSSSTNRPL SVPQPQIQAHWDESFSPIPITQKLQKSRKNHSISSSSMPGETIDIAKVIVKQESPQDN TKRGTKSKVTKLSKSVKREGLQLSGPNGSNNCRYDSSLGLLTKKFVNLIREAEDGSLD LNYCADVLEVQKRRIYDITNVLEGVGLIEKTTKNHIRWKGADNLGQLELGNQISRLKL EVESMQSEENRLDDLIRERQEALRSLEEDEHCKRYMFMTEEDITSLPCFQNQTLLAIK APTASCIEVPDPDEVMSFPQRQYRMVIRSRMGPIDVYLLSKHKGDSSMETDESAVDTS SLKIVTSDTDLKTDYWFESGEEVTLTDLWNNFC" gene 3755781..3758961 /locus_tag="BRARA_H00386" /db_xref="Phytozome:Brara.H00386" mRNA join(3755781..3756482,3756551..3756779,3756893..3757219, 3757322..3757453,3757562..3758961) /locus_tag="BRARA_H00386" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00386" CDS 3755927..3756172 /locus_tag="BRARA_H00386" /codon_start=1 /product="hypothetical protein" /protein_id="RID49597.1" /db_xref="Phytozome:Brara.H00386" /translation="MASSNQQMIQIQSAFRRFPASSISPFLCKFQKLAMAPFARDFFL SFDLSIKLSPYLRHSSPCPKTFDCLGILTHDGLCLSW" gene 3763947..3767604 /locus_tag="BRARA_H00387" /db_xref="Phytozome:Brara.H00387" mRNA join(3763947..3764563,3764740..3764837,3766786..3767604) /locus_tag="BRARA_H00387" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00387" CDS join(3764055..3764563,3764740..3764837,3766786..3767435) /locus_tag="BRARA_H00387" /codon_start=1 /product="hypothetical protein" /protein_id="RID49598.1" /db_xref="Phytozome:Brara.H00387" /translation="MAPLPLIPNQTQSETRLFSSDPKKKKSRKKQTPLPQTQTQTQTL KKKTVQSSSSSWSQIKNLLSCKQIEGPRVHEPSKITSSSCGSSLCKFSDVIYGNARVI HRSDHSPGSSNLDQDAGLLTRKPVTRGSSATVRSNGCGAYTSYSSSKAMQFRKLSGCY ECHMIVDPCRYPVSPRICACPQCGEIFPKLETLEHHQAVRHAVSELGPEDSGRNIVDI IFKSSWLRKDSPIYNIERILKVHNTQRTIQRFEDCRDAVKSHAHASTRKEPRSAADGN ELLRFHCTTVSCSLGSRGSTSLCSNFPGCRVCTIIRHGFHAKTLRLGGGANEIKGVRT TASSGRAHDASRCFDQRRAMLVCRVIAGRVRRVQSDAQEDENGSGSYDSVAGPAGVYT NLDDLVVFNPKAILPCFVVIYKVSEP" gene <3773211..>3776182 /locus_tag="BRARA_H00388" /db_xref="Phytozome:Brara.H00388" mRNA join(<3773211..3773266,3773596..3774936,3775143..3775488, 3775537..3775722,3776048..>3776182) /locus_tag="BRARA_H00388" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00388" CDS join(3773211..3773266,3773596..3774936,3775143..3775488, 3775537..3775722,3776048..3776182) /locus_tag="BRARA_H00388" /codon_start=1 /product="hypothetical protein" /protein_id="RID49599.1" /db_xref="Phytozome:Brara.H00388" /translation="MECGKNDKTFWSSTHKSIKTIFFRLHIGGYWAADGSDNGGETRC CRVDFEDPSLAILKKMVSDAGFSENMSKFTYFPNGVIGDDRIELLDDGDVREMIRVTQ EIESMNLYVVREDDPFLDDVLHGEVEEEEEPEDDGEEYDFYRNDYVESDGSDSDDDGD RIDFYVGQEFASKEKCKATLEKYAVRDKVNIQFKKCEKKKIQAVCVQECCKWRLYASI NSRSDKMVVISMEGTHNCYPIGVVNLYSAPKIAADYINEFRTNPKLSAEQIQQQLSLN GLRVTKTKCQSARQIMRHIISDEYAEQFTRMYDYVEELRKTNPGSTVILGTKDRVFEK FYTCFASQKMGWKSACRRVIHLDGTFLKGRMKGILLTAIGRDPNDAMYIIGWAIVPVE NKVYWQWFMELLREDLGLEDDNGVALSSDQQKGLIYAIKNALPYAEHRMCARHIFANL QKRYKQMGSLHKVFWKAYFSDITKSASVENNISESYNAVLKLAREKPIVGLLEDIRRH IMASNLVKIKEMENVTSSITPKALATIEKRKKGLKWCRPPLANGRGIYEVDHGKNKYV VHVREKTCCSCREYDFKETKLPETVVVDWFSVEKWKQCYSSLLFPVNGMELWETHSDV VVMPPSDRIMPGRPKNNDRTCGNCQQTGHNKRKCRLEPVPKPSNQPPGRPSKKQKTTT DTNVE" gene complement(<3776508..>3776804) /locus_tag="BRARA_H00389" /db_xref="Phytozome:Brara.H00389" mRNA complement(<3776508..>3776804) /locus_tag="BRARA_H00389" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00389" CDS complement(3776508..3776804) /locus_tag="BRARA_H00389" /codon_start=1 /product="hypothetical protein" /protein_id="RID49600.1" /db_xref="Phytozome:Brara.H00389" /translation="MDLSPGIPRTCQCGALTIVLTSGTSRNPGRKFYWYGAISGPNHV FKWLDEAHLEEFDVLASKQTMIINDLAEIKKDIVELKNDMREIIEVIELIRTKP" gene 3777401..3779235 /locus_tag="BRARA_H00390" /db_xref="Phytozome:Brara.H00390" mRNA join(3777401..3777657,3777813..3777962,3778080..3778258, 3778337..3778512,3778587..3778800,3778876..3779235) /locus_tag="BRARA_H00390" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00390" CDS join(3777453..3777657,3777813..3777962,3778080..3778258, 3778337..3778512,3778587..3778800,3778876..3779085) /locus_tag="BRARA_H00390" /codon_start=1 /product="hypothetical protein" /protein_id="RID49601.1" /db_xref="Phytozome:Brara.H00390" /translation="MGKTTYKRLKGSQSFRQRLLLATLSSTPIIIDEIRADDMIPGLL RHEMSLLRLFETVSDDCVVEVNETGTRLKYKPGIVMGGKNLVHSCALTRSMGYYLEPL LVLGLFGKKPLSIRLKGVTDDPKDLSVDTVRNATLHILKRFGVPSEGLDLKIEARGVA PEGGGEVLLTVPNVQSLTAVQWVEEGMVKKIRGWTFSARVSSDFEHSMRFAARGVFNN LLPDVHIFNDHKSGPHAGKSPGYGISLVAETTAGCYISADTAVSCGRADETGEIDVER QERKPAEDTGVEIASWLLQEIEKGGVVDSTHQGLLFILCALCQQDVSKVRVGTLSPYG VETLRNLKEFLGVTFSFRPDPSTGTVILTCVGSGLINLSRKLS" gene complement(3800813..3805244) /locus_tag="BRARA_H00391" /db_xref="Phytozome:Brara.H00391" mRNA complement(join(3800813..3801805,3804180..3804344, 3804841..3804896,3804980..3805244)) /locus_tag="BRARA_H00391" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00391" CDS complement(join(3804261..3804344,3804841..3804896, 3804980..3805028)) /locus_tag="BRARA_H00391" /codon_start=1 /product="hypothetical protein" /protein_id="RID49602.1" /db_xref="Phytozome:Brara.H00391" /translation="MPEFARVASDGVVLFAGLLVKQKSKVAEFSKFGRPAKMCSTSWW DRFYNQTSLEGRRTKQEV" gene <3807371..>3808090 /locus_tag="BRARA_H00392" /db_xref="Phytozome:Brara.H00392" mRNA <3807371..>3808090 /locus_tag="BRARA_H00392" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00392" CDS 3807371..3808090 /locus_tag="BRARA_H00392" /codon_start=1 /product="hypothetical protein" /protein_id="RID49603.1" /db_xref="Phytozome:Brara.H00392" /translation="MANPKPRDILNHLETYLAKRDGVDKLLKISRYTTKIILASSLIP QTNPLTHRLKSFESSVGVSRKAFRLGKFVQDINSLRASRHDSNRGLALLLILAYGGEG VYYFVEQFVWLSKSGLIDAKWLQKMSAWAELVGYVGSVSLKVRDLREIKKEEACVAST IEISVTRGIACGGEEEKMRKLKEKKTMKVLSVLQDLADGLMAVADVRDGKVGVLSAPS VIASAGLFSAIISTHKNWVSC" gene complement(3808175..3810732) /locus_tag="BRARA_H00393" /db_xref="Phytozome:Brara.H00393" mRNA complement(join(3808175..3808521,3808613..3808900, 3808974..3809231,3809335..3809394,3810486..3810732)) /locus_tag="BRARA_H00393" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00393" CDS complement(join(3808259..3808521,3808613..3808900, 3808974..3809231,3809335..3809371)) /locus_tag="BRARA_H00393" /codon_start=1 /product="hypothetical protein" /protein_id="RID49604.1" /db_xref="Phytozome:Brara.H00393" /translation="MLKGEAEPKQRKGWSNSLLEFRSGFGEKMKLASKKRWKSLAPLN LKSKSVARFCFFSKLKSSNHGPDRAPVYLNVYDLTPINGYIYWAGLGIFHSGVEVHGV EYAFGAHDYATSGVFEVEPRQCPGFKFKKSIFIGTTNLNPAQVREFMEDTACSYYGNM YHLIAKNCNHFCHDVCYKLTGKKIPKWVNRLAQIGSVCSCILPESLKITAISHDDPDG QISEEESEKRSLTTSSFSCLSSISMRQKQLSTSSLLIQSPLRGCLPPWQLKRSKSDTS SLKGR" gene complement(3824757..3826165) /locus_tag="BRARA_H00394" /db_xref="Phytozome:Brara.H00394" mRNA complement(join(3824757..3825412,3825532..3825900, 3826009..3826165)) /locus_tag="BRARA_H00394" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00394" mRNA complement(join(3824757..3825412,3825532..3825911, 3826009..3826165)) /locus_tag="BRARA_H00394" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00394" CDS complement(join(3824912..3825412,3825532..3825900, 3826009..3826155)) /locus_tag="BRARA_H00394" /codon_start=1 /product="hypothetical protein" /protein_id="RID49605.1" /db_xref="Phytozome:Brara.H00394" /translation="MPLCNDSSRNLAVAVALFFAGVLYFSFSTHSISDHISDLLHNTN TPQQVDELEAVLESAAAGNNNTVIIALVNRAYVEEVGEGRTMLDLFLESFWEGEGTLP LLDHLVLVATDHTAYDRCRFKRLHCYKMDIKGVDLEGEKVYMSADFIEMMWRRTHFLL DVLSRGYHILFTDTDVLWLRTPFSRLSNNGSLDMQISVDQNNVEAGHAINTGFFHVRS NNKTFSLFNKWYDMRLNSPGMKEQDVLQKLLDTGFFNQLGLNVNFLNTTEFSGFCQDS TDMGVVTTVHANCCRHIPAKIFDLTLVLSDWKSYKTSHVINKWSPHHKCGGSWKDNDY VPKP" CDS complement(join(3824912..3825412,3825532..3825792)) /locus_tag="BRARA_H00394" /codon_start=1 /product="hypothetical protein" /protein_id="RID49606.1" /db_xref="Phytozome:Brara.H00394" /translation="MLDLFLESFWEGEGTLPLLDHLVLVATDHTAYDRCRFKRLHCYK MDIKGVDLEGEKVYMSADFIEMMWRRTHFLLDVLSRGYHILFTDTDVLWLRTPFSRLS NNGSLDMQISVDQNNVEAGHAINTGFFHVRSNNKTFSLFNKWYDMRLNSPGMKEQDVL QKLLDTGFFNQLGLNVNFLNTTEFSGFCQDSTDMGVVTTVHANCCRHIPAKIFDLTLV LSDWKSYKTSHVINKWSPHHKCGGSWKDNDYVPKP" gene 3834909..3836798 /locus_tag="BRARA_H00395" /db_xref="Phytozome:Brara.H00395" mRNA join(3834909..3835301,3835376..3835520,3835662..3835749, 3835929..3836798) /locus_tag="BRARA_H00395" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00395" CDS join(3834964..3835301,3835376..3835520,3835662..3835749, 3835929..3836644) /locus_tag="BRARA_H00395" /codon_start=1 /product="hypothetical protein" /protein_id="RID49607.1" /db_xref="Phytozome:Brara.H00395" /translation="MNTFFKLGSLIRITASHLSSNQHLRDAGSFASSAIPKPRFFSNG TDGESALFHHARMFRKPLSTSFKFNLSNSVSLVGFVDQPISVIDTEPDRFGVSTWLRV KDPRDPNRSFRIPLSIWDVMARKCVAHLKPNDFVFVSGRLVSYDKSSGNENSGFGVNY QVKVSEVSHVMAPPSHLLDSGIPKKPKSETVVSLEVAREDATEESKNGDSDLWEAFFA NPDDWWDRRRSKKNPRLPDFKHKDTDQALWLSSDTPVWVTSHLELLDQRRGDDTEESE HDEIHLWKALFANPDEWWDKRRNKKSPKLPDFVHKDTDEALWLNSDTPVWVTRQLELF DQSKPDGIEESNHDKVYLWQVFFANPHEWWDKRKSKTNPRQPDFKHKDTGEALWLDSD VPVWVTRQLELHDQSNSNKSCYDQKQTGRGRLGDWV" gene <3841747..>3843605 /locus_tag="BRARA_H00396" /db_xref="Phytozome:Brara.H00396" mRNA join(<3841747..3841853,3841959..3842119,3842367..3842542, 3842858..3843028,3843474..>3843605) /locus_tag="BRARA_H00396" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00396" CDS join(3841747..3841853,3841959..3842119,3842367..3842542, 3842858..3843028,3843474..3843605) /locus_tag="BRARA_H00396" /codon_start=1 /product="hypothetical protein" /protein_id="RID49608.1" /db_xref="Phytozome:Brara.H00396" /translation="MEKQSDKKITWVIKTFSSLHSEKVHSDIFVVGRCRWCLKAYPKG NKKANHLSLYLAVANSEYLPFGWRRHAKFSFTVVNQFSYKLSRLGGMISLSELHDKEG FLVNREVKIVLKVDVLEVQGKVDVSEESLPVMETIDVNGFHVLPSQNPYLKTAYMNIL LSLTQALCQSPWEISKDDLPEEYAALSYLAAEGFQLDWLGKKLDELQEMGEELKPLKR KYSEMEAQMDKLKAELSAAKYPVSLYDDNV" gene complement(<3843885..>3845132) /locus_tag="BRARA_H00397" /db_xref="Phytozome:Brara.H00397" mRNA complement(join(<3843885..3844646,3844728..>3845132)) /locus_tag="BRARA_H00397" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00397" CDS complement(join(3843885..3844646,3844728..3845132)) /locus_tag="BRARA_H00397" /codon_start=1 /product="hypothetical protein" /protein_id="RID49609.1" /db_xref="Phytozome:Brara.H00397" /translation="MDMSQGKSLADSIKAKLESLSSLSNQCCIYKVPNTLRRLNPDVY SPRLVSFGPFHRGKEDLQAMEEHKYRYLQSFLPRVTFSLEDLVRVARTWEEDARSCYA EDVKLNSYEFVKMLVVDGSFLVELILRSRYPHLLPFFIVKGFFNLLTPYYQQGTPSIL EMVKSHFSCFLSNIDDKMFESSEPEHFVDLLRSCYLPLAPIILEEGISTVYNAPKATE LHNAGVKFKPSETSSCLLDLKFADGVLEIPTIMVDDLTESLFRNIIVFEQCHCSEKIF LHYIRLLSCFIRSPTDADLLIRSGIFLNSLGVAEDISDVFDSICTEVIFGRKFYFQSL SENLQSYCNTPWNRWKAILRHDYFHNPWSVASVLAALLLLLLTFIQAVCSILAL" gene 3855263..3858044 /locus_tag="BRARA_H00398" /db_xref="Phytozome:Brara.H00398" mRNA join(3855263..3855762,3856338..3856471,3856560..3856987, 3857080..3857211,3857369..3858044) /locus_tag="BRARA_H00398" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00398" CDS join(3855386..3855762,3856338..3856471,3856560..3856987, 3857080..3857211,3857369..3857854) /locus_tag="BRARA_H00398" /codon_start=1 /product="hypothetical protein" /protein_id="RID49610.1" /db_xref="Phytozome:Brara.H00398" /translation="MDERPETELISIPATPRVSTPEILTPSGQRSPRPATKPSSAAWT PTSFISPRFLSPIGTPMKRVLVNMKGYLEEMGHLTKLNPQDAWLPITESRNGNAHYAA FHNLNAGVGFQALVLPVAFAFLGWSWGILSLTIAYCWQLYTLWILVQLHEAVPGKRYN RYVELAQAAFGERLGVWLALFPTVYLSAGTATALILIGGETMKLFFQIVCGPLCTSNP LTTVEWYLVFTCLCVVLSQLPNLNSIAGLSLIGAVTAITYSTMVWVLSVSQPRPATIS YEPLSMPSVSGSLFSVLNALGIVAFAFRGHNLVLEIQSTMPSTFKHPAHVPMWRGAKV AYFFIALCIFPISIGGFWAYGKLMPPGGMLAALYEFHINDIPRGLLATAFLLVVFNCL SSFQIYSMPAFDSFEAGYTSRTNKPCSVWVRSGFRVFFGFVSFFIGVALPFLSSLAGL LGGLTLPVTFAYPCFMWVLIKKPAKCSFSWYFHWGLGWLGVAFSLAFSIGGISSMVTQ GLKLKFFSPN" gene 3865902..3867605 /locus_tag="BRARA_H00399" /db_xref="Phytozome:Brara.H00399" mRNA join(3865902..3866059,3866200..3866269,3866361..3866420, 3866663..3866777,3866932..3867047,3867135..3867605) /locus_tag="BRARA_H00399" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00399" CDS join(3865983..3866059,3866200..3866269,3866361..3866420, 3866663..3866777,3866932..3867047,3867135..3867383) /locus_tag="BRARA_H00399" /codon_start=1 /product="hypothetical protein" /protein_id="RID49611.1" /db_xref="Phytozome:Brara.H00399" /translation="MTLFHFFNCAILTFGPHAVYYSATPLSEYDTLGTSVKAALVYLA TALVKLICLATLLQVSETQVFDPYQEALKAMIGFIDVAGLYFALAQLTHRNISQNHKF QAVGLGWAFADAVLHRLAPLWVGARGLEFTWDYVLQGLEANANLVFTISLAALGSLMW LRKNKPKTMIPIIYTCALIIATMPSITSYLKRVMGWHFPKIVGFEMLTSLVMAFISCQ LFILCQRRSL" gene 3870349..3871128 /locus_tag="BRARA_H00400" /db_xref="Phytozome:Brara.H00400" mRNA join(3870349..3870452,3870822..3870980,3871065..3871128) /locus_tag="BRARA_H00400" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00400" CDS join(3870381..3870452,3870822..3870845) /locus_tag="BRARA_H00400" /codon_start=1 /product="hypothetical protein" /protein_id="RID49612.1" /db_xref="Phytozome:Brara.H00400" /translation="MFSFSFLKAQRDLESIREVYTLLKIDLSAFL" assembly_gap 3872541..3882540 /estimated_length=unknown /gap_type="between scaffolds" assembly_gap 3883452..3893451 /estimated_length=unknown /gap_type="between scaffolds" gene 3901651..3902391 /locus_tag="BRARA_H00401" /db_xref="Phytozome:Brara.H00401" mRNA 3901651..3902391 /locus_tag="BRARA_H00401" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00401" CDS 3901750..3902037 /locus_tag="BRARA_H00401" /codon_start=1 /product="hypothetical protein" /protein_id="RID49613.1" /db_xref="Phytozome:Brara.H00401" /translation="MASSSSLAKSNNEGKNKIKIPQDNKTPDFVSTHLYIRRIPSTQA LDREVVLRRIRQRRRANKVRSVFHLLFGFPFLSKKHEGNNDHDEDDASTVP" gene <3913200..>3913901 /locus_tag="BRARA_H00402" /db_xref="Phytozome:Brara.H00402" mRNA join(<3913200..3913259,3913523..3913766,3913867..>3913901) /locus_tag="BRARA_H00402" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00402" CDS join(3913200..3913259,3913523..3913766,3913867..3913901) /locus_tag="BRARA_H00402" /codon_start=1 /product="hypothetical protein" /protein_id="RID49614.1" /db_xref="Phytozome:Brara.H00402" /translation="MAKAHICLYFVIFLYLYSGNGHHGEWCVAKPATKKEKLQQIIDF ACSKVNCAAISNGGACYSPEDLLLHASVAMNNYYQAEGRHFWNCNFAGSGIIAITDPS TGNCKYQLKK" gene complement(<3916833..>3917465) /locus_tag="BRARA_H00403" /db_xref="Phytozome:Brara.H00403" mRNA complement(<3916833..>3917465) /locus_tag="BRARA_H00403" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00403" CDS complement(3916833..3917465) /locus_tag="BRARA_H00403" /codon_start=1 /product="hypothetical protein" /protein_id="RID49615.1" /db_xref="Phytozome:Brara.H00403" /translation="MALESAFSASFCSFPFPKAITIERETLSFRRIITSGAKGIAGEG EVQNLRISTDVKRVFKKEKAETQAHNSGRATSRRLSGSVLEDKQEISGQKKAILDRSK AVVKLKSLGKEVREAGYVPETKYVLHDIDEEAKERALMHHSERLAIAFGLINTPPGTT IRVMKNLRICGDCHNFIKVLSSIEGREFIVRDNKRFHHFRDGSCSCGDYW" gene complement(<3931086..>3931361) /locus_tag="BRARA_H00404" /db_xref="Phytozome:Brara.H00404" mRNA complement(<3931086..>3931361) /locus_tag="BRARA_H00404" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00404" CDS complement(3931086..3931361) /locus_tag="BRARA_H00404" /codon_start=1 /product="hypothetical protein" /protein_id="RID49616.1" /db_xref="Phytozome:Brara.H00404" /translation="MAISNKHVSTFIFFLGLAVLHGIQYTEERHLKITSLEIESTYMN PEAENSSIVVTYTRRSVLQKAVITHPTDFRSTNPGNSPGVGHSHGRH" gene <3934287..>3935063 /locus_tag="BRARA_H00405" /db_xref="Phytozome:Brara.H00405" mRNA <3934287..>3935063 /locus_tag="BRARA_H00405" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00405" CDS 3934287..>3935063 /locus_tag="BRARA_H00405" /codon_start=1 /product="hypothetical protein" /protein_id="RID49617.1" /db_xref="Phytozome:Brara.H00405" /translation="MGSSYRSKSAHMADIKGKGILYEDDDEPIKLTDHDVSQNINEFK LSLIGKILNPKKQSVEKLLQKMPVQWGMEDPITANDLGNRKFLLNFTTEEELNSVLRQ GPFHFNFCMFVLVRWEPIVHDDYPWIIPFWTRLIGVPLHLWTENNLREIGSRLGHVHQ DTIELIEGRMLLDFDSRQPLKFARKAESPEGDEVTIEIKYEMLFKHCSTCGMLTHEKE YCPLIQRQGVFARVQLQENRPQQFSKPLVKKEPNALHSKAL" gene complement(3965242..3968827) /locus_tag="BRARA_H00406" /db_xref="Phytozome:Brara.H00406" mRNA complement(join(3965242..3966029,3968446..3968827)) /locus_tag="BRARA_H00406" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00406" CDS complement(join(3965426..3966029,3968446..3968786)) /locus_tag="BRARA_H00406" /codon_start=1 /product="hypothetical protein" /protein_id="RID49618.1" /db_xref="Phytozome:Brara.H00406" /translation="MESTKKQVSLEVLPWLVVHSDGTLERLAGTEVCPPGLDPETGVL SKDIIIDPKTGLSARIYRPDSIQPGQKLPVVLYFHGGAFLIASASLPNYHTSINKLVA QANIIAVSVNYRLAPEHPLPTAYEDSWNALQGVQAGNEPWINDYADFNQFFLAGDSAG ANISHHLAFRAKQSNHTVKIKGIGMIHPYFWGTQPVGSEVTDEARKQMVDGWWRFVCP SDKGSDDPWINPFADGSPNLEGLECERVIITVAERDILRERGKMYYEKLVNSKWRGNA EIMETKGKDHVFHIFEPDCDEAKEMVRRLALFINQVEA" gene 3987716..3989479 /locus_tag="BRARA_H00407" /db_xref="Phytozome:Brara.H00407" mRNA join(3987716..3987925,3988467..3988777,3988865..3989050, 3989214..3989479) /locus_tag="BRARA_H00407" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00407" CDS join(3987763..3987925,3988467..3988777,3988865..3989050, 3989214..3989270) /locus_tag="BRARA_H00407" /codon_start=1 /product="hypothetical protein" /protein_id="RID49619.1" /db_xref="Phytozome:Brara.H00407" /translation="MLLVRSLCRTAAAASVATLRSLRSAPPNQLLRNRSLLTRGFFAV SSFPSNRTPYDCRYPRAIGIGGVRNFSEDVSHMPELKDSDVLNAFKGLMAADWSALPS AVVNEAKKAVSKNTDDKAGQEALTNVFRAAEAVEEFGGILNSLKMEIDDSIGMSGEDV KSLPEDITKALRLAYHRYATYLDAFGPEEVYLKKKVETELGTKMIHLKMRCSGLGSEW GKVTVLGTSGLSGSYVEQRA" gene complement(<3998070..>3998812) /locus_tag="BRARA_H00408" /db_xref="Phytozome:Brara.H00408" mRNA complement(join(<3998070..3998086,3998203..3998319, 3998362..>3998812)) /locus_tag="BRARA_H00408" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00408" CDS complement(join(3998070..3998086,3998203..3998319, 3998362..3998812)) /locus_tag="BRARA_H00408" /codon_start=1 /product="hypothetical protein" /protein_id="RID49620.1" /db_xref="Phytozome:Brara.H00408" /translation="MFLRMTIASNIKTFLPVAEKAKAYLAAIEERFKTADKSLAGKPM ADLTTMKHDGTKSMHEHCIEMTNLAAKLKGSGMSVDDSFLVQFILNSLPPQYGPFQIN YNAIVEKWTSIELANKLVQKESRLGREGIKVAHYVQGAGPKAGKRYQAINEKKARKDD RCNFCKKSGHFQKDCPKRKELFEKKGNPMDHKSK" gene complement(<4006244..>4006393) /locus_tag="BRARA_H00409" /db_xref="Phytozome:Brara.H00409" mRNA complement(<4006244..>4006393) /locus_tag="BRARA_H00409" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00409" CDS complement(4006244..4006393) /locus_tag="BRARA_H00409" /codon_start=1 /product="hypothetical protein" /protein_id="RID49621.1" /db_xref="Phytozome:Brara.H00409" /translation="MYLVAHLVIKSFDGDYAVSAEDVVDTSRAAYIENGGDDDDGGYD YAPAA" gene 4036373..4039402 /locus_tag="BRARA_H00410" /db_xref="Phytozome:Brara.H00410" mRNA join(4036373..4036573,4036915..4037214,4037313..4037484, 4037578..4037731,4037832..4037895,4037994..4038101, 4038183..4038308,4038380..4038615,4038715..4038917, 4038998..4039402) /locus_tag="BRARA_H00410" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00410" CDS join(4037017..4037214,4037313..4037484,4037578..4037731, 4037832..4037895,4037994..4038101,4038183..4038308, 4038380..4038615,4038715..4038917,4038998..4039023) /locus_tag="BRARA_H00410" /codon_start=1 /product="hypothetical protein" /protein_id="RID49622.1" /db_xref="Phytozome:Brara.H00410" /translation="MSTKGEHHTVPLSVLLKRESANEKIDNPELVHGQFNQSKKGEDF TFVKTECQRVTGDGVTTFSVFGLFDGHNGSAAAIYTKENLLNNVLAAIPSDLNRDEWV AALPRALVAGFVKTDKDFQERARTSGTTVTFVIVEGWVVSVASVGDSRCILEPAEGGV YYLSADHRLEINQEERDRVTASGGEVGRLNTGGGTEIGPLRCWPGGLCLSRSIGDLDV GEYIVPVPYVKQVKLSSAGGRLIISSDGVWDAISAEEALDCCRGLPPEASAEHIVKAA VGKKGIRDDTTCIVVDILPSEKPAASVPPPKKQGKGMLKSMFKRKSSDSSSNIEKEYA EPDVVEELFEEGSAMLSERLDTKYPLCNMFKLFMCAVCQVEVKPGEGVSIHAGSANFP KLRPWDGPFLCASCQEKKDAMEGKRSLGDRHSSESD" gene complement(4050811..4051974) /locus_tag="BRARA_H00411" /db_xref="Phytozome:Brara.H00411" mRNA complement(join(4050811..4051077,4051859..4051974)) /locus_tag="BRARA_H00411" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00411" CDS complement(join(4050887..4051077,4051859..4051919)) /locus_tag="BRARA_H00411" /codon_start=1 /product="hypothetical protein" /protein_id="RID49623.1" /db_xref="Phytozome:Brara.H00411" /translation="MRKSLQLLFTFLTVFIILSLGMMTDAQKKETKLCSYKISHSSKQ CFPILCRNECKKKYPPSGSGVCSDSKGFCDCNAPCKGSR" gene 4064548..4065327 /locus_tag="BRARA_H00412" /db_xref="Phytozome:Brara.H00412" mRNA join(4064548..4064841,4065093..4065327) /locus_tag="BRARA_H00412" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00412" CDS join(4064639..4064841,4065093..4065135) /locus_tag="BRARA_H00412" /codon_start=1 /product="hypothetical protein" /protein_id="RID49625.1" /db_xref="Phytozome:Brara.H00412" /translation="MEKVNFFAIIFMVLLVVTRVSAEEPPVKVTANEVTLAAEAAASS FKSSAGEAAQGARTWADWATSKFRNAGVNFEKAPDSE" gene complement(4065325..4066494) /locus_tag="BRARA_H00413" /db_xref="Phytozome:Brara.H00413" mRNA complement(join(4065325..4065686,4065776..4065977, 4066380..4066494)) /locus_tag="BRARA_H00413" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00413" CDS complement(join(4065618..4065686,4065776..4065946)) /locus_tag="BRARA_H00413" /codon_start=1 /product="hypothetical protein" /protein_id="RID49624.1" /db_xref="Phytozome:Brara.H00413" /translation="MGRKAGNLYINPKKLGGIAKPCMKEMVTFLNCMALNKCKDDNCE KQKNLLSVCMNGHAEKSKSWGNINYHLQRLTRGRK" gene complement(4074821..4077917) /locus_tag="BRARA_H00414" /db_xref="Phytozome:Brara.H00414" mRNA complement(join(4074821..4075184,4075273..4075391, 4075487..4075725,4075813..4075869,4075960..4076046, 4076129..4076673,4077588..4077917)) /locus_tag="BRARA_H00414" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00414" CDS complement(join(4075083..4075184,4075273..4075391, 4075487..4075725,4075813..4075869,4075960..4076046, 4076129..4076673,4077588..4077857)) /locus_tag="BRARA_H00414" /codon_start=1 /product="hypothetical protein" /protein_id="RID49626.1" /db_xref="Phytozome:Brara.H00414" /translation="MADPIISSSPLLDDNVNDRGSISFSWVQKLIDVEESKAQIVYSL PMIFTNLFFYCIPLTSVMFASHLGQLELAAATLANSWTTVTGFAFMVGLSGALETLCG QGFGAKSYRMLGIHLQSSCIVSLVFTIFISILWFFTESVFGLIRQDPNISKQAALYTK YQAPGLLAYAFLQNILRFCQTQSIVTPLVVFSFVPLVINIGISYVLVYLTSLGFIGAP IATSISLWIAFLSLGTYVICSDKFKDTWTGFSLESSRYVVTNLTLSLPSAAMVCLEYW AFEILVVLAGLMPNPEIATSLVAICVNTEAISYMLTYGLSAAVSTRVSNELGAGNVKG AKKATSVTTKLSLVLALGVVVTLFVGHDGWVGLFSNSPLIKDEFASLRFFLAASITLD SIQGVLSGVARGCGWQRVVTVINLGTFYFIGMPIAAFCGFKLKLYAKGLWIGLICGLF SQSSSLLLMTIFRKWTKLNSSV" gene 4079132..4081053 /locus_tag="BRARA_H00415" /db_xref="Phytozome:Brara.H00415" mRNA join(4079132..4079353,4079447..4079846,4079924..4080011, 4080086..4080218,4080287..4081053) /locus_tag="BRARA_H00415" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00415" CDS join(4079520..4079846,4079924..4080011,4080086..4080218, 4080287..4080926) /locus_tag="BRARA_H00415" /codon_start=1 /product="hypothetical protein" /protein_id="RID49627.1" /db_xref="Phytozome:Brara.H00415" /translation="MPLKNIVRELRGRNKANGRRGRSHIAPEGSSPSPSAADDCLYQS VWVDLPPELLLDIIHRIESGQTSWPGRRDVVACASVCKAWREMTKEVVKVPEISGLLT FPVSLKQPGPRDDPIQCFIKRERATGIYRLYLGLSPALTGDKSKLLLSAKRVRRATGV EFIVSLSGKDFSRSSSNYIGKLRSNFLGTKFTVYENQPSPVDSRRSFNKKLSQTMRVS PWVTSSTHSYSMASILYELNVLRTRGPRRMQCIMNTIPVSSIQEGGRIHTPTELSNLG SKKKRGLIDFWSGNLGGESVVKEPLVLKNKLPRWHEQLQCWCLNFKGRVTVASVKNFQ LMAAAAEAGKDMNIPEEEQERVILQFGKIGKDTFTMDYRYPISAFQAFAICLSSFDTK PVR" assembly_gap 4086324..4096323 /estimated_length=unknown /gap_type="between scaffolds" assembly_gap 4098476..4108475 /estimated_length=unknown /gap_type="between scaffolds" gene complement(<4108753..>4109649) /locus_tag="BRARA_H00416" /db_xref="Phytozome:Brara.H00416" mRNA complement(join(<4108753..4108931,4109077..4109199, 4109271..>4109649)) /locus_tag="BRARA_H00416" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00416" CDS complement(join(4108753..4108931,4109077..4109199, 4109271..4109649)) /locus_tag="BRARA_H00416" /codon_start=1 /product="hypothetical protein" /protein_id="RID49628.1" /db_xref="Phytozome:Brara.H00416" /translation="MATKDEVQNDPLLQASESDGHEEFNGASFTGAVLNLATAIIGAG IMALPATMKILGLVPGIVMILLMAFLTDKTIDFLLRFSGIGNARRSYGALMEDSFGKT GRIVLQVAILVTNIGVLIVYMIIIGDVLEGMLEGWFGESWWDKRTIVLLFTTLCVFAP LTSFKRIDTLRFTSALLGMDLTHPQSPSNKGPSPANRVDIGAEADSREIDSTGSADSR SREQAERG" assembly_gap 4111324..4121323 /estimated_length=unknown /gap_type="between scaffolds" gene complement(<4124032..>4125314) /locus_tag="BRARA_H00417" /db_xref="Phytozome:Brara.H00417" mRNA complement(join(<4124032..4124076,4124241..>4125314)) /locus_tag="BRARA_H00417" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00417" CDS complement(join(4124032..4124076,4124241..4125314)) /locus_tag="BRARA_H00417" /codon_start=1 /product="hypothetical protein" /protein_id="RID49629.1" /db_xref="Phytozome:Brara.H00417" /translation="MQTKEEFVKLLGKGAYGFVNLVRYNNPDDNSFYLSAVKNSYQED YNALQREFHVLLQLKGCPRIVTCLGDSLQQSFSRFGEKLHKLQLEFASEGSLHAFMNN YADRKLPEPLIRDFTRMVLEGLVSIHDHGYVHCDIKPDNILVFPSSFSRQDSYEVKIC DFGNSLEIGEVPLCWEINFPWLGTAVYMSPESVRDGIAHVSLDLWSVGCLVLEMYTGV IPWEGLELDEIATRLLSGKSPDIPETVPCDAKDFIQTCFLRNPEERVSAHELLLHPFL PRPQVEEEDEKTTEEKTSNSFLSNLFKLRIRRRGSKKKLTTDDVAVSDMKPLKLRFWK TKTVKRTLTKVLGLKKSTDFFQFGHLNSSFQSFTTVCF" gene 4130529..4132447 /locus_tag="BRARA_H00418" /db_xref="Phytozome:Brara.H00418" mRNA join(4130529..4130725,4130827..4130970,4131517..4131642, 4131742..4131893,4131987..4132447) /locus_tag="BRARA_H00418" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00418" CDS join(4130611..4130725,4130827..4130970,4131517..4131642, 4131742..4131893,4131987..4132280) /locus_tag="BRARA_H00418" /codon_start=1 /product="hypothetical protein" /protein_id="RID49630.1" /db_xref="Phytozome:Brara.H00418" /translation="MGTLGRVIYTVGNWIRGSGQALDRIGSLLQGSHRLEEHLSRHRT LMSVFDKSPLVDKDVFVAPSASVIGDVQIGKGSSIWYGCVLRGDVNNISVGSGTNIQD NSLVHVAKTNLAGKVLPTTIGDNVTVGHSAVIHGCTVEDEAFVGMGATLLDGVVVEKH AMVAAGSLVRENTRIPSGEVWGGNPAKFMRKLTDEEVAYISKSAENYINLAHIHAAEN SKSFEEIEVERALRKKYARKDEDYDSMLGIVREIPAELILPDNVLPEKTTTRVPTTHY " gene complement(4133037..4134879) /locus_tag="BRARA_H00419" /db_xref="Phytozome:Brara.H00419" mRNA complement(join(4133037..4133692,4134468..4134879)) /locus_tag="BRARA_H00419" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00419" CDS complement(join(4133225..4133692,4134468..4134818)) /locus_tag="BRARA_H00419" /codon_start=1 /product="hypothetical protein" /protein_id="RID49631.1" /db_xref="Phytozome:Brara.H00419" /translation="MFRNQYDTDVTTWSPTGRLFQVEYAMEAVKQGSAAIGLRSRSHV VLASVNKAQSELSSHQRKIFKVDDHIGVAIAGLTADGRVLSRYMRSESINHSFTYESP LPVGRLVVRLADKAQVCTQRSWKRPYGVGLLVGGLDESGAHLYYNCPSGNYFEYQAFA IGSRSQAAKTYLERRFESFNESSREDLIKDAILAIRETLQGETLKSSLCTVSVLGVDE PFHFLDQESIQKVIDTFEKVPEEEEDGGEAEAEAAAAPTEQGGAGDQDVAPMEM" gene <4158241..>4160350 /locus_tag="BRARA_H00420" /db_xref="Phytozome:Brara.H00420" mRNA join(<4158241..4159129,4159178..4159669,4159699..4159806, 4160133..4160176,4160291..>4160350) /locus_tag="BRARA_H00420" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00420" CDS join(4158241..4159129,4159178..4159669,4159699..4159806, 4160133..4160176,4160291..4160350) /locus_tag="BRARA_H00420" /codon_start=1 /product="hypothetical protein" /protein_id="RID49632.1" /db_xref="Phytozome:Brara.H00420" /translation="MTQSQLLSKGEMKNGDNTRNRLKITVPHFDNSELIKKFSKTLIG RCMNPPAQDMKALITNLPKIWGLENRVTGTDLGLGKFQFAFETEEELEGVLRLQPYHF DFWMLSLARWQPKKTPQFPSEITFWVRVLGVPAEFRTAPTYESVGDAIGRTVMVDVDY ARVQVVVDAFKELCFKTTIDFKGGEFYASEEALVSLRYEKLFGFCEFCSSLCHKTEKC PLDPKNIKTSPEKSREMKDGNGGWHEVGQHDDRARSYKGVVINGNSNQPNRERESRAY HGKGKGKVAVDHKWVKTAEKGGSHKRSVRREELAVVPQEVRLRASPRHVEEQNRKEVV PEETREEGEIMSTEEETLAPASKEFQIALAETQANGLEMISDPVDREEGLQQIQSLVV KDRTSDRVSEGDEEDVMEMDEFQAALLEHGLDEQTIDALPAVSEEELKEIMAGYEEDD QLQEAGEQNNEQAQKQGTRKRLFKSSVIVAGSTKMRNAVALVSPRKRVGTVPYSIAVE ISMECILDNNADTQALTLQKQS" gene 4165584..4167884 /locus_tag="BRARA_H00421" /db_xref="Phytozome:Brara.H00421" mRNA join(4165584..4166267,4166380..4166516,4166583..4167235, 4167373..4167884) /locus_tag="BRARA_H00421" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00421" CDS join(4165705..4166267,4166380..4166516,4166583..4167235, 4167373..4167603) /locus_tag="BRARA_H00421" /codon_start=1 /product="hypothetical protein" /protein_id="RID49633.1" /db_xref="Phytozome:Brara.H00421" /translation="MENDVKVDEKVEEADRLLPPHSLPSNSDEDESEAAFESRDKIVI VDFESADDPSAAAPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWL LMWATAMGLLIQMLSARVGVATGRHLAELCREEYPTWARYVLWSMAEIALIGADIQEV IGSAIAIQILSRGVLPLWAGVVITASDCFLFLFLENYGVRKLEAMFAVLIATMGLSFA WMFGETKPSGKELMIGILLPRLSSKTIRQAVGVVGCVIMPHNVFLHSALVQSRQIDPK RKSRVQEALNYYLIESSVALFISFMINLFVTTVFAKGFYGTEKANNIGLVNAGQYLQE KFGGGLLPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWMRAVITRSCAI VPTMIVAIVFNTSEASLDVLNEWLNVLQSVQIPFALLPLLTLVSKEEVMGDFKIGPVL QRIAWTVAALVMIINGYLLLDFFVSEVNGFMFGVTVCVWTTAYVAFIVYLISHSNFFP SPWSSSSIELPKRVTVSDS" gene complement(4167370..4169719) /locus_tag="BRARA_H00422" /db_xref="Phytozome:Brara.H00422" mRNA complement(join(4167370..4168065,4168169..4168303, 4168381..4168530,4168618..4168651,4168735..4168887, 4168978..4169210,4169310..4169719)) /locus_tag="BRARA_H00422" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00422" mRNA complement(join(4167370..4168065,4168169..4168303, 4168381..4168530,4168618..4168651,4168735..4168890, 4168978..4169210,4169310..4169719)) /locus_tag="BRARA_H00422" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00422" CDS complement(join(4167991..4168065,4168169..4168303, 4168381..4168530,4168618..4168651,4168735..4168887, 4168978..4169210,4169310..4169708)) /locus_tag="BRARA_H00422" /codon_start=1 /product="hypothetical protein" /protein_id="RID49635.1" /db_xref="Phytozome:Brara.H00422" /translation="MALLLHFPSLIHFFIQPNLSLSYPLRTPMAENQNSTRMTRAAAK RKASVTDENPVSKKRVVLGELPNNSNVPAPLIPLQERETQKPKSTLVAAKKQTKTPPI PQTVDFESGSSDPQMCGPFVADICAYLREMEGKLKQRPLHDYIEKVQSDLTPSMRGVL MDWLVEVAEEYKLVSDTLYLTVSYVDRFLSAKPINRQRLQLVGVSAMLIASKYEEISP PKVEDFVYITDNTFTRQDVVSMEADILVALQFELGCPTIKTFLRRFTRVAQEDFNEPL LQIECLCCYLSELSLLDYSCVKFLPSMLAASAVFLARFIIRPKQRPWNQMLEEYTKYK ASDLQQPVGIIHDLYLSRRGNSLEAVRNKYKQHKFKCVATMPVSPELPQAFFEDVTIR " CDS complement(join(4167991..4168065,4168169..4168303, 4168381..4168530,4168618..4168651,4168735..4168890, 4168978..4169210,4169310..4169708)) /locus_tag="BRARA_H00422" /codon_start=1 /product="hypothetical protein" /protein_id="RID49634.1" /db_xref="Phytozome:Brara.H00422" /translation="MALLLHFPSLIHFFIQPNLSLSYPLRTPMAENQNSTRMTRAAAK RKASVTDENPVSKKRVVLGELPNNSNVPAPLIPLQERETQKPKSTLVAAKKQTKTPPI PQTVDFESGSSDPQMCGPFVADICAYLREMEGKLKQRPLHDYIEKVQSDLTPSMRGVL MDWLVEVAEEYKLVSDTLYLTVSYVDRFLSAKPINRQRLQLVGVSAMLIASRKYEEIS PPKVEDFVYITDNTFTRQDVVSMEADILVALQFELGCPTIKTFLRRFTRVAQEDFNEP LLQIECLCCYLSELSLLDYSCVKFLPSMLAASAVFLARFIIRPKQRPWNQMLEEYTKY KASDLQQPVGIIHDLYLSRRGNSLEAVRNKYKQHKFKCVATMPVSPELPQAFFEDVTI R" gene complement(4171260..4173461) /locus_tag="BRARA_H00423" /db_xref="Phytozome:Brara.H00423" mRNA complement(join(4171260..4171608,4171694..4171828, 4171907..4172056,4172149..4172182,4172281..4172433, 4172526..4172758,4172936..4173461)) /locus_tag="BRARA_H00423" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00423" CDS complement(join(4171537..4171608,4171694..4171828, 4171907..4172056,4172149..4172182,4172281..4172433, 4172526..4172758,4172936..4173250)) /locus_tag="BRARA_H00423" /codon_start=1 /product="hypothetical protein" /protein_id="RID49636.1" /db_xref="Phytozome:Brara.H00423" /translation="MTEKEICLRMTRAAVKRKSTATEDERVTKKRVVLGELPNLSNIS VLSNFNQIPKPAKSVGALLRKTAPVASAAVEFGSDIDARSDDPKMCGPYVSDIYEYLR QMEVKPKQRPLPDYMEKVQKDVTPTMRGVLVDWLVEVADEYKLLSETLHLTVSYIDRF LSLKTVNKKRLQLLGVSAMLIASKYEEISPPKVEDFCYITDNTFSKQDVVKMEADILL ALQFELGRPTINSFIRRFTRVAQEDFNVPHLQLEPLSCYLSELSILDYKAVKFLPSML AASAVFLARFIIRPKQHPWNQMLEEYTKYKAADLQECVGIIHDLYLRRRGGALQAVRD KYKHHKFQCVATMPVSPVLPVTFWEDVTI" gene <4195909..>4196430 /locus_tag="BRARA_H00424" /db_xref="Phytozome:Brara.H00424" mRNA <4195909..>4196430 /locus_tag="BRARA_H00424" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00424" CDS 4195909..4196430 /locus_tag="BRARA_H00424" /codon_start=1 /product="hypothetical protein" /protein_id="RID49637.1" /db_xref="Phytozome:Brara.H00424" /translation="MAETAETINTTVSPPHSESEGSTTLPATTPDQTSDETSKAADLK KEESVAETKPGGGNSLRIWPPTQKTRDAVLKRLIETLSTESILSKRYGTLNSDEASTV AKSIEEEAYGVASNAVSDDDDGIKILEVYSKEISKRMLETVKDRSAAAANKEAVEEAA KDDEAPESEKSEA" gene complement(<4204328..>4204579) /locus_tag="BRARA_H00425" /db_xref="Phytozome:Brara.H00425" mRNA complement(<4204328..>4204579) /locus_tag="BRARA_H00425" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00425" CDS complement(4204328..4204579) /locus_tag="BRARA_H00425" /codon_start=1 /product="hypothetical protein" /protein_id="RID49638.1" /db_xref="Phytozome:Brara.H00425" /translation="MGKKLLIVLFTILLVHMSLIISGVDCRALRTEQMNGCDQMAAVD GGGFSFFSAAGAANSSRIPLMRSLAFRLASGPSKRGRGH" gene complement(<4206424..>4208143) /locus_tag="BRARA_H00426" /db_xref="Phytozome:Brara.H00426" mRNA complement(join(<4206424..4206516,4206607..4206689, 4207399..4207510,4207592..4207829,4207855..4207977, 4208004..>4208143)) /locus_tag="BRARA_H00426" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00426" CDS complement(join(4206424..4206516,4206607..4206689, 4207399..4207510,4207592..4207829,4207855..4207977, 4208004..4208143)) /locus_tag="BRARA_H00426" /codon_start=1 /product="hypothetical protein" /protein_id="RID49639.1" /db_xref="Phytozome:Brara.H00426" /translation="MDQRLEKRMINVIIANHLNKQNAVWVQSGNDFVDLLYSFLTCLW EPLSRQKLVIGCLNNLYKSVVDMSTRRQPSPMYFQRKSCGEFFYCGNMMNKEIPSPEG EMLRDCYDYDGVFVHGDGKFAFILSDDLKIDNFCWDFFRKKIKNLGCVDLYDEAAEGE AEIGFREAVTLLRSLFTSETPLTTTFFPFQSSSHPFTIAFKPSINLTMRELDDLKISF NDVTIEQITIGRAEAISLFKASFATSSALTNELSDLLAKKLEGF" gene <4218277..>4220374 /locus_tag="BRARA_H00427" /db_xref="Phytozome:Brara.H00427" mRNA join(<4218277..4219255,4219308..>4220374) /locus_tag="BRARA_H00427" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00427" CDS join(4218277..4219255,4219308..4220374) /locus_tag="BRARA_H00427" /codon_start=1 /product="hypothetical protein" /protein_id="RID49640.1" /db_xref="Phytozome:Brara.H00427" /translation="MISRRWNPGIRGFYEGSISQYVVNLRWLGLGKWYLGKSQRFEIS LIWILISILFKTESNPYRGIVVLSFGIFTQRSGVNRKGNRDGFDVELIWEVWVLRLSW LKEIDSNYNYTRFCDNRRNHGNGIMKILDQYKRDMGMSFIISFKTFSLSLEKDQSFTF LLLDMTQSQLLGNVGEVKNAEGTRKRLKISVPHFDNSALIKTFSKTLLGRCMNPEKQE MKALISNMPKIWKLEEKVVGTDLGFGKFQFDFKKEEDMEGVLKLQPFHFDYWMLSLAR WQPKKSLLYPFEIPFWVRVIGVPAEFKTEPTSESIGNAIGRTVAVDVVSSGGEFYDGE EAPVSLRYEKLFGYCQVCGSFCHKDEVCPLDEKNKKMSPERKQEGRDANGSWHEGGKH EDRARSYKGVVINGNAHNKERDGRDHYGKGKGKMVEEPEFKWINVSAKGNKKAYTSRG NYRGDGDASRNRPARREDTRGGVQNDQPREQKEYKGAREEAREEGEIRNAEERVVTMP SQKFQEELAKTQADGTEAISDPIEAEQGLVTVQGMVEDQGELDDEDVMDMDEIKAHLL ENGIDMDAEDFMENCSEGEAEEVIKEGNGKEEEKVAFVEEEQGQIGGDAGKKHGLRKR LFKPALSTVGSSKMRVFNALESPRKRAVPKSGTRQGDTSKQTEIKGPLNPKSGQQKS" gene complement(<4231037..>4231531) /locus_tag="BRARA_H00428" /db_xref="Phytozome:Brara.H00428" mRNA complement(<4231037..>4231531) /locus_tag="BRARA_H00428" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00428" CDS complement(4231037..4231531) /locus_tag="BRARA_H00428" /codon_start=1 /product="hypothetical protein" /protein_id="RID49641.1" /db_xref="Phytozome:Brara.H00428" /translation="MKLVNHAVLILFLTMIAVSSAMDMSIISYDKNHRTVSAGSRSDA EVSKLYEEWLVKHGKVQNSLTEKDRRFEIFKDNLRFIDDHNGKNLSYRLGLTKFADLT NDEYRSMYVGSRLKRKSTRTSLRYESRVGDALPESVDWRKEGAVAEVKDQGSCGKFSE IPFT" gene <4236649..>4237537 /locus_tag="BRARA_H00429" /db_xref="Phytozome:Brara.H00429" mRNA join(<4236649..4236789,4236918..4237007,4237040..4237248, 4237308..4237362,4237451..>4237537) /locus_tag="BRARA_H00429" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00429" CDS join(<4236649..4236789,4236918..4237007,4237040..4237248, 4237308..4237362,4237451..4237537) /locus_tag="BRARA_H00429" /codon_start=1 /product="hypothetical protein" /protein_id="RID49642.1" /db_xref="Phytozome:Brara.H00429" /translation="KNAKVVTIDSYEDVPANSEESLKKALSNQPISVAIEGGGRAFQL YDSGIFDGICGTDLDHGVLAVGYGTESGKDYWISGYIRMERNIAASAGKCGIAVEPSY PIKNGQNPPNPGPSPPSPIKPPTQCDSYYTCPESNTCCCLFEFGNCCPHDYPVCDLDQ GTCLMSKNSPFSVMALKRKPAMPFWSHGRKNIA" gene 4243255..4243953 /locus_tag="BRARA_H00430" /db_xref="Phytozome:Brara.H00430" mRNA 4243255..4243953 /locus_tag="BRARA_H00430" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00430" CDS 4243313..4243780 /locus_tag="BRARA_H00430" /codon_start=1 /product="hypothetical protein" /protein_id="RID49643.1" /db_xref="Phytozome:Brara.H00430" /translation="MEQELQQEPCRRKRRQPPQEEADTHVATRPFKPYRGIRMRKWGK WVAEIREPNKRSRLWLGSYTTAVAAARAYDTAVFYLRGPSARLNFPDLLFQDEGHRSA AAAAVDMPASLIREKAAEVGARVDALLACSGKSRSPSSPVLVEKPDLNQIPES" gene 4285156..4286422 /locus_tag="BRARA_H00431" /db_xref="Phytozome:Brara.H00431" mRNA join(4285156..4285417,4285601..4285921,4286011..4286422) /locus_tag="BRARA_H00431" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00431" CDS join(4285172..4285417,4285601..4285921,4286011..4286214) /locus_tag="BRARA_H00431" /codon_start=1 /product="hypothetical protein" /protein_id="RID49644.1" /db_xref="Phytozome:Brara.H00431" /translation="MKVHEFSNGSSSWEQHDSPSSLSLSCKRLRPLAPKLSGSPCSPS SSSGVTSATFDLKSFIKPDQTGPRKFEYSIEHQRDLPQVETHQGGTRWNPTQEQIGIL EMLYKGGMRTPNAQQIEHITSQLGKYGKIEGKNVFYWFQNHKARERQKQKRNNFISLS CQSSFKTTNINNASVTTKTTTTSSFDVIRRDSMVEKGELVEETEYKRTCRSWGFENLE IDSRRNINSSKNATMATTFNKIIDNVTLELFPLYPEGR" gene complement(4312960..4313948) /locus_tag="BRARA_H00432" /db_xref="Phytozome:Brara.H00432" mRNA complement(join(4312960..4313130,4313291..4313948)) /locus_tag="BRARA_H00432" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00432" mRNA complement(join(4312960..4313130,4313266..4313948)) /locus_tag="BRARA_H00432" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00432" CDS complement(join(4313027..4313130,4313266..4313608)) /locus_tag="BRARA_H00432" /codon_start=1 /product="hypothetical protein" /protein_id="RID49646.1" /db_xref="Phytozome:Brara.H00432" /translation="MPFKSGSGYFFGLLLLQIWPFSFRQRWFIYQYFSASVVEVSTIA SFLLVMFSREEYIAFSFWLFFAVSLAPLLQSNIKSSHDLDMSMLSLGLCFRSSLSRPS NGFFVRSFSYLSRLCLLVLRLWSWRVDPCHHLYLINASHHIMYSSM" CDS complement(join(4313110..4313130,4313291..4313608)) /locus_tag="BRARA_H00432" /codon_start=1 /product="hypothetical protein" /protein_id="RID49645.1" /db_xref="Phytozome:Brara.H00432" /translation="MPFKSGSGYFFGLLLLQIWPFSFRQRWFIYQYFSASVVEVSTIA SFLLVMFSREEYIAFSFWLFFAVSLAPLLQSNIKSSHDLDMSMLSLGLCFRSSLSRPS NGFFGYVSLF" gene complement(4316792..4318182) /locus_tag="BRARA_H00433" /db_xref="Phytozome:Brara.H00433" mRNA complement(join(4316792..4317712,4317810..4318182)) /locus_tag="BRARA_H00433" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00433" CDS complement(join(4316984..4317712,4317810..4318088)) /locus_tag="BRARA_H00433" /codon_start=1 /product="hypothetical protein" /protein_id="RID49647.1" /db_xref="Phytozome:Brara.H00433" /translation="MAMMVENSYGYGSGGTGERIQLMVEGQGKAVPAPFLTKTYQLVD DPATDHVVSWGDDDTTFIVWRPPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDR WEFANEFFKRGEKHLLCEIHRRKTSQMIPNQHSPFITHHPPPQIPFSGGASFFPLPPR VDAAAASMDERYWCESPPPRPRAIPTTVDAQVTALTEDNERLRRSNTVLMSELAHMKK LYNDIIYFVQNHVKPVAPSNSYLPSFLQKQQQPPPPLDYYNNATVPNHSPPTSQSSIS VLENETNYKENNTRKTKLFGVSLPSTKKRSHHFSHQSSKTRLVLDNSDLGLNLMTAST R" gene complement(4339641..4341276) /locus_tag="BRARA_H00434" /db_xref="Phytozome:Brara.H00434" mRNA complement(join(4339641..4340177,4340495..4340790, 4340873..4340967,4341066..4341276)) /locus_tag="BRARA_H00434" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00434" CDS complement(join(4339686..4340177,4340495..4340790, 4340873..4340967,4341066..4341118)) /locus_tag="BRARA_H00434" /codon_start=1 /product="hypothetical protein" /protein_id="RID49648.1" /db_xref="Phytozome:Brara.H00434" /translation="MALPHHFDPHLQDSKSFRDFCGIDGQISPPALGFESSTNLHEHP PYIPPFHVPGFSPGPALQTDGADVGAGFEWNGIYGRKSLKEMDFMENNSQLSSVDFWQ GRSVSTGLGLSLDNANGSALLSLVGDDVDRELLRQDSEIDRFIKIQGDQLRQSILEKI QTSQRKTVSLMEERVIQKLRGKDEELEMINRKNKELEVRIEQLTLEAEAWQQRANYNE NMIAALNYNLERAHGWPRESNMEEGCGDSELDYTASCFNGKATMMMCRFCGVREVCML LLPCKHMCLCKECERKLSSCPLCQSSKFLGMEVYM" gene complement(4364458..4366459) /locus_tag="BRARA_H00435" /db_xref="Phytozome:Brara.H00435" mRNA complement(join(4364458..4365062,4365598..4365783, 4365852..4366459)) /locus_tag="BRARA_H00435" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00435" CDS complement(join(4364706..4365062,4365598..4365783, 4365852..4366334)) /locus_tag="BRARA_H00435" /codon_start=1 /product="hypothetical protein" /protein_id="RID49649.1" /db_xref="Phytozome:Brara.H00435" /translation="MHAKTDSEVTSIAASSPARSPRRPVYYVQSPSRDSHDGEKTATS FHSTPVLSPMGSPPHSQSSMGRHSRESSSTRFSGSLKPGSRKVNDGSKRKGHGGEKQW KECAMIEEEGLLDDGERDRGLPRRCYVLAFIVGFFILLGLFSLILYGAAKPQKPKITV KSITFETLKVQAGQDAGGVGTDMITMNATLRMLYRNTGTFFGVHVTSTPIDLSFSQMK IGSGSIKKFYQSRKSQRTVLVHITGEKIPLYGSGATLIPPAPPAPLPKPKKKKKGAPV VIPDPPAPPAPVPMKLSFIVRSRGYVLGKLVKPKFLKKIECDINFEHKLLNKHIAITK NCTVTTV" gene 4368196..4369035 /locus_tag="BRARA_H00436" /db_xref="Phytozome:Brara.H00436" mRNA 4368196..4369035 /locus_tag="BRARA_H00436" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00436" CDS 4368369..4368614 /locus_tag="BRARA_H00436" /codon_start=1 /product="hypothetical protein" /protein_id="RID49650.1" /db_xref="Phytozome:Brara.H00436" /translation="MYMCISISARLFGSRRRRLLQPLHRRLMTPGGGSSFSSASPASD HRPVVFVLLKMMGAVDGLMEKADEISSVGRLFLSAEW" gene 4393097..>4395692 /locus_tag="BRARA_H00437" /db_xref="Phytozome:Brara.H00437" mRNA join(4393097..4393246,4393351..4393918,4393986..>4395692) /locus_tag="BRARA_H00437" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00437" CDS join(4393239..4393246,4393351..4393918,4393986..4395692) /locus_tag="BRARA_H00437" /codon_start=1 /product="hypothetical protein" /protein_id="RID49651.1" /db_xref="Phytozome:Brara.H00437" /translation="MKSFVTMVDDDKVSNDFSLLPDLNDDSSTPFNNSLEFDSSILDL LTMEDGRERPNLFPDHNPFLESLHAPPQEDQHIFAEAVSPKVYIAPRVMINHEDSFSL DSRIDSIEDARIISLPDSPRGSQDVGLSRLRVPGSPRAFVHPRTSGSPRFGSPTSPVL IDTTAPFESVKDAVSKFGGITDWKAHKIQTIERRKTVDQDLEKIQEDMPEYKKQAVVA EEAKEQVVMELERTRSIVEKLKLELEKAEKEEQQAQQDSYLAKLRVEEMEQGIADDSS VAAKAQIQVAKERHSSAVSELRNLREEIKMVSNEYESLLKEKQLAEKKAEDSVLEAKD VEKQMTDLTIEVIATKQLLESAQAAHLEAEEKKFDAAMARDQDLYNREKELRMVEEEI ERLRQEIHAADDVKIKLETASVLQQDLRAEIPAYKDSNDKRNNSDIQAAVDSARKELE EVKSNIEKANSEVKTLKIIVGSLQSELEREKKDLSETKHREALSVQRDGEEAREEGCK EIASMLQEAKKEADEANSLALAAREALRKAKEESDEARTEVTAIESQLAEAKREMEAA KASEKLALAAIKALQETECGKKIEDVSSSPRSIIISVEEYYELSKKAHEVEEAANRKL SEIVSQIEAAKEEESRVLEKLEEVSRETALQKEKLKEAMGKVEKARDGKVGMDHELRK WSSEKSPKTSPEGGEKENHDLGKSKSALHSATSFAFGEQGSSNVGDSNNVTPETKKKK KKFSLLPKVFMFLSRKKSNK" gene complement(4395771..4397183) /locus_tag="BRARA_H00438" /db_xref="Phytozome:Brara.H00438" mRNA complement(join(4395771..4396029,4396104..4396192, 4396267..4396371,4396461..4396573,4396670..4396802, 4396887..4397183)) /locus_tag="BRARA_H00438" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00438" CDS complement(join(4395887..4396029,4396104..4396192, 4396267..4396371,4396461..4396573,4396670..4396802, 4396887..4397080)) /locus_tag="BRARA_H00438" /codon_start=1 /product="hypothetical protein" /protein_id="RID49652.1" /db_xref="Phytozome:Brara.H00438" /translation="MSVFLRGGIAGGFHLRSRDSSAVITKRRISAVGAVTGRSVNPAA AIEQRATWLPGLEPPPYLDGKLAGDYGFDPLGLGEDPKSLKWYVQAELVHARFAMLGV AGILFTDLLRTTGISNLPVWYEAGATKFDFASTKTLIVVQFLLMGFAETKRYMDFVSP GSQAAEGTFFGLEAALEGLEPGYPGGPLLNPLGLAKDIKNANDWKLKEIKNGRLAMMA MLGFFVQASVTHTGPIDNLVEHLSNPWHKTIIQTLFTSAS" gene 4401515..4403011 /locus_tag="BRARA_H00439" /db_xref="Phytozome:Brara.H00439" mRNA join(4401515..4401928,4402252..4402366,4402509..4403011) /locus_tag="BRARA_H00439" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00439" CDS join(4401588..4401928,4402252..4402366,4402509..4402685) /locus_tag="BRARA_H00439" /codon_start=1 /product="hypothetical protein" /protein_id="RID49653.1" /db_xref="Phytozome:Brara.H00439" /translation="MNLASVSSTPPVASTCFRCRRAFIFSFSPSPLSLYFPRDSAWPR VRSLRTESDGARIGDTESYGSELLRRPLNSSEEEGSSEEGDDEFVDWEDKILEVTVPL VGFVRMLLHSGKYANQDRLSPEHERTIVEMLLPYHPEVDKKIGCGIDFIMVGHHPEFE RSRCLFIVRKDGEVVDFSYWKCIKGLIKKKYPLYADSFILRHFRKRRQNR" gene 4413434..4416353 /locus_tag="BRARA_H00440" /db_xref="Phytozome:Brara.H00440" mRNA join(4413434..4413757,4413977..4414064,4414205..4414291, 4414360..4414920,4414998..4415325,4415420..4415501, 4415812..4416353) /locus_tag="BRARA_H00440" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00440" CDS join(4413563..4413757,4413977..4414064,4414205..4414291, 4414360..4414920,4414998..4415325,4415420..4415501, 4415812..4416018) /locus_tag="BRARA_H00440" /codon_start=1 /product="hypothetical protein" /protein_id="RID49654.1" /db_xref="Phytozome:Brara.H00440" /translation="MPSETQRPARSTASGGSRRDSSPDSLNFTPESNLSLFSSASVSV DRCSLTSDAHDRDSLVSAPSLERDQRVDPDKRGTGCKKNSRNSRKSIKVKAWKQEFVV NKEDEIQNLDSARSSFSVALRECQERKSRSEAVVKMLDNQRSTTSLDLSKKTSVSTNK SSVFPSPGTPTYTMQKGWSSERVALGRSPPNAAFLPLYSGRTVPSKWEDAERWILSPL AREEAARTSFTASRRPKSKSGPLGPPGLAYYSLYSPAVPMVHGGNRGCLTSASPFSAR VLPQNGSTAFPQKTEHCMARSVSIHGCSQTLAPQDDIHESIKDAAGDARAVSRRDMAT QMSPEGSIRLSPERECSLSSSSPTARSIVELLNARVNRAEAKDLQVDEKVTVTRWSKR HRSLHHGDSSNMRDHLHGQDRDPQGLTWVKTEEARIISWQNLQKAKAEAEIRKLEVKL EKKRSSSMARIMRKVKSAEKKAEEMRRSVLDNQAPSASRGKALSFRRSGKTKISSLSG CFTCHAF" gene complement(<4430131..>4439932) /locus_tag="BRARA_H00441" /db_xref="Phytozome:Brara.H00441" mRNA complement(join(<4430131..4430415,4435949..4436420, 4436512..4436647,4438487..4438520,4438909..4439102, 4439560..>4439878)) /locus_tag="BRARA_H00441" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00441" CDS complement(join(4430131..4430415,4435949..4436420, 4436512..4436647,4438487..4438520,4438909..4439102, 4439560..4439878)) /locus_tag="BRARA_H00441" /codon_start=1 /product="hypothetical protein" /protein_id="RID49655.1" /db_xref="Phytozome:Brara.H00441" /translation="MSQTDMKFCSSYFLVDPTKASVLDVILLLFFPNLTNTGFIDSPP DTLKSVRRTFATRWIIVLAVLIQKILMLLRKPFASIGRFLTYWPNLLTANGGFFKLIL HVLTGKLVKPEESSATYVSFVGCTDRRVEIDKNISVGTIEYKSMLSIMASKVSYENKS FITSVVENTWKMDFVSYYDFYNAFQNRNLTQAFVFKASSTNPNLIVVSFRGTEPFDVD DWCTDLDISWYELKNVGKVHAGFSKALGLQKNGWPKEIIPLGHQYAYYTIRQKLRDMF AKNKNLKFILTGHSLGGALAALFPAVLAIHGEDELLDKLEGVYTFGQPRIGDEVFGEF MKEVVRKHGIEYERFVYNNDIVPRIPFDDKVLFSFKHYGSCNYFNSLYKGKVREDAPN ENYFNLLWLIPKLLNGAWEFIRSFIIRFWKGKDYKENWIMRSIRVFGIIFPGASNHLP YDYVNSTRLGGLSRSFAATTPEDILALIA" mRNA complement(join(4435862..4436420,4436512..4436647, 4438487..4438520,4438909..4439102,4439560..4439932)) /locus_tag="BRARA_H00441" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00441" CDS complement(join(4435940..4436420,4436512..4436647, 4438487..4438520,4438909..4439102,4439560..4439878)) /locus_tag="BRARA_H00441" /codon_start=1 /product="hypothetical protein" /protein_id="RID49656.1" /db_xref="Phytozome:Brara.H00441" /translation="MSQTDMKFCSSYFLVDPTKASVLDVILLLFFPNLTNTGFIDSPP DTLKSVRRTFATRWIIVLAVLIQKILMLLRKPFASIGRFLTYWPNLLTANGGFFKLIL HVLTGKLVKPEESSATYVSFVGCTDRRVEIDKNISVGTIEYKSMLSIMASKVSYENKS FITSVVENTWKMDFVSYYDFYNAFQNRNLTQAFVFKASSTNPNLIVVSFRGTEPFDVD DWCTDLDISWYELKNVGKVHAGFSKALGLQKNGWPKEIIPLGHQYAYYTIRQKLRDMF AKNKNLKFILTGHSLGGALAALFPAVLAIHGEDELLDKLEGVYTFGQPRIGDEVFGEF MKEVVRKHGIEYERFVYNNDIVPRIPFDDKVLFSFKHYGSCNYFNSLYKGKVK" gene complement(4451100..4455459) /locus_tag="BRARA_H00442" /db_xref="Phytozome:Brara.H00442" mRNA complement(join(4451100..4451565,4451640..4451780, 4451847..4451945,4452612..4452662,4452771..4454197, 4454891..4455459)) /locus_tag="BRARA_H00442" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00442" CDS complement(join(4451416..4451565,4451640..4451780, 4451847..4451945,4452612..4452662,4452771..4454159)) /locus_tag="BRARA_H00442" /codon_start=1 /product="hypothetical protein" /protein_id="RID49657.1" /db_xref="Phytozome:Brara.H00442" /translation="MQGERASIGSLSETMNFEHGSTSSNPVAEQQILWDNDLQNYMSS AAAVDTNTTMSNAVYHEPRDLHRFNLGEGSSSGTKNEAPSSHSEQWMQMGRFEERRND KLELNPLFMQQPSSTGNRVVRDVNLNAEYIERAEDMNPVTGQGNVAENSARAGCKRKA VDAGIGQSSSSVGAFHRGESSSSWVSGPSFYNHNNDLNISLNHAPRALVPNLSPSPSP AISSRNFSFGANPTAQQPVFVRQPVPPSMSAPGHVQPVVDQQLMDMRYRHPFSNVTPL NPNASAPSMPPRNMIPPFQWSGNPVAAAGSSAPVDRNALRPGQSRLRSNMLANPLFVP APPEPRNLAHGHVASASVQPPASSPTWAPYQNQSPHNQRRLSEHRRRSLISSLLTNQR AAAAAAARSMVPPPAPDHHGVHPGGDNNFQTLNQAYSRAVPRQGQTAVGVPHSLRGLA STSRGRSRPSASEIRNVLDHMRRTGNLRVEDFMLLNQTMMLGVADVHDRHRDMRLDVD DMTYEELLSLEERIGDVCTGLNEETISNRLKQRKYNSGTKSTQEVEPCCVCQEEYKEG EEMGVLECGHDFHSQCIKEWLKRKNLCPICKTTGLNTVEKSSK" gene 4473171..4478854 /locus_tag="BRARA_H00443" /db_xref="Phytozome:Brara.H00443" mRNA join(4473171..4473528,4473604..4473701,4473773..4473955, 4474036..4475205,4475500..4475672,4475760..4476000, 4476122..4476214,4476294..4476434,4476503..4476595, 4476791..4476983,4477060..4477145,4477427..4477515, 4477610..4477661,4477781..4477837,4477978..4478106, 4478207..4478281,4478427..4478854) /locus_tag="BRARA_H00443" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00443" CDS join(4473327..4473528,4473604..4473701,4473773..4473955, 4474036..4475205,4475500..4475672,4475760..4476000, 4476122..4476214,4476294..4476434,4476503..4476595, 4476791..4476983,4477060..4477145,4477427..4477515, 4477610..4477661,4477781..4477837,4477978..4478106, 4478207..4478281,4478427..4478525) /locus_tag="BRARA_H00443" /codon_start=1 /product="hypothetical protein" /protein_id="RID49658.1" /db_xref="Phytozome:Brara.H00443" /translation="MAAENRKDRGLSSEVSIPSGLNRIKTRLAPKPDESALTVPKPPP PFYNRKPKSIAPRREHGKTTSKQERNKGKKLSRWLASYKPKYSVNLHKGYGCSTSEDA KSKVNNSRKDEETMVKLSETNLSSCKVPSIGIKSFSHELGPRGGVQTSHPRPHSYNDL KELLGSLHSRFDVAKEIVDKKLDDFVIDVEENMEKMDPSCPEDRETAEELLKLAQTCI EMTSAQLRATCESIVQDLTKKMKQCQAGLVKWFVSQLLFILTHCTRVVMFQKETEPID ESSFRKFKECLENIPALETNWVSTSRVNEAGKKFKKQDKESLESEATLGFGMPDDQSN NAAREGYGASKQGSRFNSKVVEQRSYLSNEYQDKMPNDPSGKELGGWDSVICRICEEE VTLSHLEPHSYICAYADKCEINCLDVDERLLKLEEILEQIIDSRSSNSFHPQAGGLEN SVLQKSGVASEGCSPKVNEWRNKGVEGMFEDLHEMDTAFIDESNTFPINLKSHVGAKF CHHGTSSSTGSITSVSSTNTPRTSHFDSYWLERHSPEQEDLQLMMDLSDIARCGASTD LSKEGSCDYLLACMQDIQAVLKQSKLKALVIDTFGGRIEKLLCEKYMYACDLIGDKSS TGNVKESESVSEHASQGSAMTTPHFAQKERTSIDDFEIIKPISRGAFGKVFLARKRTT GDFFAIKVLKKLDMIRKNDFERILEERNILITVRYPFVVRFFYSFTCSDNLYLVMEYL NGGDLYSLLQKVGCLDDDIARIYIAELVLALEYLHSLNIVHRDIKPDNLLIAHDGHIK LTDFGLSKIGLINNTIDLSGPESEASPRKSSRHFQKSKEEERIRHSAVGTPDYLAPEI LLGTEHGYAADWWSVGIILFELITGIPPFTAARPEIIFDNILNGKMPWPDVPGQMSYE AQDLINRFLVHEPEKRLGANGAAEVKSHPFFRGVDWENLAMQKAAFVPQPESIHDTSY FVSRFGEKSCSDSGTDNDNESYPNSGDELDECTNLADFDSPPYYLSFINFSFKNLSQL ASINHDVLLQKDPAKGGGASPFNSHGT" gene <4505115..>4506215 /locus_tag="BRARA_H00444" /db_xref="Phytozome:Brara.H00444" mRNA <4505115..>4506215 /locus_tag="BRARA_H00444" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00444" CDS 4505115..4506215 /locus_tag="BRARA_H00444" /codon_start=1 /product="hypothetical protein" /protein_id="RID49659.1" /db_xref="Phytozome:Brara.H00444" /translation="MQIIQSKEEFVRFLGEGSFGCVNLVRYSNPNDGSSYLSAVKNSY EEDYANLQSELDILLELRGYPNIVTCFGDSLEESFSRSGKKLYKLQLEYASEGSLSAF MDKYADRKLPEPLIKDFTWMILQGLVSVHGHGYAHCDIKPDNLLVFPCSSSEGYEIKI SDFGSALEVGDVPKFWETNMPWMGTPFYMSPESARDGVAEMSVDLWSVGCLVLEMYTG VIPWEGVNLHLLVTLLRCGEAPEIPESLPSDAKDFIRTCFSREPEERGSATELMSHPF LSRPQVEERKTEDEKTGNSFLLKLLKLRIKRRSSNKKPTTDAVAVSDKKPLKLRFFPT KATQFKRTLNKVLRLKFIPVKKSAYFRLVSVH" gene 4513425..4517674 /locus_tag="BRARA_H00445" /db_xref="Phytozome:Brara.H00445" mRNA join(4513425..4513599,4513802..4514004,4514084..4514220, 4514351..4514461,4514539..4514640,4514751..4514856, 4514944..4515313,4515402..4515483,4515564..4515657, 4515811..4515907,4516114..4516207,4516548..4516620, 4516929..4517102,4517189..4517311,4517391..4517674) /locus_tag="BRARA_H00445" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00445" CDS join(4513584..4513599,4513802..4514004,4514084..4514220, 4514351..4514461,4514539..4514640,4514751..4514856, 4514944..4515313,4515402..4515483,4515564..4515657, 4515811..4515907,4516114..4516207,4516548..4516620, 4516929..4517102,4517189..4517311,4517391..4517555) /locus_tag="BRARA_H00445" /codon_start=1 /product="hypothetical protein" /protein_id="RID49660.1" /db_xref="Phytozome:Brara.H00445" /translation="MDSNNGSQEMSVPVEGVAGGGTAYGFNDGGLNSQPLKQSTDPTE VPTSDLVHVWCIPNTLNVGSQETPRPLETINLLAARNERESFQIAMRPKVSWAGSTPS GSVQVQCTDLCSSDGDRLVVGQSLKLRRVVPVLGVPDALVPLDLPLTQLSLLPGETSV IWVSIDVPNGQPPGQYEGEITVSAMKTDGGCSDNLGKHEKDQLCMELKNCLDIMEPIE GKPMDEVVERIKCASSSLRRILFSPSFAEFISTNGSSDMMEEDVVSNLAVRIKLRLTV WEFIIPVTPSLPAVIGVSDTVIEDRFGVEHGSEKWYEKLDLHFKWLLQYRISPYFCKW GENMRVLTYTSPWPADHPKSDEYFSDPRLAAYAVPYRQVIAGDDSREIYLRKEVEILR SKPHWNKAYFYLWDEPLNMDHFHNVRKMASEIYAYAPDARVLTTYYCGPGDAPLAPTP FESFVKVPNLLRPHTQIYCTSEWVLGNREDLVKDIVEGLQLENREEWWTYICLGPTDP HPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKATVPSAEVRFRRGLPPGDGV LYYPGEVFSSSSEPVASLRLERLLSGLQDYEYLKLYESKYGREEAMGLLEKTGVYMGP ERYTLEHRPIDVLRGEVYNACRPS" gene complement(<4522134..>4523519) /locus_tag="BRARA_H00446" /db_xref="Phytozome:Brara.H00446" mRNA complement(join(<4522134..4522286,4522363..4522510, 4522584..4522734,4522796..4522892,4522956..4523200, 4523429..>4523519)) /locus_tag="BRARA_H00446" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00446" CDS complement(join(4522134..4522286,4522363..4522510, 4522584..4522734,4522796..4522892,4522956..4523200, 4523429..4523519)) /locus_tag="BRARA_H00446" /codon_start=1 /product="hypothetical protein" /protein_id="RID49661.1" /db_xref="Phytozome:Brara.H00446" /translation="MAAPLLSFPLTKIHGTNPLHRKMNVPNTFLFQSPATTMVPTEIK NRLARSFEQMRITPILDDTTLKNCSNEIARVLLDADFPESLVAEFEGKIQTVIDTNEA SEGKCNLIYKTILEELSTILDPRKSASIQGKNESIVMFIGLQGSEKSYTCARYARYHM TMGFKPALVCADTFAIDAFDLLKKASKDKVPVYRSRKRDPAKIASGGIAKLRKHNRDF IVVDTTSRHTECFALLVEMRRLANAVKPDLVIFVIDGSVGKDAFEQARAFREGFPAGV AIVTKIKTYPKSLGALAA" assembly_gap 4538033..4548032 /estimated_length=unknown /gap_type="between scaffolds" assembly_gap 4548732..4558731 /estimated_length=unknown /gap_type="between scaffolds" gene 4559016..4559556 /locus_tag="BRARA_H00447" /db_xref="Phytozome:Brara.H00447" mRNA join(4559016..4559139,4559231..4559556) /locus_tag="BRARA_H00447" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00447" CDS join(4559053..4559139,4559231..4559500) /locus_tag="BRARA_H00447" /codon_start=1 /product="hypothetical protein" /protein_id="RID49662.1" /db_xref="Phytozome:Brara.H00447" /translation="MAGEGEVIACHTLEVWSEKIKAANESKKLIVIDFTATWCPPCRF IAPVFVDMAKKFLNVVFFKIDVDELQSVAKEFKVEAMPTFLFMREGEVVDRVVGARKE EIHQTLMKHGGLVSSA" gene complement(4559557..4562977) /locus_tag="BRARA_H00448" /db_xref="Phytozome:Brara.H00448" mRNA complement(join(4559557..4559931,4560015..4560128, 4560201..4560311,4560396..4560505,4560576..4560685, 4560760..4560938,4561011..4561294,4561365..4561452, 4561562..4561667,4561767..4561855,4561939..4562076, 4562154..4562246,4562339..4562405,4562499..4562611, 4562711..4562806,4562879..4562977)) /locus_tag="BRARA_H00448" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00448" CDS complement(join(4559614..4559931,4560015..4560128, 4560201..4560311,4560396..4560505,4560576..4560685, 4560760..4560938,4561011..4561294,4561365..4561452, 4561562..4561667,4561767..4561855,4561939..4562076, 4562154..4562246,4562339..4562405,4562499..4562611, 4562711..4562806)) /locus_tag="BRARA_H00448" /codon_start=1 /product="hypothetical protein" /protein_id="RID49663.1" /db_xref="Phytozome:Brara.H00448" /translation="MWEDLIKKAKDGGLDVIDTYVFWNGHEPSPGIYNFEGRYDLVRF IKTVQEVGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKDAMQGFTYKI VQMMKDHQFFASQGGPIILSQIENEFGPILKGSSDHSYVNWAVKMAVGLNTGVPWVMC KEDDAPDPIINTCNGFYCDHFTPNKPYKPTMWTEAWTGWFTLFGGTIRKRPVEDLAFG VTRFIQNGGSYINYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLVQEPKYSHLKQ LHQAIKQCESALVSSDATVTKLGRYGEAHVFSAGKGSCAAFLSNYHMNAPAKVVFNKR QYTLPAWSTSILPDCENVVYNTATVVAKTSNVEMVPSGSVVYSVARYDEDIATYGDRG TITALGLLDQINVTRDTNDYLWYITSVDIKESESFLRGGKLPTLTVDSAGHAVHVFVN GHFYGSAFGTRENRKVSFSAPVNLRGGANRVALLSVAVGLPNDGPHFETWATGVVGSV ALHGLDEGNKDLSRQKWTYQVGLRGEALNLISPTEASSVDWIKGSLAKQNKQPFTWYK AYFDSPSGNEPLALDLESMGKGQAWINGESLGRYWTAIAKGNCGSCNYAGPYRQANCQ SGCGEPTQRWYHVPRSWLKPRGNLLVLFEELGGDISKVSVVKRSSVH" gene <4573518..>4574102 /locus_tag="BRARA_H00449" /db_xref="Phytozome:Brara.H00449" mRNA join(<4573518..4573633,4573670..>4574102) /locus_tag="BRARA_H00449" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00449" CDS join(4573518..4573633,4573670..4574102) /locus_tag="BRARA_H00449" /codon_start=1 /product="hypothetical protein" /protein_id="RID49664.1" /db_xref="Phytozome:Brara.H00449" /translation="MIFVCEKAKEVWDLANIPLPQIGFSRNFVFLNFLHLLKVVFPWI VWELCKARNALAFKNKVVTAFTIASRAFEEASSWQKTMILKMNTEVVEEELRTEQPIG WIKPPSGCLKCNIGSSWVDSNHPSGASWILRGEDGKTIMHSRRSYSFMRSKAEADLWA MHWAVECMHNTHQVNVLFEASS" gene complement(4574908..4592145) /locus_tag="BRARA_H00450" /db_xref="Phytozome:Brara.H00450" mRNA complement(join(4574908..4575461,4575819..4576161, 4576273..4576440,4576518..4576820,4576909..4577028, 4577125..4577226,4577411..4577480,4577727..4577866, 4578053..4578271,4579584..4579821,4580050..4580162, 4580514..4580870,4581023..4581120,4581266..4582694, 4582784..4584610,4588663..4588911,4589274..4589351, 4589444..4589614,4589805..4589988,4590228..4590394, 4590660..4590759,4591321..4591585,4591737..4592145)) /locus_tag="BRARA_H00450" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00450" mRNA complement(join(4574908..4575461,4575819..4576161, 4576273..4576440,4576518..4576820,4576909..4577028, 4577125..4577226,4577411..4577480,4577727..4577866, 4578053..4578271,4579584..4579821,4580050..4580162, 4580514..4580870,4581023..4581120,4581266..4582694, 4582784..4584610,4588663..4588911,4589274..4589351, 4589444..4589614,4589805..4589988,4590228..4590394, 4590660..4590771,4591321..4591585,4591737..4592145)) /locus_tag="BRARA_H00450" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00450" CDS complement(join(4575346..4575461,4575819..4576161, 4576273..4576440,4576518..4576820,4576909..4577028, 4577125..4577226,4577411..4577480,4577727..4577866, 4578053..4578271,4579584..4579821,4580050..4580162, 4580514..4580870,4581023..4581120,4581266..4582694, 4582784..4584610,4588663..4588911,4589274..4589351, 4589444..4589614,4589805..4589988,4590228..4590394, 4590660..4590759,4591321..4591391)) /locus_tag="BRARA_H00450" /codon_start=1 /product="hypothetical protein" /protein_id="RID49665.1" /db_xref="Phytozome:Brara.H00450" /translation="MAASSATLYFGFLIASITLWMIFRLFTWMLSRVLGMSVRFRVSS RKCLRDVVVMSETGAFESSSADEIKFGVAFLSGELCIKVMIYDLDVVMRSSGALSCDK LTLCFQLGYDRAVGIVVIRTMEIVSGDVTMKLDNDFFSQINPSSATALSTKKPHKEYQ LSALAKYSMYFPEKVSFSLPKLDVRCVNRKHDLFAVNNVTAIILRSIKSKSVEDSGDI TRLNVQMELNEIHLFREAESSILEIIKVDVVSLIEIPFQPVLPINANLEIELGGTQCN LFISRLEPWLSLLFPEKRTLVVQEEICTREKLKAADMKTIMWTCTFSAPEMTMLCGID DLPLYHFYSQSSHVFANNISSMDTAIDVELGELNLHLADECQQCYKENILGTEPNSGL LMHIEKISLNWARRDCRNDLVLSVNVTTMSIYLSYKRVESLITNAVSLEARFKKLTVS GEKTNKTGGVELSHATEKETRLANLTLTRFIVNFCDATGLDNTVTDSVSLEVTGFSYS LNKDKHSTEMEFLGGKAIYQLYIPCSKVTLFDMHNAKLTRLSGGLDINFLLSAADISL GWEPDVHLYLYGLYLRLSSLAYAQNAEEHECAAGSVDVETTERKSIFAIDVEMLAISA ALGDGVEVKFNALSIFTENAFIGMLVEELMLALNGSRVLKTTRMQLSRIPTVSLDLSD DIVPVRRTSGPWDWVVQGLDVNICMPCKLQLRVIADSIEEKLRDLKLITEPEEESLEP KNSSSGFGRLRFCIGRLNAYIEEEPIQGWLDEHYLLLKKEACELAVRLKFLEDFIHKA SHKGAETSERKIVFDGDEIDVHDPLAISKVKEEIQKRSFRSYYQACQGLVPSEGSGAC REGFQAGFKSSAARNSLLSVCATDFDLNLTAVRGGDSDAGLMQILRKLDPSCKEISGS KVNLKTGSLVVKLRNYTLPLLSASSCKCEGPIVQQAMSSQPKMKTHSDLRICFEQGEV SFGVGYEPAFADISYAFTVALSPCAPQVSNEEQILPWWDNMRNNVHCNITLSFSESSK WNVLATTDPYESQDKLQIVTGPIEFKQSDGRVVVNAKDFKIKLRSRHSLNVPAGGNSG AAFFEAPLFNIQVTMDWECEPGSSLNVLDPLRSASLSLRCNLSLRASDKNESMSPSSP TINLGAQDLAWILKCCSLYSDPPHMLRSFSGRTRFGVPRVVLVAEDLSLDQVITEFMV RVDATPFLINYVPSDLDDPAKGLIFDIKELKYELCYSRGKQNYTLECKRDALDLVYQG LDVHVPKVFINKDKHKGDEKNRDEGFLLSCDYCTIRRQAPKADIERLSAWQEAGRKNL QVTYLRSESANRNESDEDLQSDPSDDDGYNIVLSDNCQRVFVYGLKLLWTIENRDAVF SFVSGISKGFEPSPSRQYTHSKILEGNQKNQDETSIYSASSGESRTIDKVETSGSHEE GISHFMVNVIEPQFNLHSEEGKGRFLLAAASGRVIARSFKSIMRVDEEVIVQFLGTTS LQSPKRIPEMTWTRLEISVMLEHVQAHVALTDVDPGAGVQWLPNIRRNSPKLKRTGAL LEKVFMPCDMYLRYTKHEGLSSDLKIKPFEEITFNSRNIKATMTSRQFQVMMDVLTIL LFPPKPRKSSLHFPTEDDDAVDEVIPYGNEEVEIAKINLKEKEWEQKLLLVDIQILSH YSGNMEDTHVEKEGDSWMISSRKSILVERLKKELLYVQKSRKMASASLRSAQQKSANL QLMEKNKSAPYAMRISLEINRVVWCMVVDGRAFAEADINNMIYDFERDYKGIGVARFT TKFFVVRNCLCNATSDMILSAWNPPSEWGKQFMLRVDAKQGTPKDGNHLELFHVEIYP LRIHLSETMYKMMWDYFFPEEEQNSQRRQEVLKVSTTAGSKRVKRQLASHESSSSSAA VQSQSNVDCAQKSNILDVRSTAGVSADQELRRTSSFNRTWEESVAESVANELLLHSYN SPVSSSNDQKGESSRQMNLKNAKTDKPRSSSSREKKARKKQVEMIKISNIKIRQVELL VTYEGSRLVVNELILLMDTFARDEFAGTWRGLFARVKKHITCGVLKSVIGIQGKKFSY KSQKNAQFTDDDLKLSNNDESVTWIKKDESGGAGDNFVTSVRGLFNTQRRKAKAFVIR TMRAEAENDFNGEWSDSDVEFSPFARQLTITKAKRLIRRHTKKFRPSSKRGLTSQQRE ALPLSVKDFETDASESSYSSESSPYEEFSG" CDS complement(join(4575346..4575461,4575819..4576161, 4576273..4576440,4576518..4576820,4576909..4577028, 4577125..4577226,4577411..4577480,4577727..4577866, 4578053..4578271,4579584..4579821,4580050..4580162, 4580514..4580870,4581023..4581120,4581266..4582694, 4582784..4584610,4588663..4588911,4589274..4589351, 4589444..4589614,4589805..4589988,4590228..4590394, 4590660..4590771,4591321..4591391)) /locus_tag="BRARA_H00450" /codon_start=1 /product="hypothetical protein" /protein_id="RID49666.1" /db_xref="Phytozome:Brara.H00450" /translation="MAASSATLYFGFLIASITLWMIFSLCSRLFTWMLSRVLGMSVRF RVSSRKCLRDVVVMSETGAFESSSADEIKFGVAFLSGELCIKVMIYDLDVVMRSSGAL SCDKLTLCFQLGYDRAVGIVVIRTMEIVSGDVTMKLDNDFFSQINPSSATALSTKKPH KEYQLSALAKYSMYFPEKVSFSLPKLDVRCVNRKHDLFAVNNVTAIILRSIKSKSVED SGDITRLNVQMELNEIHLFREAESSILEIIKVDVVSLIEIPFQPVLPINANLEIELGG TQCNLFISRLEPWLSLLFPEKRTLVVQEEICTREKLKAADMKTIMWTCTFSAPEMTML CGIDDLPLYHFYSQSSHVFANNISSMDTAIDVELGELNLHLADECQQCYKENILGTEP NSGLLMHIEKISLNWARRDCRNDLVLSVNVTTMSIYLSYKRVESLITNAVSLEARFKK LTVSGEKTNKTGGVELSHATEKETRLANLTLTRFIVNFCDATGLDNTVTDSVSLEVTG FSYSLNKDKHSTEMEFLGGKAIYQLYIPCSKVTLFDMHNAKLTRLSGGLDINFLLSAA DISLGWEPDVHLYLYGLYLRLSSLAYAQNAEEHECAAGSVDVETTERKSIFAIDVEML AISAALGDGVEVKFNALSIFTENAFIGMLVEELMLALNGSRVLKTTRMQLSRIPTVSL DLSDDIVPVRRTSGPWDWVVQGLDVNICMPCKLQLRVIADSIEEKLRDLKLITEPEEE SLEPKNSSSGFGRLRFCIGRLNAYIEEEPIQGWLDEHYLLLKKEACELAVRLKFLEDF IHKASHKGAETSERKIVFDGDEIDVHDPLAISKVKEEIQKRSFRSYYQACQGLVPSEG SGACREGFQAGFKSSAARNSLLSVCATDFDLNLTAVRGGDSDAGLMQILRKLDPSCKE ISGSKVNLKTGSLVVKLRNYTLPLLSASSCKCEGPIVQQAMSSQPKMKTHSDLRICFE QGEVSFGVGYEPAFADISYAFTVALSPCAPQVSNEEQILPWWDNMRNNVHCNITLSFS ESSKWNVLATTDPYESQDKLQIVTGPIEFKQSDGRVVVNAKDFKIKLRSRHSLNVPAG GNSGAAFFEAPLFNIQVTMDWECEPGSSLNVLDPLRSASLSLRCNLSLRASDKNESMS PSSPTINLGAQDLAWILKCCSLYSDPPHMLRSFSGRTRFGVPRVVLVAEDLSLDQVIT EFMVRVDATPFLINYVPSDLDDPAKGLIFDIKELKYELCYSRGKQNYTLECKRDALDL VYQGLDVHVPKVFINKDKHKGDEKNRDEGFLLSCDYCTIRRQAPKADIERLSAWQEAG RKNLQVTYLRSESANRNESDEDLQSDPSDDDGYNIVLSDNCQRVFVYGLKLLWTIENR DAVFSFVSGISKGFEPSPSRQYTHSKILEGNQKNQDETSIYSASSGESRTIDKVETSG SHEEGISHFMVNVIEPQFNLHSEEGKGRFLLAAASGRVIARSFKSIMRVDEEVIVQFL GTTSLQSPKRIPEMTWTRLEISVMLEHVQAHVALTDVDPGAGVQWLPNIRRNSPKLKR TGALLEKVFMPCDMYLRYTKHEGLSSDLKIKPFEEITFNSRNIKATMTSRQFQVMMDV LTILLFPPKPRKSSLHFPTEDDDAVDEVIPYGNEEVEIAKINLKEKEWEQKLLLVDIQ ILSHYSGNMEDTHVEKEGDSWMISSRKSILVERLKKELLYVQKSRKMASASLRSAQQK SANLQLMEKNKSAPYAMRISLEINRVVWCMVVDGRAFAEADINNMIYDFERDYKGIGV ARFTTKFFVVRNCLCNATSDMILSAWNPPSEWGKQFMLRVDAKQGTPKDGNHLELFHV EIYPLRIHLSETMYKMMWDYFFPEEEQNSQRRQEVLKVSTTAGSKRVKRQLASHESSS SSAAVQSQSNVDCAQKSNILDVRSTAGVSADQELRRTSSFNRTWEESVAESVANELLL HSYNSPVSSSNDQKGESSRQMNLKNAKTDKPRSSSSREKKARKKQVEMIKISNIKIRQ VELLVTYEGSRLVVNELILLMDTFARDEFAGTWRGLFARVKKHITCGVLKSVIGIQGK KFSYKSQKNAQFTDDDLKLSNNDESVTWIKKDESGGAGDNFVTSVRGLFNTQRRKAKA FVIRTMRAEAENDFNGEWSDSDVEFSPFARQLTITKAKRLIRRHTKKFRPSSKRGLTS QQREALPLSVKDFETDASESSYSSESSPYEEFSG" gene 4592460..4594616 /locus_tag="BRARA_H00451" /db_xref="Phytozome:Brara.H00451" mRNA join(4592460..4592734,4592832..4592972,4593068..4593187, 4593775..4593870,4594389..4594616) /locus_tag="BRARA_H00451" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00451" CDS join(4592507..4592734,4592832..4592972,4593068..4593187, 4593775..4593870,4594389..4594499) /locus_tag="BRARA_H00451" /codon_start=1 /product="hypothetical protein" /protein_id="RID49667.1" /db_xref="Phytozome:Brara.H00451" /translation="MEEEQIRVVKIIVIGVILWGVSFILTRRIFSSYSFDFSNRLLST VHATVAVTLATLSVQDWSCPVCPRASKPSPQQMDTMAFSLSYMIYDLICCQFDQVISM DNAVHHFVSILGFVAGFAYQKSGSEIIATLWIAEISSPFYHLREILKEIGYRDTSVNL AADVCFATIFTLARIVCGPFLVYVSLTADNPIFIKAMGSGLQLVSIFWFYKIFGMMRY KLFKKPKSNKKAT" gene complement(4600272..4604745) /locus_tag="BRARA_H00452" /db_xref="Phytozome:Brara.H00452" mRNA complement(join(4600272..4600603,4600693..4600857, 4600934..4601116,4601213..4601326,4601578..4601622, 4601721..4601805,4601891..4602007,4602082..4602270, 4602338..4602371,4603683..4603833,4603914..4604053, 4604129..4604307,4604388..4604745)) /locus_tag="BRARA_H00452" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00452" mRNA complement(join(4600272..4600603,4600693..4600857, 4600934..4601116,4601213..4601326,4601578..4601622, 4601721..4601805,4601891..4602007,4602082..4602270, 4602338..4602371,4603683..4603833,4603914..4604059, 4604129..4604307,4604388..4604745)) /locus_tag="BRARA_H00452" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00452" CDS complement(join(4600478..4600603,4600693..4600857, 4600934..4601116,4601213..4601326,4601578..4601622, 4601721..4601805,4601891..4602007,4602082..4602270, 4602338..4602371,4603683..4603833,4603914..4604053, 4604129..4604307,4604388..4604608)) /locus_tag="BRARA_H00452" /codon_start=1 /product="hypothetical protein" /protein_id="RID49669.1" /db_xref="Phytozome:Brara.H00452" /translation="MAGEDSDNQPSSPASPSSAGFNTDQLPFSTSQNSENFSDEEEAE VDPQVIRDEPEDEEEEEEEGEDLFNDNFHQDYEKRDEQDQYESVGLDDSVVDNRGLGQ IALDRRAAEAVLDARETRLANRKLPHLLHDNDDSNYRPSKRARAAVPPRGNGRDPDGN PSSPLPDVSMTDQTDDYQDEDDEAEFEMYRIQGSLREWVMRDEVRRFIAKKFRDFLLT YVKPNSENGEYVRDPGTAKSQFLKYVEKTGQRAVYTTGKGASAVGLTAAVHKDPVTRE WTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSV IAAANPVGGRYDSSKSFAQNVELTDPILSRFDILCVVKDVVDPVTDDMLAEFVNLLRK YLTYSKLYVFPKLSEIDAKKLETVYANLRRESMNGQGVSIATRHLESMIRISEANARM HLRQYVTEDDVNMAIRVLLDSFISTQKFGVQRTLRESFKRYITYKKDYNSLLLVLLKE LVKNALKFEEIITGSNSGLSSSIEVKIEELQTKANEYDLADLRPFFSSKDFAKAHFEL DHGLGVIKFPRRLVTW" CDS complement(join(4600478..4600603,4600693..4600857, 4600934..4601116,4601213..4601326,4601578..4601622, 4601721..4601805,4601891..4602007,4602082..4602270, 4602338..4602371,4603683..4603833,4603914..4604059, 4604129..4604307,4604388..4604608)) /locus_tag="BRARA_H00452" /codon_start=1 /product="hypothetical protein" /protein_id="RID49668.1" /db_xref="Phytozome:Brara.H00452" /translation="MAGEDSDNQPSSPASPSSAGFNTDQLPFSTSQNSENFSDEEEAE VDPQVIRDEPEDEEEEEEEGEDLFNDNFHQDYEKRDEQDQYESVGLDDSVVDNRGLGQ IALDRRAAEAVLDARETRLANRKLPHLLHDNDSDDSNYRPSKRARAAVPPRGNGRDPD GNPSSPLPDVSMTDQTDDYQDEDDEAEFEMYRIQGSLREWVMRDEVRRFIAKKFRDFL LTYVKPNSENGEYVRDPGTAKSQFLKYVEKTGQRAVYTTGKGASAVGLTAAVHKDPVT REWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARC SVIAAANPVGGRYDSSKSFAQNVELTDPILSRFDILCVVKDVVDPVTDDMLAEFVNLL RKYLTYSKLYVFPKLSEIDAKKLETVYANLRRESMNGQGVSIATRHLESMIRISEANA RMHLRQYVTEDDVNMAIRVLLDSFISTQKFGVQRTLRESFKRYITYKKDYNSLLLVLL KELVKNALKFEEIITGSNSGLSSSIEVKIEELQTKANEYDLADLRPFFSSKDFAKAHF ELDHGLGVIKFPRRLVTW" gene complement(4611806..4614121) /locus_tag="BRARA_H00453" /db_xref="Phytozome:Brara.H00453" mRNA complement(join(4611806..4612104,4612193..4612267, 4612340..4612467,4612540..4612693,4612791..4612861, 4612938..4613020,4613116..4613165,4613338..4613414, 4613513..4613573,4613655..4613708,4613806..4613865, 4614008..4614121)) /locus_tag="BRARA_H00453" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00453" CDS complement(join(4612033..4612104,4612193..4612267, 4612340..4612467,4612540..4612693,4612791..4612861, 4612938..4613020,4613116..4613165,4613338..4613414, 4613513..4613573,4613655..4613708,4613806..4613865, 4614008..4614046)) /locus_tag="BRARA_H00453" /codon_start=1 /product="hypothetical protein" /protein_id="RID49670.1" /db_xref="Phytozome:Brara.H00453" /translation="MGFSKDHLLARLQELQIDYSKYEHPPVLTVEEQAKYVSSSEGAL SKNLFLKDKKNRYYIVSAMTDTKVDMKVLSQRLGLGKGGIRMAPEEALSELLQVSLGC VTPFAVVNESARDVSLLLDQKFKNQTRCIFHPLSNDVSVSLNTLGLDKFLQSIGRDSV YIDLEANPVVGKDQPPDLAVYVPSNSVVVPELPTKTASIQTASKNVSAEKTKPVASAK PSKLAGNGKSAVEDSALLVFKNPEKFVEEILDKTTALLLSEGKGENVEALAETFRKRL TSEFTHLAVMYKNTAYSQGFYAGTQSQPKRP" gene complement(<4642767..>4644011) /locus_tag="BRARA_H00454" /db_xref="Phytozome:Brara.H00454" mRNA complement(<4642767..>4644011) /locus_tag="BRARA_H00454" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00454" CDS complement(<4642767..4644011) /locus_tag="BRARA_H00454" /codon_start=1 /product="hypothetical protein" /protein_id="RID49671.1" /db_xref="Phytozome:Brara.H00454" /translation="MSHRYTREEKGKGKKEKSRAERRRPIQIPQSDNSALIEENKLTL IGRVTNPTIQKTQWVVEWPLQYWNVDGELTGREFGPELFQIRFSSEEALQSVLRKGPY HYKRWMILLQRWEPVVSNSFPRMIDFWIRIHGLPLHYWTEDTLEAIGKELGPRLGKDV THGRIRVLIDGLKNLEMQLPLQLPSGEVISVNLEYEKLEKHCFLCYSLCHEKDNCPQY KDDTGERSPQGISQKNTLRKLEEHRRIHDNKRSISLSSRDRVSDPREQRSSQRSVYSR LQEPVRGRTYQTELSRPHIARERDRRRYGENMEERTRSFDREISSHHSYPTQRNQSPS KRVWRERSPGNRSKEHRRPISSGHNTQSSRTPPSRPAREPMNLPAAPDQEEVISGSRY RVPTLERREEELIQGAERISALE" gene 4670206..4673507 /locus_tag="BRARA_H00455" /db_xref="Phytozome:Brara.H00455" mRNA join(4670206..4670556,4670653..4670715,4671939..4672055, 4672265..4672502,4672630..4672788,4672892..4673043, 4673137..4673507) /locus_tag="BRARA_H00455" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00455" CDS join(4670363..4670556,4670653..4670715,4671939..4672055, 4672265..4672502,4672630..4672788,4672892..4673043, 4673137..4673350) /locus_tag="BRARA_H00455" /codon_start=1 /product="hypothetical protein" /protein_id="RID49672.1" /db_xref="Phytozome:Brara.H00455" /translation="MKGGSGSMEKLKPILAIISLQFGYAGMYIITMVSFKHGMDHWVL ATYRHIVATLVMAPFALVFERKIRPKMTLPIFYRLLALGILEPLMDQNFYYIGLKSTS ASYTSAFTNALPAVTFILALIFRLETVNFRKIHSIAKVVGTVITLGGAMVMTLYKGPA IEIVKAAHSSFHGGSTTATGQHWVTGTLAIMGSISTWAAFFILQSFTLKLYPAELSLV TLICGIGTILNFAVSMIFVRDLSAWKIGMDSGTLAAVYSGVVCSGIAYYIQSIVIKQR GPVFTTSFSPMCMVITSFLGALVLAEKIHLGSIIGAVFIVIGLYSVVWGKSKDAVNPL DEKIVAQELPITNVVKQHGHDLSGAQPNGLDVSSAPTNGGSANT" gene 4715905..4717772 /locus_tag="BRARA_H00456" /db_xref="Phytozome:Brara.H00456" mRNA join(4715905..4716394,4716906..4716950,4717169..4717290, 4717407..4717772) /locus_tag="BRARA_H00456" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00456" mRNA join(4715905..4716394,4717169..4717290,4717407..4717772) /locus_tag="BRARA_H00456" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00456" CDS join(4716023..4716394,4716906..4716950,4717169..4717290, 4717407..4717557) /locus_tag="BRARA_H00456" /codon_start=1 /product="hypothetical protein" /protein_id="RID49674.1" /db_xref="Phytozome:Brara.H00456" /translation="MQFSRNSILRQRSRKEGWRSASKRWTSGDSSTAFTDATSCGGGY SSMEGLYGVYSNGDTATRSKRVMVVVDETSRSKHAMMWALTHLTNKGDLMTLLHVVSP HDEASPSLVQSLGSLCKACKPEDFGRKVFRKHPTCNQVDVEALVVQGPKLATILSQVK KLDVTVLVLGQKKSAPFISCLRGPSRSEELVNRCINGADCLTIGVRKQSNGVSGYLIN TRWQKNFWLLA" CDS join(4716023..4716394,4717169..4717290,4717407..4717557) /locus_tag="BRARA_H00456" /codon_start=1 /product="hypothetical protein" /protein_id="RID49673.1" /db_xref="Phytozome:Brara.H00456" /translation="MQFSRNSILRQRSRKEGWRSASKRWTSGDSSTAFTDATSCGGGY SSMEGLYGVYSNGDTATRSKRVMVVVDETSRSKHAMMWALTHLTNKGDLMTLLHVVSP HDEASPSLVQSLGSLCKACKPEVDVEALVVQGPKLATILSQVKKLDVTVLVLGQKKSA PFISCLRGPSRSEELVNRCINGADCLTIGVRKQSNGVSGYLINTRWQKNFWLLA" assembly_gap 4748438..4758437 /estimated_length=unknown /gap_type="between scaffolds" assembly_gap 4760540..4770539 /estimated_length=unknown /gap_type="between scaffolds" assembly_gap 4772585..4782584 /estimated_length=unknown /gap_type="between scaffolds" gene <4810225..>4811367 /locus_tag="BRARA_H00457" /db_xref="Phytozome:Brara.H00457" mRNA <4810225..>4811367 /locus_tag="BRARA_H00457" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00457" CDS 4810225..4811367 /locus_tag="BRARA_H00457" /codon_start=1 /product="hypothetical protein" /protein_id="RID49675.1" /db_xref="Phytozome:Brara.H00457" /translation="MPETDLSFGRSLLSLRRDQVHLMDPTEPMSMEVELDSFQRQVAE KFIDLNASANDDELLSLEWIGKLLDSFLCCQEEFRVIIFNHKSQLLKQPMDRLIEDYF ERSVKALDVCNAIRDGIELIGQWQKLIEIVLCALDASHGQLGEGEIHRAKKALIDLAI GMLDEKDSSNSLAQRNRSFTRNKDNNQHTGYLRSLSWSVSRSWSAAKQLQGIGNNLAT PRASDVMATNGLALTVYTMTSILLFVMWVLVAAIPCQDRGLQVHFYFPRHFQWAVPVM SLHDKIMDESKKRDKKKACGLLKEINLMEKNTRMLSELIDSDNFSLTDDNALEVKERV EELMQVRESMKEGLDPFERKVRDVFHRIVRSRTEALDSLGKVHDQE" gene 4816949..4820005 /locus_tag="BRARA_H00458" /db_xref="Phytozome:Brara.H00458" mRNA join(4816949..4817079,4817287..4817351,4817441..4817512, 4817588..4817664,4817735..4817816,4817940..4818063, 4818212..4818276,4818357..4818406,4818492..4818533, 4818609..4818660,4818743..4818764,4818854..4818867, 4819016..4819123,4819202..4819345,4819422..4820005) /locus_tag="BRARA_H00458" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00458" CDS join(4817295..4817351,4817441..4817512,4817588..4817664, 4817735..4817816,4817940..4818063,4818212..4818276, 4818357..4818406,4818492..4818533,4818609..4818660, 4818743..4818764,4818854..4818867,4819016..4819123, 4819202..4819345,4819422..4819811) /locus_tag="BRARA_H00458" /codon_start=1 /product="hypothetical protein" /protein_id="RID49676.1" /db_xref="Phytozome:Brara.H00458" /translation="MAESSKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQA LLQMQDVSSAITALQFFTNVQPTIRGRNVYIQFSSHQELTTAEQNIHGREDEPNRILL VTVHHMLYPITVDVLHQVFSPYGFVEKIVTFQKSAGFQALIQYQAQPCAASARTSLQG RNIYDGCCQLDIQFSNLEELQVNYNNDRSRDYTNPNLPSEQKGRLPHPGYGDAGVAYP QMANTSAIAAAFGGGLPPGITGTNDRCTILVSNLNTDSVDEDKLFNLFSLYGNIVRIK LLRNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNYSKHPNITPGTDSHDYVNS NLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDVTEEEVVNHVQEHGAILNTKVFEMNGK KQALVQFENEEEAAEALVCKHATSLGGSIIRISFSQLQTI" gene <4822299..>4833905 /locus_tag="BRARA_H00459" /db_xref="Phytozome:Brara.H00459" mRNA join(4822299..4822511,4822602..4822654,4822755..4822864, 4823077..4823244,4823409..4823537) /locus_tag="BRARA_H00459" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00459" mRNA join(<4822398..4822511,4822602..4822654,4822755..4822864, 4823077..4823244,4823409..4823497,4833559..4833685, 4833793..>4833905) /locus_tag="BRARA_H00459" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00459" CDS join(4822398..4822511,4822602..4822654,4822755..4822864, 4823077..4823244,4823409..4823497,4833559..4833685, 4833793..4833905) /locus_tag="BRARA_H00459" /codon_start=1 /product="hypothetical protein" /protein_id="RID49678.1" /db_xref="Phytozome:Brara.H00459" /translation="MAEDKEATTSSLSQGLAPQDPEDPPKSPPTSPNSSTRKACYAVL QSWVSKKFMTGFVVLFPVAVTFLITWWFIQFVDGFFSPIYESLGVDIFGLGFITSVLF TFFVGIFASSWLGSTVFWLGEQFIKRMPFVRHLYSASKQVSTAISPDQNTTAFKEVAI IRHPRIGEYAFGFITLFKTDQGEEELCSVYVPTNHLYIGDVFLVNSEEIIRPNLSIRE GIEIIVSVGMTMPQVISHVDRTKSRTAHQHGHRIPLNRL" CDS join(4822398..4822511,4822602..4822654,4822755..4822864, 4823077..4823244,4823409..4823521) /locus_tag="BRARA_H00459" /codon_start=1 /product="hypothetical protein" /protein_id="RID49677.1" /db_xref="Phytozome:Brara.H00459" /translation="MAEDKEATTSSLSQGLAPQDPEDPPKSPPTSPNSSTRKACYAVL QSWVSKKFMTGFVVLFPVAVTFLITWWFIQFVDGFFSPIYESLGVDIFGLGFITSVLF TFFVGIFASSWLGSTVFWLGEQFIKRMPFVRHLYSASKQVSTAISPDQNTTAFKEVAI IRHPRIGEYAFGFITLFKVSLVSVK" gene complement(<4840648..>4840959) /locus_tag="BRARA_H00460" /db_xref="Phytozome:Brara.H00460" mRNA complement(<4840648..>4840959) /locus_tag="BRARA_H00460" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00460" CDS complement(4840648..4840959) /locus_tag="BRARA_H00460" /codon_start=1 /product="hypothetical protein" /protein_id="RID49679.1" /db_xref="Phytozome:Brara.H00460" /translation="MKSAVKKLLCCGAKSFSHRARLPEEGRVRVYVGNDRDTQCKLEM DADFLTHPLFQELLRFSEEEFGYSYDGALRIACEINTFIDMINFLKSTTHFTYNNNTG F" gene 4860444..4862316 /locus_tag="BRARA_H00461" /db_xref="Phytozome:Brara.H00461" mRNA join(4860444..4860722,4860927..4861923,4862038..4862316) /locus_tag="BRARA_H00461" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00461" CDS join(4860672..4860722,4860927..4861923,4862038..4862195) /locus_tag="BRARA_H00461" /codon_start=1 /product="hypothetical protein" /protein_id="RID49680.1" /db_xref="Phytozome:Brara.H00461" /translation="MVMARSNHHNRLPLRERRKRWVGCFKALSCFGSKKGERRIVPAA ARTPEGNVATAQSNQPQTTTGLSNHTTAMLAPPSSPASFSHSPAPSIAQSPNCLLSLS ANSPGGPSSAMFATGPYAHETQLVSPPVFSTFTTEPSTAPLTPPPELAHLTTPSSPDV PYARFLTGKADCISGDGLRSSSFPEREFSPHWDSLASPRTVKCSRSDSSYAQTPETNT TPKASQGSNFFCPATFARYYLDHDAPFSHAGGRLSVSKDTDVYPTNRNGQRISKQDME ELEAYRASFGFSSDDVISTSQYVEITHVQDDSLRPRTTLDASKDEGINLYGAGEASLN LQKCGNLQDPLDMHNDHMRRSSTPGNGGQAKASRKYKTGLCSSDAEIDYRRSGRSLGE GKGDFAWHD" gene complement(<4870397..>4870783) /locus_tag="BRARA_H00462" /db_xref="Phytozome:Brara.H00462" mRNA complement(<4870397..>4870783) /locus_tag="BRARA_H00462" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00462" CDS complement(4870397..4870783) /locus_tag="BRARA_H00462" /codon_start=1 /product="hypothetical protein" /protein_id="RID49681.1" /db_xref="Phytozome:Brara.H00462" /translation="MDNVGWEFVLDPSKKGNALFIEDDTNYEDFLRMVCEDYKISEME AVEFAYMLPTCILEQMPSNTPPIFFSNNIQLASFITLFKTNIMCIYVSLTANKGRYDV NRNQERVVRENAAADFGSLEMFSTRL" gene complement(4871769..4875087) /locus_tag="BRARA_H00463" /db_xref="Phytozome:Brara.H00463" mRNA complement(join(4871769..4872199,4872288..4872413, 4873426..4873512,4873616..4873660,4873747..4873846, 4873967..4874220,4874308..4874544,4874626..4874685, 4874759..4874792,4874868..4875087)) /locus_tag="BRARA_H00463" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00463" CDS complement(join(4871888..4872199,4872288..4872413, 4873426..4873512,4873616..4873660,4873747..4873846, 4873967..4874220,4874308..4874544,4874626..4874685, 4874759..4874792,4874868..4874953)) /locus_tag="BRARA_H00463" /codon_start=1 /product="hypothetical protein" /protein_id="RID49682.1" /db_xref="Phytozome:Brara.H00463" /translation="MPSNSDRLFFFSSSSLSSSSSSSQLSTPSECQNGSSRTITKSPL WDVEKAKCSFGFSKPKSSEVLKEEIAELETEILQLERYLLSLYRTSFGDHLHAFTLRD DSSLPPPKPNTTKFQNDRVTSVSDTSLSSSIKPLSESDNKQRSEFSNPSLADLLGLNT LSSNKLSEEIVRLICVIIIKLSDKGQSRFVKNEKYGEELGVVINTLRLNEVNLKSVES FLQKFRSLVQKLEKVDPTSMTREEKLAFWINIHNALVMHAYILYGTGEDITGTKAAFN IGGEWVNVYDVQSSILGIRASHSPTRVWTLFSPARSSKTSRSHTYALEYAEPLLHFAL STGTLTDPMVRVYTAEGILQELRQARDSFIQTSVGFEKETWILLSKIIYNYANDTSLD MAELFNTISGCLTETQRTQMKRAVKKKQDRCIRWMKHDSDFRYIIHCRNTYEIV" gene <4877212..>4878630 /locus_tag="BRARA_H00464" /db_xref="Phytozome:Brara.H00464" mRNA <4877212..>4878630 /locus_tag="BRARA_H00464" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00464" CDS 4877212..4878630 /locus_tag="BRARA_H00464" /codon_start=1 /product="hypothetical protein" /protein_id="RID49683.1" /db_xref="Phytozome:Brara.H00464" /translation="MEDRITANDLGNGKFLLNFSTEDELNSVLRQGPFHFNFCMFVLV RWEPIVHDDYPWIIPFWTRLIGVPLHLWTENNLKEIGSRLGHVHQDTIELIEGRMLLD IDSRRPLKFARTAESPDGDEVTIEIKYEMLFKHCSTCGMLTHEKEYCPSFHRQGVFAR VQLQENRPQQHLQALVKKEYRSTDTHPQGLVASHHKLSRYDSARYDNGGRSYDLEHSR EAYKGHGDRIIRRRDDPSRRMRYGGARAGTKPYDRYNGVTWREKKQQPQPQSQSRHDN DVVHDRLVRVSADRGDGSYGHPRRSVSPPPRTSDKRAQSGREASPLQSQARTSPDQRS LGVAAVTRRIASAIVTPSRSDHSLDGNITKRLKGTPWSLAFNSLTEQDPKPAMEDDQV IEALNDMDITEQLDGGMMDCEMQNDDLMGLELAEMEDTTGRDRADYVADQKTQKLADK SSRHTKHGSKSSASLGIQKKEI" gene complement(<4901809..>4903983) /locus_tag="BRARA_H00465" /db_xref="Phytozome:Brara.H00465" mRNA complement(join(<4901809..4902081,4903015..4903339, 4903508..>4903983)) /locus_tag="BRARA_H00465" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00465" CDS complement(join(4901809..4902081,4903015..4903339, 4903508..4903983)) /locus_tag="BRARA_H00465" /codon_start=1 /product="hypothetical protein" /protein_id="RID49684.1" /db_xref="Phytozome:Brara.H00465" /translation="MNSSDVNFSLLQSQPNIPPEFFWPENDLTPSEGDLNLPIIDMSG FLNGDEAETQRAAKAVREACMTHGTFLVINHGFKSGLAEKALDISSLFFGLPKDEKLK AYRTPGNISGYTAGHSQRFSSNLPWNETLTLAFKKGPPQVVEDFLTSKLGDRRQEIGQ VFQEFSETMNRLNLELMELLGISMGLKDRTYFRRFFEDGNAIFRCNYYPPCKQPEKAL GVGPHNDPTAITVLLQDDVVGLEVFASGKWQTVRPRPGALVVNVGDTFMALSNGNYKS CFHRAVVNMEKVRRSLVYFSCPREDKLIIPPPELVEGGETSRKYPDFTWHQLQRFTQS GYRVDNTTLEKFSSWIASDSSRN" gene complement(4918776..4921654) /locus_tag="BRARA_H00466" /db_xref="Phytozome:Brara.H00466" mRNA complement(join(4918776..4919639,4919709..4920063, 4920348..4920441,4920672..4920905,4921331..4921654)) /locus_tag="BRARA_H00466" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00466" CDS complement(join(4918983..4919639,4919709..4920063, 4920348..4920441,4920672..4920905,4921331..4921436)) /locus_tag="BRARA_H00466" /codon_start=1 /product="hypothetical protein" /protein_id="RID49685.1" /db_xref="Phytozome:Brara.H00466" /translation="MVENSKNRQELGISIDVLPRNSSDLFDEDGRVKRTGTVWTASAH IITAVIGSGVLSLAWAVAQIGWVGGPVVMILFSLVTYYTSILLCACYRSGDSVTGKRN YTYMDAIHSNLGGIKVKLCGVVQYVNLFGTAIGYTIASAISMIAIQRTSCQQSNGDKD PCHVNGNPYMIAFGAIQIIFSQIPDFDQLWWLSIVAALMSFGYSTIGLGLGISRMVDN KEIKGTLTGVTIGTVTPTEKVWRTFQSLGNIAFAYSYSMILIEIQDTLKAPPAEEKTM RKATLISVAVTTLFYMLCGCVGYAAFGDSAPGNLLAAGGFRNPYWLLDIANLAIVIHL VGAYQVYCQPLFAFVEREASKRYPESKFITKETKIHIFPGSKPFNLNLFRLVWRTVFV ITTTLISMIMPFFNDVLGLLGAIGFWPLTVYFPVEMYIVQKNVPRWSTRWVCLQVLSL ACLVVSVAAAAGSVVGIVTDLKSYKPFKTDF" gene complement(4945107..4948243) /locus_tag="BRARA_H00467" /db_xref="Phytozome:Brara.H00467" mRNA complement(join(4945107..4945464,4945556..4945705, 4945787..4945877,4945957..4946129,4946201..4946305, 4946418..4946533,4946712..4946918,4947023..4947119, 4947214..4947282,4947860..4948243)) /locus_tag="BRARA_H00467" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00467" CDS complement(join(4945231..4945464,4945556..4945705, 4945787..4945877,4945957..4946129,4946201..4946305, 4946418..4946533,4946712..4946918,4947023..4947119, 4947214..4947282,4947860..4948066)) /locus_tag="BRARA_H00467" /codon_start=1 /product="hypothetical protein" /protein_id="RID49686.1" /db_xref="Phytozome:Brara.H00467" /translation="MAKVFEAADASNLMTELRMSFDAGVTRSYEWRVSQLKKLQVICD NHEPEIVSALHDDLGKPELESSVYEVALLRNSIKLALKQLKNWMAPDKAKTSLTTFPA SAEIVSEPLGVVLVISAWNYPFLLSIDPVIGAISAGNAVVLKPSELAPASSSLLAKLL EQYLDPSAVRVIEGAVTETTLLLEQKWDKIFYTGSSKIGRIIMMAAAKHLTPVVLELG GKSPVVIDSDTNLKITVKRIIAGKWGCNNGQACISPDYILTTKEYAPKVIDAMKQELE AFYGKNPMESKDMSRIVNSNHFDRLSKILEEKEVSDKIVYGGQKNRDNLKIAPTIFLD VPLDSLIMSEEIFGPLLPILTLNNLEECFDVIRSRPKPLAAYLFTQNQKLKERFAMTV SAGGIVVNDIAVHLSLPTLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYKSFIGDAAI RYPPYSRGKLRLLKALVNSNLVEVFKVLLGLS" gene complement(<4961070..>4962092) /locus_tag="BRARA_H00468" /db_xref="Phytozome:Brara.H00468" mRNA complement(<4961070..>4962092) /locus_tag="BRARA_H00468" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00468" CDS complement(4961070..4962092) /locus_tag="BRARA_H00468" /codon_start=1 /product="hypothetical protein" /protein_id="RID49687.1" /db_xref="Phytozome:Brara.H00468" /translation="MRPSRLGAGDKYVWSPNASAVYSTTSGYFEAVKQQELVEAREQQ NHQDRFQNFNWIKNVWSVKTAPKIQIFLWNILQDALPLGMALQRRGIMTHPVTCARCR EPETADHLFLHCRFAKRVWSNVPTTYPPSPPPVCFPPTGVAINIFFWVCWCIWTARNL IIFENRTLDPNDIIFNALRLAREWQEAQPIKHIETRNLSNRSIHTSTGLTTLYTDAAW RAQDKTAGCGWIIYTPHSEDARKVATTEMFVATPLMAEALAVREALLQVKAEHLSNIC CKSDNQVLIKALNSNQHPVELYGINLDIEKLASSFSSIVFSYVPRNLNFAADALAKSA LYALNI" gene complement(4979216..4981007) /locus_tag="BRARA_H00469" /db_xref="Phytozome:Brara.H00469" mRNA complement(join(4979216..4979580,4980396..4981007)) /locus_tag="BRARA_H00469" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00469" CDS complement(join(4979251..4979580,4980396..4980992)) /locus_tag="BRARA_H00469" /codon_start=1 /product="hypothetical protein" /protein_id="RID49688.1" /db_xref="Phytozome:Brara.H00469" /translation="MKSLIILIVAQLCIIVSPTTTMGGWPKPSEVSNEEKLVNTGQAQ PHLYAGKFNFGDSKVWKCTYNNGSGVAISISYPSPPQPPSQKPPTPSSPPTPKMAPPL PKPSPPRPSPKKSPPPPKPSSPPPTPKKSPPPPKPSPPPPTPKKSPPPPKPSPPPTPK MSPPSPTPSPPRPTPKKSPPNPSSLTPNESPPPAKTSILIIHSPPPPHEPIPAQSPPK EPTTPSTQWPPYRNWNPLGHFINCITEFGPSAVCKQQIEVSYYTGRFRVSDYCCNLFV NMRNECSDVILGFYDDRYFLPLLRCTCHVKIY" gene 4985862..4987211 /locus_tag="BRARA_H00470" /db_xref="Phytozome:Brara.H00470" mRNA join(4985862..4986108,4986192..4986305,4986387..4986630, 4986960..4987211) /locus_tag="BRARA_H00470" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00470" CDS join(4985917..4986108,4986192..4986305,4986387..4986630, 4986960..4987207) /locus_tag="BRARA_H00470" /codon_start=1 /product="hypothetical protein" /protein_id="RID49689.1" /db_xref="Phytozome:Brara.H00470" /translation="MAQTMLLTSGISANHFLRNKNPLAQPKVHHLFLSGNSPVTLPSR RPSLVPLAIFKPKTKAAPKKVEKVKPKVEDGIFGTSGGIGFTKQNELFVGRVAMIGFA ASLLGEALTGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGKFVDDPP TGLEKAVIPPGKGVRSALGLKEQGPLFGFTKANELFVGRLAQLGIAFSLIGEIITGKG ALAQLNIETGIPIQDIEPLVLLNVAFFFAAAINPGNGKFITDDGEES" assembly_gap 4987212..4997211 /estimated_length=unknown /gap_type="between scaffolds" gene complement(4998969..5004103) /locus_tag="BRARA_H00471" /db_xref="Phytozome:Brara.H00471" mRNA complement(join(4998969..4999214,4999330..4999481, 4999560..4999718,5002004..5002235,5003424..5003540, 5003667..5003729,5003887..5004103)) /locus_tag="BRARA_H00471" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00471" CDS complement(join(4999085..4999214,4999330..4999481, 4999560..4999718,5002004..5002235,5003424..5003540, 5003667..5003729,5003887..5004062)) /locus_tag="BRARA_H00471" /codon_start=1 /product="hypothetical protein" /protein_id="RID49690.1" /db_xref="Phytozome:Brara.H00471" /translation="MMMKHKANMAMVFVQIIYAGMPLLSKVAISQGTNPFVFVFYRQA FAALALSPFAFFLESAKSSPLSFVLLLKIFMISLCGLTLSLNLYYVAIDNTTATFAAA TTNAIPSITFVLALLFRLETVTLKKSYGLAKVFGSMVGMLGALVFAFVKGPSLINHYS NKTTPNKAVPSTKNSVKGSITMLAANTCWCLWIVLQSKVMKEYTAKLRLVTLQCVFSC MQTAVWAVAVNRSPSVWKIEFGLPLLSMAYCGIMVTGFTYWLQVWAIEKKGPVFTALY TPLALIITCIVSSFLFKETLYLGSVCGAFLLVCGLYIGLWGKTKEDEVQIYGEKQSQQ EIKEEIIV" gene 5033988..5036352 /locus_tag="BRARA_H00472" /db_xref="Phytozome:Brara.H00472" mRNA join(5033988..5034221,5034324..5034371,5034454..5034528, 5034615..5034676,5034749..5034815,5034909..5035022, 5035102..5035188,5035256..5035392,5035477..5035563, 5035683..5035758,5035842..5036352) /locus_tag="BRARA_H00472" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00472" CDS join(5034063..5034221,5034324..5034371,5034454..5034528, 5034615..5034676,5034749..5034815,5034909..5035022, 5035102..5035188,5035256..5035392,5035477..5035563, 5035683..5035758,5035842..5035946) /locus_tag="BRARA_H00472" /codon_start=1 /product="hypothetical protein" /protein_id="RID49691.1" /db_xref="Phytozome:Brara.H00472" /translation="MDHEADAYRTDLMTITRFVLNEQSKYPESRGDFTILLSNIVLGC KFVCSAVNKAGLAKLIGLAGETNIQGEEQKKLDVLSNDVFVKALVSSGRTSVLVSEED EEATLVESSKRGKYCVVFDPLDGSSNIDCGVSIGTIFGIYTMSHNDEPTTEDVLKPGH EMVAAGYCMYGSSCMLVLSTGTGVNGFTLDPSLGEFILTHPDIKIPKKGNIYSVNEGN AQNWDGPTTKYVEKCKFPKDGSPAKSLRYVGSMVADVHRTLLYGGIFLYPADKKSPNG KLRVLYEVFPMSFLMEQAGGQAFTGKKRALDLVPEKIHERSPIFLGSYEDVEEIKALY AAEE" gene 5043266..5043961 /locus_tag="BRARA_H00473" /db_xref="Phytozome:Brara.H00473" mRNA 5043266..5043961 /locus_tag="BRARA_H00473" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00473" CDS 5043377..5043709 /locus_tag="BRARA_H00473" /codon_start=1 /product="hypothetical protein" /protein_id="RID49692.1" /db_xref="Phytozome:Brara.H00473" /translation="MLHSVTLVLLSILFLQTHFPISDAIAEIDAMLARNSLIGEDEEL MPSEISRRVLIAQKRYIGYETLRRDMVPCQKPGASYYDCRSGQANSYNRGCETITRCA RDTSDITT" gene complement(5046223..5048348) /locus_tag="BRARA_H00474" /db_xref="Phytozome:Brara.H00474" mRNA complement(join(5046223..5047219,5047572..5048348)) /locus_tag="BRARA_H00474" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00474" CDS complement(join(5046473..5047219,5047572..5048282)) /locus_tag="BRARA_H00474" /codon_start=1 /product="hypothetical protein" /protein_id="RID49693.1" /db_xref="Phytozome:Brara.H00474" /translation="MALIVEKTSSGREYKVKDMSQADFGRLELELAEVEMPGLMACRT EFGPAQPFKGARITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARD SAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEEIFEKT GQVPDPTSTDNPEFQIVLSIIKEGLQVDPKKYHKMKERLVGVSEETTTGVKRLYQMQE SGALLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVICGYGDVGKG CAAAMKTAGARVIVTEIDPICALQAMMEGLQVLTLEDVVSEADIFVTTTGNKDIIMVD HMRKMKNNAIVCNIGHFDNEIDMQGLETFPGVKRITIKPQTDRWVFPDTKSGIIVLAE GRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNEKSSGKYEKKVYVLPKHLDEKVAAL HLGKLGAKLTKLTKDQSDYVSIPIEGPYKPAHYRY" gene 5075496..5077501 /locus_tag="BRARA_H00475" /db_xref="Phytozome:Brara.H00475" mRNA join(5075496..5076200,5076348..5076738,5076829..5076930, 5077000..5077501) /locus_tag="BRARA_H00475" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00475" CDS join(5075523..5076200,5076348..5076738,5076829..5076930, 5077000..5077244) /locus_tag="BRARA_H00475" /codon_start=1 /product="hypothetical protein" /protein_id="RID49694.1" /db_xref="Phytozome:Brara.H00475" /translation="MDPVSSWGNTPLVTVDPEIHDLIEKEKRRQCRGIELIASENFTS FAVIEALGSALTNKYSEGMPGNRYYGGNEFIDQIENLCQSRALEAFRLESASWGVNVQ PYSGSPANFAAYTALLQPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYK VNFTTGYIDYDKLEEKAMDFRPKLLICGGSAYPRDWDYARLRAVADKVGALLLCDMAH ISGLVAAQEAANPFEYCDVVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEGAVYDFE DKINFAVFPALQGGPHNHQIGALAVALKQANTPAFKVYAKQVKANAVALANYLMGKGY SIVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITLNKNAVFGDSSALAPGGVRIGT PAMTSRGLVEKDFEKIGEFLSRSVTLTLNIQKEHGKLLKDFNKGLVNNKEIEELKADV EKFSASYEMPGFLMSEMKYQD" gene complement(<5077772..>5078155) /locus_tag="BRARA_H00476" /db_xref="Phytozome:Brara.H00476" mRNA complement(<5077772..>5078155) /locus_tag="BRARA_H00476" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00476" CDS complement(5077772..>5078155) /locus_tag="BRARA_H00476" /codon_start=1 /product="hypothetical protein" /protein_id="RID49695.1" /db_xref="Phytozome:Brara.H00476" /translation="KSLKSMAKSEGSSSSARSSYKKKVDGPLCYCNKRSTPAKAWTDD NPGRRFWCCGSHGFVDWIDKQAQNECQKQSLLEARGVMDRQREEIRNLKQLLSTASQP ATSEDSTALLLEEGDRLAEEKKNNV" gene complement(<5086747..>5087458) /locus_tag="BRARA_H00477" /db_xref="Phytozome:Brara.H00477" mRNA complement(join(<5086747..5086846,5086931..5087096, 5087188..>5087458)) /locus_tag="BRARA_H00477" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00477" CDS complement(join(5086747..5086846,5086931..5087096, 5087188..5087458)) /locus_tag="BRARA_H00477" /codon_start=1 /product="hypothetical protein" /protein_id="RID49696.1" /db_xref="Phytozome:Brara.H00477" /translation="MPSSIPNYPSYYGSMMSYLPPRHHISSTSMGNEFVLNIRTTDCP EFSTQIGLGDTSGINEGAQKKNEEDSTHARRKSPKWSTSQKLVLISASCRNHYNYMNK KLSKWVGVYDNAKRKQQSGWSENDVLVKAQEIYSGSKNEYFNLISHENDTSDSNSIGS NSVGSNICPIGRDTSKKR" gene <5094859..5095633 /locus_tag="BRARA_H00478" /db_xref="Phytozome:Brara.H00478" mRNA join(<5094859..5094898,5095253..5095633) /locus_tag="BRARA_H00478" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00478" CDS join(5094859..5094898,5095253..5095428) /locus_tag="BRARA_H00478" /codon_start=1 /product="hypothetical protein" /protein_id="RID49697.1" /db_xref="Phytozome:Brara.H00478" /translation="MNKYSYRSKKYSSGIFIFLGFKPIHPKLKLKNTLPFTRTQISSQ IGYNSKTDDNCSYSQFGFPPELLTDNI" gene complement(<5107084..>5108468) /locus_tag="BRARA_H00479" /db_xref="Phytozome:Brara.H00479" mRNA complement(join(<5107084..5107819,5107897..>5108468)) /locus_tag="BRARA_H00479" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00479" CDS complement(join(5107084..5107819,5107897..5108468)) /locus_tag="BRARA_H00479" /codon_start=1 /product="hypothetical protein" /protein_id="RID49698.1" /db_xref="Phytozome:Brara.H00479" /translation="MADLLHKAIRAMSIGDDEEPLTLPDEPCFRVIDENETSILGRLL NPECQSMARMIDYMPTPWRVYGRVRGIALSKDRFQFVFQREEDLQTVLKDRPWSYNHW AMALERWTANHPDDFLKTMDLWIRIRHIPVEFFTTDTMYRLASEVGKVDVIAYDPKVS QTKDYIRAKVCFNLDNPAKAFRRLNLKSGGTCPLLRRGGVKEKQISNDREALPEPRPN LPIVRASLLDEGPPGFPPMFPELSKQDQKMAMMYISHADETERRARIERVKQGIDDSA AEASVRITRITNELDKRKGHVFSYQDDTLVSKKQRPLLLGAYSEGYAQSLEEGEADSS ATNVSFTSAPTAVLTGFQLGPSSGGRVSGNQNASKTQRKRPPSWKRKPNTSRAQASYP APSQHLNKAKRKSSPPPQTASENKSAKLTDPTVASVLKPLLPQ" gene complement(<5118121..>5125482) /locus_tag="BRARA_H00480" /db_xref="Phytozome:Brara.H00480" mRNA complement(join(<5118121..5118123,5118406..5119407, 5119561..5119669,5124524..>5125482)) /locus_tag="BRARA_H00480" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00480" CDS complement(join(5118121..5118123,5118406..5119407, 5119561..5119669,5124524..>5125482)) /locus_tag="BRARA_H00480" /codon_start=1 /product="hypothetical protein" /protein_id="RID49699.1" /db_xref="Phytozome:Brara.H00480" /translation="QRDALWEFKSEFSVQELDSSWWESDLKTERWRSNTDCCAWDGIS CDSKTGTVVELDLWGSSLNGPLRSNSSLFRLQHLQSLNLSSNNLPGILPDSIGNLKYL RVLKLCYCNFFGKILSSLGNLSYLTDLDLSVNQFSGELPDSMGNLNRLSELQLRYNNL GGNFPAVLLNLSELTQISLSYNKFKGMLPSNMSSLTNLEYLDINGNLFYGPLPSPLFM IPSLIQLNLEGNSFSGPIEIKNISSPSNLEVLSLGENNFDAPIQGSLSKLAGLRTLEL NYMNTRIMVDLSYLSHLKSLSYLDLSGNDLKFSSTLRLPYLGFFQTRLLLLDISVNQI EGKVPEWFWRLEIPHVNISQNYIPRTICDLVYLERLVLSNNNFSGSIPLCLSTYLSVL HLRNNSISSVFPEDFISNMLISLDLGYNRLSGGLPKSLINCTRLQFLNVEENRISDTF PFWLRVLPNLEILVLRSNEFHGPISSFSLPKLRIFDISRNRFTGVLQSDFFAGWGAMS LPVVYFEGDSQYRFSGVGKPYYQASVALTNKGSNMKLVGSGFTIYKTIDISGNRFEGG IPESIGLLKELIVLNMSNNAFTGRIPPSLSNITSLQSLDLSQNQLSGKIPPEIAKLTF LAWMNFSYNRLEGPIPQGTQIQSQNISSFAENPELCGVPLQKTCGGGEGAINQEQEDE DEDEEKDQ" gene complement(<5131737..>5132300) /locus_tag="BRARA_H00481" /db_xref="Phytozome:Brara.H00481" mRNA complement(join(<5131737..5131771,5131853..>5132300)) /locus_tag="BRARA_H00481" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00481" CDS complement(join(5131737..5131771,5131853..5132300)) /locus_tag="BRARA_H00481" /codon_start=1 /product="hypothetical protein" /protein_id="RID49700.1" /db_xref="Phytozome:Brara.H00481" /translation="MDDGFALRDETILARRLKELEQDKIQRESWSFQFGEAETGYASG GRRRDKDGDEEAEMHGDKEGDEEAEKHGDKEGDEEAEKQGLDDYEADKEGEDNGDKDG DEADAEKDGDEAKAENDGETQIEAEAEKDGEKDVEKQIEAEGEKLMQDTEERFDDDGD " gene complement(5135179..5136480) /locus_tag="BRARA_H00482" /db_xref="Phytozome:Brara.H00482" mRNA complement(join(5135179..5135531,5135627..5135733, 5135815..5135883,5135973..5136098,5136329..5136480)) /locus_tag="BRARA_H00482" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00482" CDS complement(join(5135379..5135531,5135627..5135733, 5135815..5135883,5135973..5136098,5136329..5136425)) /locus_tag="BRARA_H00482" /codon_start=1 /product="hypothetical protein" /protein_id="RID49701.1" /db_xref="Phytozome:Brara.H00482" /translation="MRYIYIPLKPYSLAPASLSQFTKTLVSKLYHSEMAFCKSLGGLL RQGAVSQTGNVPVQSMLGSLRYMSTKLFVGGLSWGTDDQSLREAFSNFGEVIDSKVIV DRETGRSRGFGFVNFSDESAANAAISEMDGKELNGRNIRVNVANDRPSAPRAYGGGGG YGGGGGYGGGGGGYGGGGDGGGY" gene complement(<5142637..>5144178) /locus_tag="BRARA_H00483" /db_xref="Phytozome:Brara.H00483" mRNA complement(join(<5142637..5142971,5143083..5143184, 5143248..5143513,5143550..5143621,5143742..>5144178)) /locus_tag="BRARA_H00483" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00483" CDS complement(join(5142637..5142971,5143083..5143184, 5143248..5143513,5143550..5143621,5143742..>5144178)) /locus_tag="BRARA_H00483" /codon_start=1 /product="hypothetical protein" /protein_id="RID49702.1" /db_xref="Phytozome:Brara.H00483" /translation="YEDYGDATWLCENFNALMWYNERINKRRNCIPPKFSMCCMHGKI KLLPPPVLPQVLLDLLFRGDSESINFIENIRAYNSMFDFTSMGGEIDASVNNGRGPFV FRLHGQNFHQIGSFLPEEGLPPAFTQLYIFDTENEVRNRISAFSSKRRAPNSQSRTLR DDTVEAIKSITARDRFGDALQSSDVKIILISGRGTNEKTYNLPTTSEVAALFVGDFDE EIDARDIIVETKGKKLTRISELHPAYLPLQYPLLFPFGEDVSMREFFAYRIFERYNQF SALMFSGKLFQQFLVDDSTKCGKRIVLPSTYVGGARYMREKYMDAMAVCQTFGYPELF ITFTCNPKWPEITRHLQQRRLKSEDRADILSRVFKMKLDSLIFEIHTKNLVGSSIGGK LSTTIGQKSSF" gene complement(<5145748..>5146914) /locus_tag="BRARA_H00484" /db_xref="Phytozome:Brara.H00484" mRNA complement(<5145748..>5146914) /locus_tag="BRARA_H00484" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00484" CDS complement(5145748..5146914) /locus_tag="BRARA_H00484" /codon_start=1 /product="hypothetical protein" /protein_id="RID49703.1" /db_xref="Phytozome:Brara.H00484" /translation="MKTFLLSSRHSTNKKRKIVVTTPKKYHDQLGSSLQIINQKAFEA AVYKLSTASKSPVGLTAPPPNNDQSESSLQIINRSVFEAAVRKLPKTPKTSVSSSWVR SICLGEGAHGVVYLVIRNDYVNGDALPSKIAIKSAPLSSSFNMCDEERILKDLSSPHV ISYYGSNIAPAETRPLGSDYNLILEYCSGGSIADFLKFRGTGMVESDVQLFALHILKG INYVHSKKIIHCDIKPANILLKPVNSSTILGCLMPNGFEPKLADFGLALRKTSDEYGD GCGFARGTLLYMAPELLCSGNLDYCADIWSYGCTILEMFTGKKPWSELGLTDRKELKD VIGNSSVLPELPMWLSDSARYFLGKCLEKDPQKRYDSMYLLEHKFLASIVGHSY" gene complement(5197350..>5199028) /locus_tag="BRARA_H00485" /db_xref="Phytozome:Brara.H00485" mRNA complement(join(5197350..5197859,5198696..>5199028)) /locus_tag="BRARA_H00485" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00485" CDS complement(join(5197731..5197859,5198696..5199028)) /locus_tag="BRARA_H00485" /codon_start=1 /product="hypothetical protein" /protein_id="RID49704.1" /db_xref="Phytozome:Brara.H00485" /translation="MKCYKGSSILATDYYPFLYKRPVFSPSASFPSTTISYPARTRFL STRIQARLTQDDPVKQSEDLSFYDLLGVTESVTLPEIKQAYKQLARKYHPDVSPPDQV EEYTDRFIRWKKKWQTQLSGLKKRSHQKENNSMSWAARMRRQQHMSEDSSS" gene complement(5207096..5208198) /locus_tag="BRARA_H00486" /db_xref="Phytozome:Brara.H00486" mRNA complement(join(5207096..5207823,5207916..5208198)) /locus_tag="BRARA_H00486" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00486" CDS complement(join(5207239..5207823,5207916..5208104)) /locus_tag="BRARA_H00486" /codon_start=1 /product="hypothetical protein" /protein_id="RID49705.1" /db_xref="Phytozome:Brara.H00486" /translation="MGDHPRSSEQQEADDAASKGCGMFDFLKKKPEDEHVYVTDATKE KKEEETPSLAARLHRSGSSSSDEEVDENGEKKKRKGLKEKVFGHKDEDHVSDDHQYTT EEKKGVTEKIMLKVHAGKGTHEQANKHEHEDGEKKGFMEKMKEKLPAAGGHHDQANKP EPQEDGKEKGFMEKIKGKLPAPGGHHDQANKHEHNEDGKEKGFMDKIKEKIPGGHNGK PEVEPHHENGKEKGFMEKIKEKLPGHIKHDDSDEKKKET" gene <5223993..>5228476 /locus_tag="BRARA_H00487" /db_xref="Phytozome:Brara.H00487" mRNA join(5223993..5224140,5224370..5224514,5224591..5224744, 5224837..5224992,5225068..5225298,5225367..5225510, 5225706..5225796,5225930..5226036,5226142..5226262, 5226643..5226755,5226838..5226903,5226991..5227119, 5227206..5227343,5227433..5227879,5227963..5228064, 5228146..5228476) /locus_tag="BRARA_H00487" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00487" mRNA join(<5224020..5224140,5224370..5224514,5224591..5224744, 5224837..5224992,5225068..5225298,5225367..5225510, 5225706..5225796,5225930..5226036,5226142..5226262, 5226643..5226755,5226838..5226903,5226991..5227119, 5227206..5227343,5227433..5227553,5227650..5227879, 5227963..5228064,5228146..>5228376) /locus_tag="BRARA_H00487" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00487" CDS join(5224020..5224140,5224370..5224514,5224591..5224744, 5224837..5224992,5225068..5225298,5225367..5225510, 5225706..5225796,5225930..5226036,5226142..5226262, 5226643..5226755,5226838..5226903,5226991..5227119, 5227206..5227343,5227433..5227553,5227650..5227879, 5227963..5228064,5228146..5228376) /locus_tag="BRARA_H00487" /codon_start=1 /product="hypothetical protein" /protein_id="RID49707.1" /db_xref="Phytozome:Brara.H00487" /translation="MEDDGGTSAPKLPIPGKRNILITSALPYVNNVPHLGNIIGCVLS ADVYARYCRLRGYNAIYICGTDEYGTATETKALEENCSPKEICDTYHAIHKEVYEWFD ISFDKFGRTSTPEQTLVCQAIFNKLFDNNFLSENTMQQLYCDTCKKFLADRLVEGSCP FKECNYDSARGDQCENCGKLLNPTELKDPRCKVCQTTPRIRDTDHLFIELPLLKDKLE EYINETSVTGSWSQNAIQTTKAWLKEGLRQRCITRDLKWGVPVPHEKYKEKVFYVWFD APIGYVSITSCYTSEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTQLGTGENWTLM KTISVTEYLNYQNGKFSKSKGVGVFGNDVKSTNIPVEVWRYYLLANRPEVSDTLFTWK DLQAKLTGELLNNLGNFVNRVLTFIAKPEPAGYGSVIPDALGAESHPLTQSLAENVGK FVEQYVEAMEKVKLKQGLKIAMSISNEGNAYLQEAKFWKLYKEDKPSCAIVIRSAAGL VHLLAQLLEPFMPSFSREVFKQLNLPLQFSLTDEGGEVLLASRPWEILPRNHKIGTPQ PLFKELTDEEVQQYEDKFAGNQGDRRARDAEAANMAADQLKKTKLSDAKKQKASKGAA TSKTQPDADREITMARLDIRVGKILKAEKHPNADSLYVEEIDVGGAETRTIVSGLVKY IPLEEMQNRMVCVLCNLKPAKMRDVMSQGMVLAASSSDGSKVELVEPPESAEIGERVR FQGFEGEPDVVLNPKKKVWETLVVDLHTDENLVACYKDLPFTTDAGVCKVSSISNGTI R" CDS join(5224020..5224140,5224370..5224514,5224591..5224744, 5224837..5224992,5225068..5225298,5225367..5225510, 5225706..5225796,5225930..5226036,5226142..5226262, 5226643..5226755,5226838..5226903,5226991..5227119, 5227206..5227343,5227433..5227570) /locus_tag="BRARA_H00487" /codon_start=1 /product="hypothetical protein" /protein_id="RID49706.1" /db_xref="Phytozome:Brara.H00487" /translation="MEDDGGTSAPKLPIPGKRNILITSALPYVNNVPHLGNIIGCVLS ADVYARYCRLRGYNAIYICGTDEYGTATETKALEENCSPKEICDTYHAIHKEVYEWFD ISFDKFGRTSTPEQTLVCQAIFNKLFDNNFLSENTMQQLYCDTCKKFLADRLVEGSCP FKECNYDSARGDQCENCGKLLNPTELKDPRCKVCQTTPRIRDTDHLFIELPLLKDKLE EYINETSVTGSWSQNAIQTTKAWLKEGLRQRCITRDLKWGVPVPHEKYKEKVFYVWFD APIGYVSITSCYTSEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTQLGTGENWTLM KTISVTEYLNYQNGKFSKSKGVGVFGNDVKSTNIPVEVWRYYLLANRPEVSDTLFTWK DLQAKLTGELLNNLGNFVNRVLTFIAKPEPAGYGSVIPDALGAESHPLTQSLAENVGK FVEQYVEAMEKVKLKQGLKIAMSISNEGNAYLQEAKFWKLYKEDKPSCAIVIRSAAGL VHLLAQLLEPFMPSFSREVFKQLNLPLQFSLTDEGGEVLLASRPWEILPRNHKIGTPQ PLFKELTDEEVQQYEDKFAGNQGDRRARDAEAANMAADQLKKTKLSGSILY" gene complement(5240698..5253391) /locus_tag="BRARA_H00488" /db_xref="Phytozome:Brara.H00488" mRNA complement(join(5240698..5241804,5242105..5242362, 5242609..5242920,5243010..5243406,5243927..5244039, 5244328..5246568,5246793..5247002,5247361..5249045, 5249328..5249493,5250745..5250852,5251006..5251570, 5252157..5252494,5252591..5253391)) /locus_tag="BRARA_H00488" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00488" CDS complement(join(5240776..5241804,5242105..5242362, 5242609..5242920,5243010..5243406,5243927..5244039, 5244328..5246568,5246793..5247002,5247361..5249045, 5249328..5249493,5250745..5250852,5251006..5251570, 5252157..5252494,5252591..5253310)) /locus_tag="BRARA_H00488" /codon_start=1 /product="hypothetical protein" /protein_id="RID49708.1" /db_xref="Phytozome:Brara.H00488" /translation="MQGNRDGSWSQGSSGNGGSGRGNGGYLPQPSNPVFPSFSLQQQP IRYPLPQFPTSYYRPNFPDFSFGNPNFQNLNFHHPQFGGGGANVFLQSHVPSFALPPQ PPPSSNDISASQERGGAIATERPSSKRRRKEGTDKEVPKSLPIPATVVADDSGGSRRG TASSSEKPSSKQPKRKVEVMRIDKAVNETRKAVIAAGESVSSTRVSRSVLEQLQADSW RTLGVQMQDVPSLRQLMALEGKVNAFIHCYVGARRIVTLYDLEMAICRNEFVDSFDDL ELGPLLQHSLVLLYFPSISSSTGPVQITTEEIISFLDTYLYTYITNDVKVDEFLNFVA TKKSVASKENLGVRIQSLRMHVSYIQDAKRKEGETLKILLTELHQKHNIPSSKKQPKV KSLTISERAESFALQHKDYCGKHTRFDSSSSEDNDSVDYELQNLKTSDHTSSCPYPSV AEEMKRLGGSDKKRKDDNPSHGKSNSSKSLRRRPTKLLRENAKREIPKSADYSDDKKI FGVDEADFTLDEGALRKFISIWKDPCKELSTSTVVEKMLSFYHLGGSEVRNERAKAMS SFPFVGLLNVAVTSMRRGTCDSIYDTLQLASQSDTTNPCTGNQVDDIKPSEDNELNKT QHVMLPKQSNTAEEIIRRLSLHFEHDFSGEKLISIFRKLQTCQVLLAEQFQVPDFESL GWGGFSTFLEKHMLLLPTQLRRFLARELREEYPLEVHVNEKLLTQLLSQASEFAGGNE ISRQMVARLLAEQFPTVSLEVVGKDSEEIFTEIISSYKSKSGSKCLLFSSTLLGAEKS LTSKHLEESLTVGNTADSGSSPLNAVSSKEILDVLLRAPFLSDLNSWCHWDLKFAPYA GPLMGCLNEINSKDLLCLVTKDGKIIRTDPSATADSFLEAALQGSAYRTSAQLLSLIS LNGRTHLPFSLLKCYAKRAFEVFVDNHSKEMDLDGRNSLGNVRGPVQFSASSDKVIVG EHKTKVGKSDYAASKFLLDCLGYLPGEFCCLAVDVLLSGLRSVVKDAPTRVLSACEHT EQRIMLHDAGLRLGIVEWINDYSNFCSSSVPDSAIVENASSNLDSGEGFVQELEDPIH TDKSCMIVSETPCENNKEPHGSCHTFGGAGGLCDSVGEAFTQTAPEFHDNPASVIDLI RRDEFGLDSSSSGVETSMLQKQHARLGRALQCLSQELYSQDSHFILELVQNADDNKYP ENVEPTLTFILQKTGIVVLNNECGFMPENIQALCDVGRSTKKGSGGYIGKKGIGFKSV FRVSDAPEIHSNGFHFKFDISEGQIGYILPTVVPPHDVESLTSMLSGRALQLKDAKWN TCIALPFRALDSEITTVNHIEPMFSDLHPSLLLFLHRLQCIVYRNMLDDSLLVMRKEV VSKNIVKVSCGENSMTWFVASEKLKSANLRDDVQTTEISIGFTLDMLEDGTYRSCMIQ EPVFAFLPLRTYGLKFILQGDFILTSSREDVDEDSPWNQWLLSEFPGLFVGALGYFCS LPSFDQNLGKAVSSYMQLVPLVGEVHGFFSSLPRSIISRLRTTNCLLLDGDGEQWVPP CKVLRNWNEKIRVLLNDGLLQEHLALGFLDKDIVLSDSLSRALGIEDYGPKTLVQILS SLSHKKDCLQSMGFAWLSSFLTELYIVSRSSGHGNVELGIDKTLMDSLRKIPFIPLSN GKFTSLDEGAVWLHHETSGSDVGDVFEAFPMLYGNLRTVDHSFLLATSVGEKSTADDL INMLSVIGIQKLSAHEIIKVHILPAFEAKNRGTPEGLMVDYLCFVMTHLRSSCHVCQS ERNYIISELRSKALILTDYGLKQLGEASIHFGEEFGNQVNMKKLTKTLDILWHVVDGT YLKHPASKLYACGMKEWRGFFQEVGISDFVQVVQVEKSIAEFYAVSRCEKYDTNLLSP GLAVIDWESPELVDLLSLLHKSNCREGCKYLLEVVDRLWDNCYHDKTTVNYNSGRHGV IKSSESSFMRAICVSQWIVSSMDNKLHLAKDLYHDCDDVRSILGMNAPYAVPKVTSVK LLNDIGFKTKVSLDDALEILETWVHCGDSFKSSISQVTRFYKFLWNEMADSKQKITEK LHALSFVFVPNQNGSRQNDLISGIFLSHNDVYWNDSAGVLDEIKEISSQISGVVESLR RKTLCNIYPGLHDFFVNGCGVPETPSFQEYLKILGQFAHYVSPSCAAKAVFKIFLKWS DDLKSGKSAEDVVHFKEKLSEVDYTVLPTESDKWISLHSSFGLVCWCDDEKLKKRFKK KDIHFIYFGENTDEEKELIHTKVSVLMQSLGIPNISEVVQREAKYEGLQDNSVTVSLV NWSLPYAQRYIFTLHHEKYTQTKKTVYYQVKRLQVFVVQKLCYKNVIPQYDIFSKKEY KCSSLLQDKALYTTPCLDSHSLFMELSRLFFNGVPDLHLANFLHLIKTMAESGLSEGQ MESFIVNSQKVQKIPDGEKIWSLKSALKAKKKAGISLSWLPSSSKRRHGSSELHVDDS KQELASGHVSSSEEDVAESFKEQIPIQTADTNLVAGYDNTAGTSSLASHQPNPLYSMH MESGSTSGNQATFNLNPNLLHGWNNSFSADFSERDQLHTGTPWAAQAQQTGRKGEEIA YRYFAATYPKEAKVRWVNEQSETGLPYDLLIENEGGKLEYVEVKATVSSRKDYFNLTV REWQFANEKGESYIIAHVLLGNSNAILTQHRNPVKLCQEGNLRLLILMPNKRNEVNVS F" gene complement(5255515..5258976) /locus_tag="BRARA_H00489" /db_xref="Phytozome:Brara.H00489" mRNA complement(join(5255515..5256155,5256260..5256398, 5256469..5257215,5257917..5258000,5258545..5258604, 5258791..5258976)) /locus_tag="BRARA_H00489" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00489" CDS complement(join(5255934..5256155,5256260..5256398, 5256469..5257215,5257917..5258000,5258545..5258604, 5258791..5258894)) /locus_tag="BRARA_H00489" /codon_start=1 /product="hypothetical protein" /protein_id="RID49709.1" /db_xref="Phytozome:Brara.H00489" /translation="MASPSQKLTSVCLAVIVLLALTATIFRKLEIPSSRKLKTEELRS AKNNSTMAAKRVKGVELNEQHAVSDPDRVADEVASLVQMSEHNKTARRKLGFFSCGTG NPIDDCWRCDPNWHKNRKRLADCGIGFGRNAIGGRDGRFYIVTDPTDDDVINPKPGTL RHAVIQEEPLWIVFKRDMVIELKQELIMNSFKTIDARGSNVHIANGACITIQFITNVI IHGLHIHDCKPTGNAMVRSSPSHFGWRTMADGDAVSIFGSSHIWIDHNSLSHCADGLV DAVMGSTAITVSNNHFTHHNEVMLLGHSDSYTKDKLMQVTIAYNHFGKGLVQRMPRCR HGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYAAPMDRFAKEVTKRVGTDASDWKK WNWRSEGDLLLNGAFFRASGAGTSASYGRASSLAAKPSSMVRTITSTAGALGCRKGRP C" gene complement(<5286304..>5289431) /locus_tag="BRARA_H00490" /db_xref="Phytozome:Brara.H00490" mRNA complement(join(<5286304..5286306,5288433..5288465, 5288547..5288678,5288759..5288834,5289256..>5289431)) /locus_tag="BRARA_H00490" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00490" CDS complement(join(5286304..5286306,5288433..5288465, 5288547..5288678,5288759..5288834,5289256..5289431)) /locus_tag="BRARA_H00490" /codon_start=1 /product="hypothetical protein" /protein_id="RID49710.1" /db_xref="Phytozome:Brara.H00490" /translation="MGRGKIEIKKIENVNSPQVTFSKRRNRLIKKANELSFLCEVDVI VFSNTVKVYYFSSGRHGVTSFTPLKGLIIQGPIFISFFFAIRNMAEKVPSFKTGGTLW FTDLTTADTTYILPLLTAITFIIMVESNMQEGMEGNP" gene 5295314..5298038 /locus_tag="BRARA_H00491" /db_xref="Phytozome:Brara.H00491" mRNA join(5295314..5295693,5295936..5296127,5296198..5296534, 5296597..5296814,5296907..5297097,5297176..5297457, 5297543..5297670,5297778..5298038) /locus_tag="BRARA_H00491" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00491" CDS join(5295405..5295693,5295936..5296127,5296198..5296534, 5296597..5296814,5296907..5297097,5297176..5297457, 5297543..5297670,5297778..5297880) /locus_tag="BRARA_H00491" /codon_start=1 /product="hypothetical protein" /protein_id="RID49711.1" /db_xref="Phytozome:Brara.H00491" /translation="MSPAPSTSFIIPTPLLMLIAVTTMPLLLAGGESIPTTLDGPFKP STRRFDPSLRRGSDDLPIDHPRLRKSNVSSDLPEQIALALSTPSSMWVSWVTGDAVVG KDVKPLDATLVSSEVWYGKEKGNYTLKKKGNATVYSQLYPFDGLLNYTSGIIHHVLID GLEPDTKYYYRCGDSSVPAMSEEISFDTLPLPSKDSYPHRIAFVGDLGLTSNTTTTID HLMDNDPSLVVIVGDFTYANQYRTTGGKGASCFSCSFPDAPIRETYQPRWDAWGRFME PLTSKVPMMVIEGNHEIEPQASGVTFKSYSERFAVPSTESGSNSNFYYSFDAGGVHFV MLGAYVDYNQTGAQYAWLKEDLSKVDRSVTPWLVATMHPPWYNSYSSHYQEFECMRQE MEELLYQHRVDIIFAGHVHAYERMNRIYNYTLDPCGAVYITIGDGGNIEKVDVDFADD PEKCPSPGDNVPEIGGSCPLNFTSGPAKGKFCWDRQPDWSAFRESSFGHGILEVMNST YALWTWHRNQDVYKDDSYGDQIYIVRQPNLCISPATSREAGGGRETSGGENRLSSPSF PVFIWIFLMFGIL" assembly_gap 5314589..5324588 /estimated_length=unknown /gap_type="between scaffolds" gene complement(<5340208..>5340666) /locus_tag="BRARA_H00492" /db_xref="Phytozome:Brara.H00492" mRNA complement(<5340208..>5340666) /locus_tag="BRARA_H00492" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00492" CDS complement(5340208..5340666) /locus_tag="BRARA_H00492" /codon_start=1 /product="hypothetical protein" /protein_id="RID49712.1" /db_xref="Phytozome:Brara.H00492" /translation="MDRAELSRVFKMFDKNGDGKIAKNELRDFFKSVGILVPENEIKE MIEKMDVNGDGFMDIDEFGSLYQEMMEEKEEEEDMREAFRVFDQNGDGFITDEELRSV LASMGLKQGRTLEGCRKMISKVDVDGDGMVNFKEFKQMMRGGGFAALSSN" gene <5368470..>5370131 /locus_tag="BRARA_H00493" /db_xref="Phytozome:Brara.H00493" mRNA join(<5368470..5368521,5369747..5370019,5370106..>5370131) /locus_tag="BRARA_H00493" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00493" CDS join(5368470..5368521,5369747..5370019,5370106..5370131) /locus_tag="BRARA_H00493" /codon_start=1 /product="hypothetical protein" /protein_id="RID49713.1" /db_xref="Phytozome:Brara.H00493" /translation="MFRDDESNCQLFGSRLLGVYVKCRIAYIDFKERAEKAYDLNGTE LGGWNILVDEAKSRDSSGGGFSGGGGGHFCGGGGHFGGGGSSGGGRGRDNGGGRGFSK PSYIPSGKKTTLDD" gene 5399135..5400725 /locus_tag="BRARA_H00494" /db_xref="Phytozome:Brara.H00494" mRNA join(5399135..5399438,5399719..5399784,5400035..5400160, 5400257..5400349,5400444..5400725) /locus_tag="BRARA_H00494" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00494" CDS join(5399166..5399438,5399719..5399784,5400035..5400160, 5400257..5400349,5400444..5400614) /locus_tag="BRARA_H00494" /codon_start=1 /product="hypothetical protein" /protein_id="RID49714.1" /db_xref="Phytozome:Brara.H00494" /translation="MLFTMASSSSHRLVFFCLACLLFTFSYNFVAGESDKVKLNLYYE SLCPYCQSFIADGLVKIFDSDLHTITDLKLVPFGNAHVSDKLTVTCQHGEEECKLNAI EACAIRTWPDPNVHYWFIRCIENDTTNWESSCFTKYGGKKAIKDCYTSDLSKTLILGY ANQTLSLKPKHMYVPWVTVNGKPQYEHLDDFVAQVCKAYKGKVSLPKICHSSALSKSP QSKVVKLQVSYAEEVFNHQAKNLN" gene <5413948..>5417446 /locus_tag="BRARA_H00495" /db_xref="Phytozome:Brara.H00495" mRNA join(<5413948..5414009,5414845..5415129,5415212..5415750, 5415856..5416665,5416748..5416866,5416958..>5417446) /locus_tag="BRARA_H00495" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00495" CDS join(5413948..5414009,5414845..5415129,5415212..5415750, 5415856..5416665,5416748..5416866,5416958..5417446) /locus_tag="BRARA_H00495" /codon_start=1 /product="hypothetical protein" /protein_id="RID49715.1" /db_xref="Phytozome:Brara.H00495" /translation="MASSDLKRPPKRLKTNAKRGTNKWTCIFCRKETNGGVSRLKHHL IGDSTSVIRCPTCPEHVRIELRDYAIKKAEERAAQAMRYEPVLNDIDGEDVEGEPKQK ANTNKRKKRGPLDRFVTSTPPDILKGRKDMKRVFGACDKELREKVCAGIARWFYDAGI PFNAVTYDSFKEMTQFIGQYGMGLKPPSMHELRVPLLQREVANTHTMLLQHKNEWAAK GCSIMSDGWRDSVVQKDIVNFLVNSPKGSVFMKSKEVSEVVKDATMLFKLLDEMVEEV GEKNVVQVITDNASNYVKAGKLLEAKRPNLYWTPCAAHCLDLMLEDIGKLEPVKNALK KCIFMNGYIYCRVPLVNMMRRFTDQHNLHRPAVTRFATSFITMSQFHIQQSNLKKMVT SDDWNKSKWPREAGAKKLKQYILQESFWRNVSYALKLTGPLVKVLRMVDGDKKPAMGY IYAAMDRAKEAIARSFKMKQEKYENVFEIIDRRWNCQLHQPLHAAGYFLNPAIHYANP EDVCCEEVVTGLYNCINRLVPDSEIQDKVMLELDMFKNAAGLFGHNMAIRQREMKAPA DWWSTYGSSAPNLRDFAVKVLSLTCSASGCERNWGVFELLHTKRRNRLAQHRLNDMVF VKYNRALQRRMKRSDATDPIILEEIDESNEWLMGRMDGTSDNDELDDHVFENEDLTWT AVSEATGAEEPTYSTRGTKASAAEKGKGIASSSTQTRDKRSGPGPSVLSIVDIDEDEP EIDLELQAGGFGQDEEWEFPDDSETEF" gene complement(<5452819..>5453379) /locus_tag="BRARA_H00496" /db_xref="Phytozome:Brara.H00496" mRNA complement(join(<5452819..5453091,5453149..>5453379)) /locus_tag="BRARA_H00496" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00496" CDS complement(join(<5452819..5453091,5453149..5453379)) /locus_tag="BRARA_H00496" /codon_start=1 /product="hypothetical protein" /protein_id="RID49716.1" /db_xref="Phytozome:Brara.H00496" /translation="MAFFSITNILSLRSAFLINCNPPLRLSLPHKPTFNLSHKTRKSI VSTVASPYPTLSTGFPFSDSTKSITTLAILAGIFQASFRISGPLFFASIRDRPAGYLN TPLTVVAAVLSKWLDIYSGVLMVRVLLSWFPNIPWDRQPLSAIRDLCDPYLNLFRNII PLVFDTLM" gene complement(5477488..5478258) /locus_tag="BRARA_H00497" /db_xref="Phytozome:Brara.H00497" mRNA complement(join(5477488..5477771,5477889..5477947, 5478083..5478258)) /locus_tag="BRARA_H00497" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00497" CDS complement(join(5477616..5477771,5477889..5477947, 5478083..5478173)) /locus_tag="BRARA_H00497" /codon_start=1 /product="hypothetical protein" /protein_id="RID49717.1" /db_xref="Phytozome:Brara.H00497" /translation="MKHEMRNMKLRCISFFFLLFGLLLGNFIVEASKARSIDDTLSLP RQVHLPYSRRHMIGSTAPTCTYNECRGCRYKCRAEQVPVEGNDPINSAYHYRCVCHR" gene complement(5496394..5498003) /locus_tag="BRARA_H00498" /db_xref="Phytozome:Brara.H00498" mRNA complement(join(5496394..5496889,5497001..5497255, 5497326..5498003)) /locus_tag="BRARA_H00498" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00498" CDS complement(join(5496617..5496889,5497001..5497255, 5497326..5497970)) /locus_tag="BRARA_H00498" /codon_start=1 /product="hypothetical protein" /protein_id="RID49718.1" /db_xref="Phytozome:Brara.H00498" /translation="MASYLRVSLSFIFSALLISPAVSQSCSSQTFSGDKSYPHCLALP NLKAFLHYSYDAANTTLAVVFSAPPSKPGGWIAWAINPKATGMAGSQALVASKDSKTG VASVTTLNIISYSSLVPGKLSFEVWDVKAEEEAAGTLRIFAKVKVPADLAANGKVNQV WQVGPGVSPNGRIQPHDFNTPNLNAVGSLDLSGATTGVSASGGGGAGNSRIHKRNIHG ILNAVSWGILFPIGAMIARYMRIFESADPAWFYLHVSCQFSAYVIGVAGWATGLKLGS ESKGIQFNSHRNIGIALFAIATLQMFAMLLRPRKDHKLRFFWNIYHHGVGYSILILGI INVFKGLSILNPEHTYKTAYIAVIATLGGITLLLEAVTWVIVLKRKSANSNNPPKP" gene <5503782..>5504553 /locus_tag="BRARA_H00499" /db_xref="Phytozome:Brara.H00499" mRNA join(<5503782..5503877,5503943..5504002,5504197..5504266, 5504405..>5504553) /locus_tag="BRARA_H00499" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00499" CDS join(5503782..5503877,5503943..5504002,5504197..5504266, 5504405..5504553) /locus_tag="BRARA_H00499" /codon_start=1 /product="hypothetical protein" /protein_id="RID49719.1" /db_xref="Phytozome:Brara.H00499" /translation="MAELLCFRSGFGWDSTTKWFTALKEVWTEYLKAHPNFKKFSDET FEEYDDLKLPLRKRQKASPLSKVDDLFTQKEKAIKEVSDLEEHVRYDAVKLIHQLGMT DVFNSMSVDEHCRWIKHNVILY" gene 5527672..5529366 /locus_tag="BRARA_H00500" /db_xref="Phytozome:Brara.H00500" mRNA join(5527672..5527879,5528101..5528242,5528330..5528421, 5528499..5529366) /locus_tag="BRARA_H00500" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00500" CDS join(5527814..5527879,5528101..5528242,5528330..5528421, 5528499..5529188) /locus_tag="BRARA_H00500" /codon_start=1 /product="hypothetical protein" /protein_id="RID49720.1" /db_xref="Phytozome:Brara.H00500" /translation="MAEKLMHALQYESYGGGAAALKHVQVPVPSPKANEVLLKLEATS LNPVDWKIQKGMIRPFLPRKFPCIPATDVAGEVLEVGSGVKNFKAGDKVVSVLSHLTG GGLAEYAVASEKLTVKRPQEVGPAEAAALPVAGLTALQALTNPAGLKLDGTGKQANIL VTAASGGVGHYAVQLAKLGNAHVTATCGARNIDFVKSLGADEVLDYKTPEGAALKSPS GKKYDSVIHCANGIPFSTFEPNLSENGKVIDITPGPSAMWTFAVKKITMSKKQLVPLL LIPKAENLEFMVNLVKEGKVKTVIDSKHPLSKAEDAWAKSIDGHATGKIIIEP" gene complement(<5532524..>5532871) /locus_tag="BRARA_H00501" /db_xref="Phytozome:Brara.H00501" mRNA complement(<5532524..>5532871) /locus_tag="BRARA_H00501" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00501" CDS complement(5532524..5532871) /locus_tag="BRARA_H00501" /codon_start=1 /product="hypothetical protein" /protein_id="RID49721.1" /db_xref="Phytozome:Brara.H00501" /translation="MVDNIYSAFQAEAVAFLCSLQIAWTKGWRQVFESDCKGLVETNN TSSDSTDLGNLLSYIRYWMSKLPLCSIDFIHREKNQAADCLAKKSFMYNSSEYFNMPP VWLINYLSFSFTV" gene 5533851..5536439 /locus_tag="BRARA_H00502" /db_xref="Phytozome:Brara.H00502" mRNA join(5533851..5534028,5534111..5534168,5534235..5534387, 5534508..5534677,5534754..5534934,5535031..5535209, 5535288..5535413,5535482..5535594,5535932..5536439) /locus_tag="BRARA_H00502" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00502" CDS join(5534004..5534028,5534111..5534168,5534235..5534387, 5534508..5534677,5534754..5534934,5535031..5535209, 5535288..5535413,5535482..5535594,5535932..5536300) /locus_tag="BRARA_H00502" /codon_start=1 /product="hypothetical protein" /protein_id="RID49722.1" /db_xref="Phytozome:Brara.H00502" /translation="MGGDTLKDEELASSLYPDFSRSSSCCSSDDLGVSEENLAAYLRK REKTYQEILQSHDLLRERLGKNNRKLKLARRQILSYTPGSFADVNLSDYHIPKTTSIL IVGPKGAGKSSLVNRITRVIQDEEFAPARAQESYGMPSNGGTFFLQEYMIPRGGSASF CLCDTRGLSQISSSDNTTMIEQWIKKGVHHGEPVIWTSDDSDLKDRLIRDGCTGCEIR KVNSIIFVVNAVEMMESESSYAHMVSTAFNSPLLSFKDDKPAVVITHGDILSREERAR VQVLVGELLGIPPDKQIFDIPDSRDAATALTVCNLLRHCLEHADKNLRFCPKRNFTIS KVEGGDKNRTRMTMFSVALTLFLALAIIWFIHEHGGQNVAHEARHELHVFQSPRLYNL THEVLPKLSISVQSSESETEDVPNGEPSIDWRTARRLWFDEGKVAKAEGEPSFDWRTT RRLWYVE" gene 5542911..5545137 /locus_tag="BRARA_H00503" /db_xref="Phytozome:Brara.H00503" mRNA join(5542911..5543095,5543411..5543524,5543738..5543810, 5543897..5544006,5544089..5544236,5544326..5544506, 5544600..5544721,5544806..5545137) /locus_tag="BRARA_H00503" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00503" mRNA join(5542911..5543095,5543738..5543810,5543897..5544006, 5544089..5544236,5544326..5544506,5544600..5544721, 5544806..5545137) /locus_tag="BRARA_H00503" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00503" CDS join(5543768..5543810,5543897..5544006,5544089..5544236, 5544326..5544506,5544600..5544721,5544806..5544840) /locus_tag="BRARA_H00503" /codon_start=1 /product="hypothetical protein" /protein_id="RID49724.1" /db_xref="Phytozome:Brara.H00503" /translation="MVSLRRRRLLGLCCGPNGYVTPLPFLTAEEMITGIPNPNGVAAY GSGPKEEKTPIEEGTRSQRQRTPSKYIMHFMSDSSAISPDDSGSISLKMEQDLCDSDQ PLKRRKRHRRKQVKNQEPCLMRGVYYKNMKWQAAIKVEKRQIHLGTFSSQEEAARLYD RAAFMCGREPNFELSEEDKRDLKQQSWEGFLACTRRKITNKKPKRRMKPEEL" CDS join(5543768..5543810,5543897..5544006,5544089..5544236, 5544326..5544506,5544600..5544721,5544806..5544840) /locus_tag="BRARA_H00503" /codon_start=1 /product="hypothetical protein" /protein_id="RID49725.1" /db_xref="Phytozome:Brara.H00503" /translation="MVSLRRRRLLGLCCGPNGYVTPLPFLTAEEMITGIPNPNGVAAY GSGPKEEKTPIEEGTRSQRQRTPSKYIMHFMSDSSAISPDDSGSISLKMEQDLCDSDQ PLKRRKRHRRKQVKNQEPCLMRGVYYKNMKWQAAIKVEKRQIHLGTFSSQEEAARLYD RAAFMCGREPNFELSEEDKRDLKQQSWEGFLACTRRKITNKKPKRRMKPEEL" gene complement(5545138..5547003) /locus_tag="BRARA_H00504" /db_xref="Phytozome:Brara.H00504" mRNA complement(join(5545138..5545628,5545707..5546111, 5546183..5546540,5546682..5547003)) /locus_tag="BRARA_H00504" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00504" CDS complement(join(5545482..5545628,5545707..5546111, 5546183..5546540,5546682..5546743)) /locus_tag="BRARA_H00504" /codon_start=1 /product="hypothetical protein" /protein_id="RID49723.1" /db_xref="Phytozome:Brara.H00504" /translation="MMFAVGCAKGIANQLFRNPRWRSGLFVHHLQFPNYTVYTKQRPF VEVPHGSASSNQNVFEYCCRRHMSNSTVELRTEDNVVRFSFSNNTKSVPMRTEKKWKR AKSSRKAKVNELRFYRLKAKKKMNSPNPEVRIRYKLEKAKRKEEWLIEKLRKYDVPKT PAEAYDPETLTEEEQHYLKRTGEKRKNFVLVGRRGVFGGVVLNMHLHWKKHETVKVIC KPCNKPGQIHEYAEELARLSKGIVIDVKPNNAIVLYRGKNYVRPQVMSPVDTLSKDKA LEKYRYEQSLEHTSDFIEKLEKELEEYHKYVARYKKKDEVDSKSKAV" gene complement(5562039..5563434) /locus_tag="BRARA_H00505" /db_xref="Phytozome:Brara.H00505" mRNA complement(join(5562039..5562382,5562497..5562583, 5562787..5562818,5562911..5563049,5563152..5563434)) /locus_tag="BRARA_H00505" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00505" CDS complement(join(5562245..5562382,5562497..5562583, 5562787..5562818,5562911..5563049,5563152..5563361)) /locus_tag="BRARA_H00505" /codon_start=1 /product="hypothetical protein" /protein_id="RID49726.1" /db_xref="Phytozome:Brara.H00505" /translation="MKLTWNKNPKKRSRVALPNILDVPFEKEAPETKSQRLEDDLEVG ENDGRLDLEAKKLADSFRAQGDKLAEEGRYEEALGKWEAALNIVPQNAVIHEQKAQVF LEIGDPWKALMAATRSTELDPSWAEAWTTLGRAQLNFGEPDSAISSFETALSINAESK EAKEDLQAAKQLIKKREQLQTSGQDTDAKRFVVGDKKIEPN" gene <5587075..>5602939 /locus_tag="BRARA_H00506" /db_xref="Phytozome:Brara.H00506" mRNA join(<5587075..5587337,5595387..5595705,5597351..5597476, 5597562..5597684,5601035..5601226,5601339..5601474, 5601609..5601787,5601904..5602251,5602358..>5602939) /locus_tag="BRARA_H00506" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00506" CDS join(5587075..5587337,5595387..5595705,5597351..5597476, 5597562..5597684,5601035..5601226,5601339..5601474, 5601609..5601787,5601904..5602251,5602358..5602939) /locus_tag="BRARA_H00506" /codon_start=1 /product="hypothetical protein" /protein_id="RID49727.1" /db_xref="Phytozome:Brara.H00506" /translation="MTVSSSSFPPLCEKISHTSFFLRVVDLTILGLLFSLLLYRILHM RENDNVWLVAFLCESGFTFIWLIITCIKWSPAEDKPYPNRLDERICDLPSVDMFVPTA NPVREPPIIVANTVLSLLALNYPANKLACYVSDDGCSPLTYFSLKETSKFAKIWVPFC KKYNVRVRAPFRYFLNPLVAAYDSEFRKDWKMTKREYEKLRRKVEDSTGDSFLLDGDD ELETFSNAKPNNHSTIVKVVWENKGGVGDEKEVPHLVYISREKRPDYVHHYKSGAMNF LLRVSGLMTNAPYMLNVDCDMYANEADVVRQAMCVFLQKSKSPDRCAFVQFPQEFYDS NSDELAVYLGRGVAGIQGPLYCGSGCFHTRRVMYGLSPDNLENNGDLSSSATKFLDED SLARKFGSSKELVISIVEALQGKSNPKTSLTDFIEAAQMVGHCHYEHQTNWGKTLGCL YDSVAEDMNTSIGIHLRGWSSSYICPDPPAFLGSTPSVGFEAIVQQRRWGTGAIEVLF NKQSPLRGMFSSKIRFRQRLAYLWVLMCLRSIPELFYCLLPAYCLLRNTALYPKGPCL AITVTIVVMHCLYTLWQFINNGFSVRSWYVSQSLWRILATCGWLFSIHDILLKLLGIS NVGFVVAKKTTPKTMPISGYEPIQRQDDGPNSCYIHKFEFDNSCHFVPGTFIMLVNMA ALASNFVGLQRSCCHHEGGRSGLAENCACILVILLFLPFLKGLFAKGKYGIPLSTLSK AAVLAMIFVVFAVGY" gene complement(<5616263..>5619053) /locus_tag="BRARA_H00507" /db_xref="Phytozome:Brara.H00507" mRNA complement(join(<5616263..5616368,5617195..5617289, 5617637..5617687,5619018..>5619053)) /locus_tag="BRARA_H00507" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00507" CDS complement(join(5616263..5616368,5617195..5617289, 5617637..5617687,5619018..5619053)) /locus_tag="BRARA_H00507" /codon_start=1 /product="hypothetical protein" /protein_id="RID49728.1" /db_xref="Phytozome:Brara.H00507" /translation="MGLKQSLVIWHKKTFIVSLRVSSYISYLQRERDRERAQARGNHK PKQPKNDGLTPEQRRERDARALQEKAARKAGQSMGEGNHDHFLCVGGKIKN" gene complement(<5621377..>5621748) /locus_tag="BRARA_H00508" /db_xref="Phytozome:Brara.H00508" mRNA complement(<5621377..>5621748) /locus_tag="BRARA_H00508" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00508" CDS complement(5621377..>5621748) /locus_tag="BRARA_H00508" /codon_start=1 /product="hypothetical protein" /protein_id="RID49729.1" /db_xref="Phytozome:Brara.H00508" /translation="PYDHSWFFSFDQNCTRTIPGWFNQWWYWFGPADPIYPKEVLKTS YPFYKKHVLDQPVGPLNKIWFHIDMGILWICSWHFTLAIALQDMPYSLLGEFRVKWWE KYNIDIYPSPISTKLQIKPKQ" gene 5634730..5635685 /locus_tag="BRARA_H00509" /db_xref="Phytozome:Brara.H00509" mRNA 5634730..5635685 /locus_tag="BRARA_H00509" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00509" CDS 5635222..5635470 /locus_tag="BRARA_H00509" /codon_start=1 /product="hypothetical protein" /protein_id="RID49730.1" /db_xref="Phytozome:Brara.H00509" /translation="MQKSSCGSHAGLAIVGRILSRKFLHSFFANLLIHIYYKKTQHVL NQVSAPLEDDMLQDLIQATFAYKYIFMINEGDTSNFTL" gene <5647940..>5648326 /locus_tag="BRARA_H00510" /db_xref="Phytozome:Brara.H00510" mRNA <5647940..>5648326 /locus_tag="BRARA_H00510" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00510" CDS 5647940..5648326 /locus_tag="BRARA_H00510" /codon_start=1 /product="hypothetical protein" /protein_id="RID49731.1" /db_xref="Phytozome:Brara.H00510" /translation="MAITSRTSVWYHQDQGDTKSSVGKDKNLELMNKVRMLEEAIEEE RLARSALIVELEEERAASASAADEAMAMNLRLQADKASLEMEGKQYERMIEEKFAYDE EGINILKEILFKREREKHFLEKELET" gene complement(<5650629..>5651708) /locus_tag="BRARA_H00511" /db_xref="Phytozome:Brara.H00511" mRNA complement(join(<5650629..5650903,5650967..>5651708)) /locus_tag="BRARA_H00511" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00511" CDS complement(join(5650629..5650903,5650967..5651708)) /locus_tag="BRARA_H00511" /codon_start=1 /product="hypothetical protein" /protein_id="RID49732.1" /db_xref="Phytozome:Brara.H00511" /translation="MAFDEFVGIVQAFELSKSYGKKEKKKEDPHGLALKGSTSEDHMS MLSRKFASYLKEREGRIKNGRRSDLGRSSSKVQCYECNGFGHVRKQCANLLKQKKRGN KNDSDDDSDDGEKLKNFVAFTPFVTGSKTESTTGSTAGSASAFVPGSSCGGDDDAGSD DDDGGTFDLAGNYEKLHEHWLKLVEANSDLAKEKAKLEAQVAEALKYASEKEEEARQA GAQLAETQKGLRMMNNGTDQLDHLLSIGQTRKTKDVAMSDTKHEVKRFARNATHGKTA VKPATGVKNVTATHTATSTATETDTATAPERVSGLKSAAQRKFRPICHHCGVVGHIRA RCFK" gene complement(<5659644..>5660284) /locus_tag="BRARA_H00512" /db_xref="Phytozome:Brara.H00512" mRNA complement(join(<5659644..5659862,5659952..5660090, 5660175..>5660284)) /locus_tag="BRARA_H00512" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00512" CDS complement(join(5659644..5659862,5659952..5660090, 5660175..5660284)) /locus_tag="BRARA_H00512" /codon_start=1 /product="hypothetical protein" /protein_id="RID49733.1" /db_xref="Phytozome:Brara.H00512" /translation="MQAILLGHTDSYTKDKMMQVTIAYNHFGEGLIQRMPRCRHGYFH VVNNDYTHWEMYAIGGSANPTVNSQGNRFLASGNRFAKEVTKRVGAGEGEWNQWNWRS QGDLLLNGAYFTSSGAEASSSYARSSLAAKSSSLIDMLTYSSGVLKCRIGTPC" gene complement(5661814..5662774) /locus_tag="BRARA_H00513" /db_xref="Phytozome:Brara.H00513" mRNA complement(join(5661814..5662152,5662508..5662564, 5662655..5662774)) /locus_tag="BRARA_H00513" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00513" CDS complement(join(5661931..5662152,5662508..5662564, 5662655..5662747)) /locus_tag="BRARA_H00513" /codon_start=1 /product="hypothetical protein" /protein_id="RID49734.1" /db_xref="Phytozome:Brara.H00513" /translation="MSSLSSIALSLRNKALITPRVLSSVPKRLIHGSTMKEASVCDKA TEAQQKVAKKADEGAQTISEAAGNLKDRAKNTAEEAWDKVKDTTEKIKDTVTGKTEET KESIKAKAKTVEKSMNTKNLK" gene complement(5686922..5689777) /locus_tag="BRARA_H00514" /db_xref="Phytozome:Brara.H00514" mRNA complement(join(5686922..5687338,5687415..5687607, 5687682..5687804,5687876..5688015,5688350..5688418, 5688504..5688699,5688796..5688870,5688944..5689079, 5689153..5689231,5689351..5689777)) /locus_tag="BRARA_H00514" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00514" CDS complement(join(5687150..5687338,5687415..5687607, 5687682..5687804,5687876..5688015,5688350..5688418, 5688504..5688699,5688796..5688870,5688944..5689079, 5689153..5689231,5689351..5689644)) /locus_tag="BRARA_H00514" /codon_start=1 /product="hypothetical protein" /protein_id="RID49735.1" /db_xref="Phytozome:Brara.H00514" /translation="MVTLTKLQVYPRCLDHRLGFMDHQRVGSRLSCREGNKRVYVHRC ESDLEKKKVERGRKREKEGKGLWDSLKSGVTKLGFLTKDEYNQKVQNLEMVFSSIAVQ IARYIVTMTSTGAILLIGFQLSGGDGSMNSLVWYSWLGGVIIGTMTGANMVLEDHYRA GPRNVVITGSTRGLGKALAREFLLSGDRVIVTSRSSESVDMTVKELQQNLKEIMSKAS ESDRKKLGFAKVVGIASDVCKPEDVERLSSFAVEELGSINIWINNAGTNKGFRPLLDF TEEDIKQIVSTNLIGSILCTRGAMEVMSRQDNGGHIFNMDGAGSGGSSTPLTAVYGST KCGLRQFHGSVAKESQKTKVGLHTASPGMVLTELLLSGSSIKNKQMFNIICELPETVA RTLVPRMRVVKGSGKSVNYLTPPRILLAIVTSWLRRGRWFDDQGRALYAAEADRLRNW AENRTRLSLTDAMEMYTENTWVSVFSLSVVCAFIIVSSTTPSSFPGT" gene <5694769..5695889 /locus_tag="BRARA_H00515" /db_xref="Phytozome:Brara.H00515" mRNA join(<5694769..5695341,5695465..5695536,5695664..5695889) /locus_tag="BRARA_H00515" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00515" CDS join(5694769..5695341,5695465..5695536,5695664..5695666) /locus_tag="BRARA_H00515" /codon_start=1 /product="hypothetical protein" /protein_id="RID49736.1" /db_xref="Phytozome:Brara.H00515" /translation="MIMASKQEYGIPYTPLPSSQPSQTVIVLTPYRRHRRPSFLRSLR CSILFTAAILLLSAAAYFLYPSDPEINVSRIQLNHIRVLDSFRPTLDLSFSLTIKVRN RDFFSLDYDSLVVSIGYRGRELGLVKSRGGHLRARDSSYINATLELDGLEVVHDVIYL IGDLAKGVIPFDTIAQVKGDLGLLLFQIPIQGKVSCEVFVNINNQKISHQDCHRK" gene complement(<5701230..>5702244) /locus_tag="BRARA_H00516" /db_xref="Phytozome:Brara.H00516" mRNA complement(join(<5701230..5701648,5701704..>5702244)) /locus_tag="BRARA_H00516" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00516" CDS complement(join(5701230..5701648,5701704..5702244)) /locus_tag="BRARA_H00516" /codon_start=1 /product="hypothetical protein" /protein_id="RID49737.1" /db_xref="Phytozome:Brara.H00516" /translation="MASSLFPNPDLPPPSVLPDLLFLFGSLKSDLISQSRSTAFLPEL VSPQVYFQPTSSQPGPSAPPTVKETSPVHVPTKSQSWVAKVKSPYQLLSKVASPAMDE DVIPSVQAPDSIVLKSSTLWKDHLVAFFHGTAPSTAKIFSDLNPIWGKEGIISVKHHS KNICLIYIPCPMIRQWVLEAVPQELWLLEGFNTIASAVGFPVPSEFSDIKPYSIGIIK LRVVVELEKKRPSVARITDNMGHSVLISVEFPKLPPKCAVCGEFGHLRLRCPGPTVVK VPNVGAISSSSQLPPVEMTPQAPVAGSSSPPLDPCLRLLFSRT" gene 5747985..5751081 /locus_tag="BRARA_H00517" /db_xref="Phytozome:Brara.H00517" mRNA join(5747985..5748277,5748539..5748795,5748882..5748955, 5749049..5749208,5749321..5749345,5749442..5749531, 5749619..5749790,5749882..5749955,5750080..5750149, 5750281..5750352,5750429..5750562,5750644..5750717, 5750804..5751081) /locus_tag="BRARA_H00517" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00517" CDS join(5748606..5748795,5748882..5748955,5749049..5749208, 5749321..5749345,5749442..5749531,5749619..5749790, 5749882..5749955,5750080..5750149,5750281..5750352, 5750429..5750562,5750644..5750717,5750804..5750853) /locus_tag="BRARA_H00517" /codon_start=1 /product="hypothetical protein" /protein_id="RID49738.1" /db_xref="Phytozome:Brara.H00517" /translation="METGASSDKNGYEVIKNGSWFIQFRNGYNPWMARYVYGLMFLLV NLLAWVVRDYGRGALTEMKKFKNCKDGLNCLGTEGVLRVSLGCFLFYFIMFLSTVATS KTHSSRDKWHSGWWFAKLLMWPGLIIFPFLLPSSIIDLYGEIAHFGAGVFLLIQLISI ISFITWLNEFFLSQKDAERCHVHVMLLATIAYTLCILGVILMYIWYVPDPSCLLNIFF ITWTLFLIQLMASISLHPKINAGFLTPSLMGLYVVFICWCAIRSEPVGEICNRNAESS SRTDWLTIISFVVALLAMVIATFSTGVDSQCFQFRKDENQEEDAIPYGYGFFHFVFAT GAMYFAMLLIGWNIHHSMKRWTIDVGWTSTWVRIVNEWLAVCIYIWMLVAPMILKNRQ TT" gene complement(5763216..5766956) /locus_tag="BRARA_H00518" /db_xref="Phytozome:Brara.H00518" mRNA complement(join(5763216..5763646,5763747..5763786, 5763912..5764044,5764269..5764349,5764444..5764551, 5764639..5765121,5765214..5765546,5765630..5765726, 5765791..5765852,5765948..5766035,5766114..5766175, 5766269..5766367,5766589..5766956)) /locus_tag="BRARA_H00518" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00518" mRNA complement(join(5763216..5763646,5763747..5763786, 5763912..5764044,5764269..5764352,5764444..5764551, 5764639..5765121,5765214..5765546,5765630..5765726, 5765791..5765852,5765948..5766035,5766114..5766175, 5766269..5766367,5766589..5766956)) /locus_tag="BRARA_H00518" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00518" CDS complement(join(5763508..5763646,5763747..5763786, 5763912..5764044,5764269..5764349,5764444..5764551, 5764639..5765121,5765214..5765546,5765630..5765726, 5765791..5765852,5765948..5766035,5766114..5766175, 5766269..5766367)) /locus_tag="BRARA_H00518" /codon_start=1 /product="hypothetical protein" /protein_id="RID49740.1" /db_xref="Phytozome:Brara.H00518" /translation="MGGGVKEEEKNEKIIRSLLKLAHNKRCINCNSLGPQYVCTSFWT FVCTNCSGIHREFTHRVKSISMAKFTSQEVSALKEGGNQHAKDIYFKGLDQHMLSASD GSNVERLRDFIRQVYVNKRYTNEKNDDKPPRGPMGDSETRSPPYDDVYDRRYSGRSSP GGKSPGFDQANRKSPCRPEIINDWRREDRFGGKRKTEEESPHSPEQASPPVSRPVREI LGDSFIPLRVIEPPKPQVNQNSDTSPIAKPAASSSSLSSTNESPPEVKLETAISLIDF DADPEPPAPSVAIQAPISATTQPASANNGNWASFGAAPSAMSSNASQSPPSGGNTVDS LLSQLTAASAVPVQMSSGPVHLGHSTSQIFAQPLNGHSREQVVSAPSFQPLQGVPPGG LQSSEVKPSGRTELPADLFTVTYPSYHAAAPGWHAGPPHGMHYGMQQYNNTVPFQNVP QQGKSVNPFDFSSEPPTQTQPETMLPSMASLQSALPPSAMMPSQGAHSHFSIHSQVSG HPSAMPPSFISPHTPGNMPPRHLSPIGNMGAPYETQQTYQNFGSPFASAVSSNSPPSF SSGGNPFG" CDS complement(join(5763508..5763646,5763747..5763786, 5763912..5764044,5764269..5764352,5764444..5764551, 5764639..5765121,5765214..5765546,5765630..5765726, 5765791..5765852,5765948..5766035,5766114..5766175, 5766269..5766367)) /locus_tag="BRARA_H00518" /codon_start=1 /product="hypothetical protein" /protein_id="RID49739.1" /db_xref="Phytozome:Brara.H00518" /translation="MGGGVKEEEKNEKIIRSLLKLAHNKRCINCNSLGPQYVCTSFWT FVCTNCSGIHREFTHRVKSISMAKFTSQEVSALKEGGNQHAKDIYFKGLDQHMLSASD GSNVERLRDFIRQVYVNKRYTNEKNDDKPPRGPMGDSETRSPPYDDVYDRRYSGRSSP GGKSPGFDQANRKSPCRPEIINDWRREDRFGGKRKTEEESPHSPEQASPPVSRPVREI LGDSFIPLRVIEPPKPQVNQNSDTSPIAKPAASSSSLSSTNESPPEVKLETAISLIDF DADPEPPAPSVAIQAPISATTQPASANNGNWASFGAAPSAMSSNASQSPPSGGNTVDS LLSQLTAASAVPVQMSSGPVHLGHSTSQIFAQPLNGHSREQVVSAPSFQPLQGVPPGG LQSSEVKPSGRTELPADLFTVTYPSYHAAAPGWHAGPPHGMHYGMQQYNNTVQPFQNV PQQGKSVNPFDFSSEPPTQTQPETMLPSMASLQSALPPSAMMPSQGAHSHFSIHSQVS GHPSAMPPSFISPHTPGNMPPRHLSPIGNMGAPYETQQTYQNFGSPFASAVSSNSPPS FSSGGNPFG" gene complement(5774364..5776878) /locus_tag="BRARA_H00519" /db_xref="Phytozome:Brara.H00519" mRNA complement(join(5774364..5774817,5774904..5775193, 5775278..5775369,5775465..5775686,5775766..5776878)) /locus_tag="BRARA_H00519" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00519" CDS complement(join(5774486..5774817,5774904..5775193, 5775278..5775369,5775465..5775686,5775766..5776788)) /locus_tag="BRARA_H00519" /codon_start=1 /product="hypothetical protein" /protein_id="RID49741.1" /db_xref="Phytozome:Brara.H00519" /translation="MASLAPGILLKLLQCMNSNTRPTGDHRSAILQVTGIVPALAGSD LWPNQGFYVQISDSLNSTYVCLSERDTDLILTNRLQLGQFIYLERLEFSTPVPRAAGI RPVAGRHAFVGTPEPLIARGSKRDFVIQPVPASEYSLDPIAVYLNNKRSDDDDYVTVA PRGRQPLAPVNLNDQKPKRTPQRFSSPASAKQRSVSSGKKHSSERDSSPVVSSKGRRS ASPVPSKCVVPSLAAAREENRKVAREAAIVVPSRYRQPSPNGRRLSISPGRRLSSGLK MAPMVGDSSGKKKMAALAAGISKVSEALVGSSGNRKNWDGNVLPEQKEKSSAKKKTDL QGILRTQAAMTRRLSDANRRKSDSSACEEKAKSCSSASSLVEDEEDVSAFEGLGITYH DRKWTDGSVPLDSISGDLARLAKEAVQRRNFAAKAAARALEEANANECIIRCLSKFAE LSSASKLENPLRIINQFLTIYGDVTKYSQLVSEDSFQSSSDPPSPVSLWVEAALATNL DVVSLVKSQKNLESPSSVKKPTPTRLFAGPSTKTDNIVGMWTDKDGMKETAKFAVNVQ AEMQMWFIGFVEESLDNKNARPLDGSSIAAVLSQLKQVNEWLDRVVSDQENQITTMHL TDKIERLKRKIYGFVIHHVGSTFDNTAS" gene complement(<5798974..>5800205) /locus_tag="BRARA_H00520" /db_xref="Phytozome:Brara.H00520" mRNA complement(join(<5798974..5799278,5799363..5799794, 5799822..5799963,5800035..>5800205)) /locus_tag="BRARA_H00520" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00520" CDS complement(join(5798974..5799278,5799363..5799794, 5799822..5799963,5800035..5800205)) /locus_tag="BRARA_H00520" /codon_start=1 /product="hypothetical protein" /protein_id="RID49742.1" /db_xref="Phytozome:Brara.H00520" /translation="MEHRRISSAEKGKGIDFALQQPSRSARVKVPQPENAEQLRKHSL TLIGCVTNKSVQKFEREKDLLAVLEQRPYHYARWMIILQRWEPTISPNFPSLIPFWIK VQATIKVIGEDIGFYEKAEISAVSARMRVHIDGLLPLIKSSVVEFHNGDEVHTNLVYE RLDKHCTKCLKLDHELKECLVARAEARALKAADEGNTSGHPVGTTKDKNSASSHDPDI LRARDQPLNPPFQFSASIRNNEKGKSYTNEPSERSGHGSERAPRDREYYRHRQLPAPP SRSFYREVPKQPPMLEDIDSSASKSYPENADRGIPHQIMDESLPHIALQDAVGEVRDA MKQYSQCADPTEREA" assembly_gap 5804496..5814495 /estimated_length=unknown /gap_type="between scaffolds" assembly_gap 5815735..5825734 /estimated_length=unknown /gap_type="between scaffolds" gene complement(5862311..5873195) /locus_tag="BRARA_H00521" /db_xref="Phytozome:Brara.H00521" mRNA complement(join(5862311..5862681,5868785..5868921, 5872866..5873195)) /locus_tag="BRARA_H00521" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00521" CDS complement(5872947..5873099) /locus_tag="BRARA_H00521" /codon_start=1 /product="hypothetical protein" /protein_id="RID49743.1" /db_xref="Phytozome:Brara.H00521" /translation="MSNPSSSKPNRKTSFGDRCLLMAKQQRTRLYILRRCVSMLLCWH DHSISD" assembly_gap 5886702..5896701 /estimated_length=unknown /gap_type="between scaffolds" assembly_gap 5897343..5907342 /estimated_length=unknown /gap_type="between scaffolds" assembly_gap 5908527..5918526 /estimated_length=unknown /gap_type="between scaffolds" gene <5918619..>5919948 /locus_tag="BRARA_H00522" /db_xref="Phytozome:Brara.H00522" mRNA join(<5918619..5919173,5919287..5919810,5919852..>5919948) /locus_tag="BRARA_H00522" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00522" CDS join(5918619..5919173,5919287..5919810,5919852..5919948) /locus_tag="BRARA_H00522" /codon_start=1 /product="hypothetical protein" /protein_id="RID49744.1" /db_xref="Phytozome:Brara.H00522" /translation="MNEELAELKNQEADNEKRKNITTPRANVKRCKVEDSLEDSEFAV MTDELLAEENEIFPESPMASQELIRSAIVKEYREKTVKLSPQGFALSEGSSRPVFPYI GDNGTTCMRNNVEPSSAIYDPLAPVDPIVLDKLMQHISRIPPKPPAPAKKRVVRSAAR EGDFYSILILERPWPHNQYGWLFDDHISAYINVLIKRSMRDPTPFWTKRIAFIDPWFL KKWVDDYKQFKIKPNMMKFTGNGYENLVHGKLPCNFQTNLKWYEHVDHLYRCLPTGGN HWVAFHVDLKKVKVDCYDSIIGEVTTKSASKMLEEFKPITLMLPDILNQNIPANLRTP SRKKFAFRRMSKRYTPQNTQIGDCGVFAGINDKNIQGLRLKMAAEILDEGGNRAENN" gene complement(5926359..5929969) /locus_tag="BRARA_H00523" /db_xref="Phytozome:Brara.H00523" mRNA complement(join(5926359..5926699,5926934..5927092, 5927169..5927216,5927459..5927590,5927686..5927740, 5927979..5928028,5928100..5928195,5928316..5928393, 5928658..5928732,5928815..5928931,5929005..5929073, 5929143..5929295,5929367..5929537,5929603..5929722, 5929793..5929969)) /locus_tag="BRARA_H00523" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00523" CDS complement(join(5926559..5926699,5926934..5927092, 5927169..5927216,5927459..5927590,5927686..5927740, 5927979..5928028,5928100..5928195,5928316..5928393, 5928658..5928732,5928815..5928931,5929005..5929073, 5929143..5929295,5929367..5929537,5929603..5929722, 5929793..5929843)) /locus_tag="BRARA_H00523" /codon_start=1 /product="hypothetical protein" /protein_id="RID49745.1" /db_xref="Phytozome:Brara.H00523" /translation="MASSVISSSPFLCKSAQKDLGFPKPSQISVHRCQKRAISRKIVS VMAPQRSSSATGSVKTGMTMTEKILAKAAEKSQVVPGDNIWVNVDVLMTHDVCGPGAF GIFKREFGEKAKVWDPEKIVVIPDHYIFTADKRANRNVDIMREHCREQNIKYFYDITD LGDFRANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFV LGTGKILLKVPPTMRFILDGEMPSYLQAKDLILQIIGEISVAGATYKTMEFSGTTIES LTMEERMTLCNMVVEAGGKNGVIPPDATTFNYVENRTSVPFEPVYSDGNASFIADYRF DVSKLEPVVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFMAAAKLFHAAGKQ VKVPTFLVPATQKVWMDVYALPVPGAGGKTCAQIFEEAGCDTPTSPSCGACLGGPADT YARLNEPQVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGHVTDPREFLQ" gene complement(5965450..>5966744) /locus_tag="BRARA_H00524" /db_xref="Phytozome:Brara.H00524" mRNA complement(join(5965450..5965971,5966245..>5966744)) /locus_tag="BRARA_H00524" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00524" CDS complement(join(5965803..5965971,5966245..5966744)) /locus_tag="BRARA_H00524" /codon_start=1 /product="hypothetical protein" /protein_id="RID49746.1" /db_xref="Phytozome:Brara.H00524" /translation="MGDSRRGLSSTTQSRKIMVIADPTRESAAALQYALSHAVLEQDE IILVHVENNGGSWKNAFSSFLRLPSSISSSSSTSGSSPGAANANANTANTAASLASEI GQEEGNFLEQMRRICEIAQPKVRVHTECITMEGIKATAILLHGDKLGVDVIIIGQRRT ISASLLGSRRPGGSLRGPKGVDTSEYLIENSKCTCVGVQKKGGQNGGYVLNTKTHKNF WLLA" gene 5977582..5979866 /locus_tag="BRARA_H00525" /db_xref="Phytozome:Brara.H00525" mRNA join(5977582..5977875,5978064..5978208,5978433..5978616, 5978703..5978750,5978838..5978934,5979025..5979254, 5979328..5979378,5979466..5979570,5979663..5979866) /locus_tag="BRARA_H00525" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00525" CDS join(5977734..5977875,5978064..5978208,5978433..5978616, 5978703..5978750,5978838..5978934,5979025..5979254, 5979328..5979378,5979466..5979570,5979663..5979728) /locus_tag="BRARA_H00525" /codon_start=1 /product="hypothetical protein" /protein_id="RID49747.1" /db_xref="Phytozome:Brara.H00525" /translation="MTVTGVSNSAKSTALASVYSVRKLPFLSLSESFPCPKNSRKPAS PTPLRCRWTSRSDSLCGKFRVHASNAGVGSGSEEDGSQSSSLDRSHATSSESLKPQGP FPYSLSIALVLLACGLVFSLITFVKGGPSSVLAAVAKSGFTAAFSLIFVSEIGDKTFF IAALLAMQYEKTLVLLGSMGALSLMTILSVVIGKVFQSVPAQFQTTLPIGEYAAIALL MFFGLKSIKDAWDLPQAEAKNGEESGIELGEYTEAEELVKEKASKKLTNPLEILWKSF SLVFFAEWGDRSMLATVALGAAQSPWGVASGAIAGHLVATLLAIMGGAFLANYISEKL VGYVGGALFLVFAAATFFGVF" gene complement(<5980006..>5980743) /locus_tag="BRARA_H00526" /db_xref="Phytozome:Brara.H00526" mRNA complement(<5980006..>5980743) /locus_tag="BRARA_H00526" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00526" CDS complement(5980006..5980743) /locus_tag="BRARA_H00526" /codon_start=1 /product="hypothetical protein" /protein_id="RID49748.1" /db_xref="Phytozome:Brara.H00526" /translation="MTKHSPFSLSISVFLIVVALFTATTALDPAPEDPIFELYMHDIL GGSSPTARPITGLLGNIYNGQVPFAKQIGFVPPENGVAIPNANGAMPTVNGINGIPLG TGLSGTAFSGQNLNGIQTQLGPDGLSLGFGTITVIDDIITSGPDLGSQPLGKAQGVYV ASSADGSTQMMAFTAMLEGGEYNDNLNFYGIYRIGSAMSHLSVTGGTGRFKNACGFAE VRPLIPAGQHFVDGAEMLLRIIVHLKY" gene complement(6015632..6016153) /locus_tag="BRARA_H00527" /db_xref="Phytozome:Brara.H00527" mRNA complement(join(6015632..6015930,6016043..6016153)) /locus_tag="BRARA_H00527" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00527" CDS complement(join(6015700..6015930,6016043..6016090)) /locus_tag="BRARA_H00527" /codon_start=1 /product="hypothetical protein" /protein_id="RID49749.1" /db_xref="Phytozome:Brara.H00527" /translation="MSQQAFNAGQTKGQAHEKAEQWTESAKQTAQSARDKTAEVAQSA HDKAIDVTHSAQNKSADKSHSTRESAQHGQEQTTGFLGQVYFRYVFFR" assembly_gap 6038785..6048784 /estimated_length=unknown /gap_type="between scaffolds" assembly_gap 6074462..6084461 /estimated_length=unknown /gap_type="between scaffolds" gene 6107758..6119464 /locus_tag="BRARA_H00528" /db_xref="Phytozome:Brara.H00528" mRNA join(6107758..6108004,6108247..6108356,6111348..6111618, 6117702..6117801,6117890..6118235,6118325..6118690, 6118778..6118856,6118960..6119464) /locus_tag="BRARA_H00528" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00528" CDS join(6107861..6108004,6108247..6108356,6111348..6111618, 6117702..6117801,6117890..6118235,6118325..6118690, 6118778..6118856,6118960..6119172) /locus_tag="BRARA_H00528" /codon_start=1 /product="hypothetical protein" /protein_id="RID49750.1" /db_xref="Phytozome:Brara.H00528" /translation="MMAVCRSGGTVLLLCYSFFALVTAESPYRFFDWNVTYGDIYPLG VRQQGILINGQFPGPDIHSVTNDNLIINVHNSLDEPFLISWNGVQNRRNSYVDGMYGT TCPIPPRTNYTYILQVKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPGIPVPFADPAG DYTVLIGDWYKSNHTDLKARLDRGKKLPSPDGILINGRSNGATLNVEQGKTYRLRISN VGLQNSLNFRIQNHRMKLVEVEGTHTMQTMFSSLDVHVGQSYSVLITADQSPRDYYVV ASSRFTDKIITTTGILRYSGSSTSASGPIPGGPTIQIDWSLNQARAIRTNLTASGPRP NPQGSYHYGLIPLIRTIVFGSSAGQINGKQRYGINSVSFVPADTPIKLADFFKISGVY KINSISDKPTGGGLYLDTSVLQVDYRTFIEIVFENKENIVQSYHLNGYSFWVVGMDGG QWNTGSRNGYNLRDAVSRSTVQVYPKSWTAIYIALDNVGMWNLRSEFWARQYLGQQLY LRVFTTSTSLRDEYPIPKNSRLCGRAKGRHTRPL" gene 6158798..6160705 /locus_tag="BRARA_H00529" /db_xref="Phytozome:Brara.H00529" mRNA join(6158798..6159698,6159851..6160705) /locus_tag="BRARA_H00529" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00529" CDS join(6158817..6159698,6159851..6160468) /locus_tag="BRARA_H00529" /codon_start=1 /product="hypothetical protein" /protein_id="RID49751.1" /db_xref="Phytozome:Brara.H00529" /translation="MDLFLIIAAMVAVAAFFLLRSSTKKSLRLPPGPKGLPIIGNLHQ MEKFNPQHFLFRLSKLYGPIFTMKIGGRRLAVISSAELAKELLKTQDLNFTARPLLKG QQTMSYQGRELGFGQYTAYYREMRKMCMVNLFSPNRVASFRPVREEECQRMMDKIYKA ADQSGTVDLSELLLSFTNCVVCRQAFGKRYNEYGTEMKRFINILYETQALLGTLFFSD LFPYFGFLDNLTGLNARLKRAFKELDTYLQELLDETLDPSRPKPETESFIDLLMQIYK DQPFSIKFTHENVKAMILDIVVPGTDTAAAVVVWAMTYLIKYPEAMKKAQDEVRNVVG DKGYVSEEDIPNLPYLKAVIKESLRLEPVIPILLHRETIADAKIGGYDIPAKTIIQVN AWAVSRDTAAWGDNPNEFIPERFMNEQKGVDFKGQDFELLPFGSGRRMCPAMHLGVAM VEIPFANLLYRFDWSLPKGIKPEDIKMDVMTGLAMHKKDHLVLAPRTHI" gene complement(6200710..6205746) /locus_tag="BRARA_H00530" /db_xref="Phytozome:Brara.H00530" mRNA complement(join(6200710..6201380,6201452..6201610, 6201806..6201954,6202038..6202185,6202296..6202364, 6202519..6202797,6204161..6204355,6204515..6204631, 6204711..6205746)) /locus_tag="BRARA_H00530" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00530" CDS complement(join(6200886..6201380,6201452..6201610, 6201806..6201954,6202038..6202185,6202296..6202364, 6202519..6202797,6204161..6204355,6204515..6204631, 6204711..6205601)) /locus_tag="BRARA_H00530" /codon_start=1 /product="hypothetical protein" /protein_id="RID49752.1" /db_xref="Phytozome:Brara.H00530" /translation="MANVKQSGLVYLHGDLELQIIEARYLPNMDLHFERIRRVFNTLN LFDKHSSSPKGSHRRTRNNIITSDPYVTVCLAGATVARTRVISNSQNPVWSEHFKIPL AHPVSQIEFYVKDNDVFGADLIGIATVSTAKIKLGETINGWFPIIGSPKPDSAVRLEM RFVPYEKNPLYNHGITSTGVANCYFPVRTGGHVTLYQDAHVHDNMPEIELEDGVLYQH ERCWEDICHSILEAHHMVYVIGWSIFHKVKLVRDQSRKLPNGGDLSLGDLLKYKSQEG VRVLLLVWDDRTSHSKFFINTTGVMQTHDEETRKFFRRSSVTCVLSPRYASSKLSIFK QQVVGTVFSHHQKCVIVDTQASGNNRKIAAFIGGLDLCDGRYDTPEHRLFKGLDTVFQ GDYHNPTFSGGTKAPRQPWHDLHCKIEGPAAYDILINFEQRWKKATKWSEIGQKFKRV TRWHDDSLIKLERISWMLSPSTAVPTNDTLWVSKDDDKQNWHVQVFRSIDSGSLKGFP KDVHKAHAQNLVCAKNLVIEKSIQTAYIQAIRSAQHFIYIENQYFIGSSFVWPNYKEA GADNLVPIELALKIATKIRARERFAVYIVIPMWPEGDPSSAPVQEILYWQGQTMQMMY EIIAREIKHMDLENVNPQDYLNFYCLGNREELPSDQNCVSSSGEMVPASQKWGRFMIY VHAKGMVVDDEYVLLGSANINQRSMAGSRDTEIAMGAYQNHQTWGHRNKHPRGQVYGY RMSLWAEHMGKIDDIFKEPETLECVKRVNMISEDNWKKYTDDSFVPLQGHLLKYPLSV DHTGKVIPLSGFNSFPDVGGKILGTRTNLPDVLTT" gene complement(<6210835..>6211818) /locus_tag="BRARA_H00531" /db_xref="Phytozome:Brara.H00531" mRNA complement(<6210835..>6211818) /locus_tag="BRARA_H00531" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00531" CDS complement(6210835..6211818) /locus_tag="BRARA_H00531" /codon_start=1 /product="hypothetical protein" /protein_id="RID49753.1" /db_xref="Phytozome:Brara.H00531" /translation="MKVALSRIWQLVRKVESQINDDGMVNFYFEKEQHLLKVLDKQPY TYRGWIVALDRWSNRSHPTFLRQIPSRVRIFNLPDMYRCYGIVDSIGSKLGHVDEVTI IEPTSVKEAEVWVKILFDEDDVITLTRTLELLKHQPPVELEFRYLGLQKFCMLCGSLK HGYEACDVSPQLQQRQYELMDIDSNPYVTAQEKRAAIGEYITSMEVGQSSGTTATGHT SLDQSQDRNIQTEDLSIVGEQEQTVGTIALVQVVPPTPPEPSIQEIQQSHMEHLPTQH MEISSTLPDQGTKRKTPEDPETQATSSLAKRQQTEHSDYSLMVRLKPSQAA" gene 6212904..6214849 /locus_tag="BRARA_H00532" /db_xref="Phytozome:Brara.H00532" mRNA join(6212904..6213007,6213102..6213128,6213219..6213298, 6213550..6213622,6213690..6213744,6213979..6214030, 6214121..6214145,6214225..6214316,6214491..6214849) /locus_tag="BRARA_H00532" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00532" CDS join(6212912..6213007,6213102..6213128,6213219..6213298, 6213550..6213622,6213690..6213744,6213979..6214030, 6214121..6214145,6214225..6214316,6214491..6214539) /locus_tag="BRARA_H00532" /codon_start=1 /product="hypothetical protein" /protein_id="RID49754.1" /db_xref="Phytozome:Brara.H00532" /translation="MAKLVIFTVVVITIFSFGVSVDDKCSACNAVAEELESQLLKEKP RNHLDLRNRLNSKGQREGKVIDYRMSDLRVVDLLDGLCDRMQDYTLQNVESKNREWVK VESFDNLTNKQEAKAHANDISTYCGRLLEETEDELAEVIKNGSLKVGDARKVLCQTLS NHCSKSSETDSEDEDDDDADEL" gene complement(6223059..6224967) /locus_tag="BRARA_H00533" /db_xref="Phytozome:Brara.H00533" mRNA complement(join(6223059..6223862,6223990..6224967)) /locus_tag="BRARA_H00533" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00533" CDS complement(join(6223284..6223862,6223990..6224136)) /locus_tag="BRARA_H00533" /codon_start=1 /product="hypothetical protein" /protein_id="RID49755.1" /db_xref="Phytozome:Brara.H00533" /translation="MSFFTEVVQEACFSSESDSDHNEIDCLVRKTKKKTLNLAHALEV DRKIEVLVRSIIPQDPKRLVDESLFVLADEVTDIKVEKLLRFIHANDVFSKDMFKGGE TKFDVEKMREQSKAAAKKKQPINKQTPTVVFDEARVSSIFISVLKPKLHRVDGNVASA LATVKYSAFAYKDSDVAAMEAMFKAFKAESFLPLPPMLSLPRRHNILPHHVEMVARPV SQDMQTEVVVTKMIRLSKYHGKF" gene complement(6240642..6242251) /locus_tag="BRARA_H00534" /db_xref="Phytozome:Brara.H00534" mRNA complement(join(6240642..6240878,6241302..6241360, 6241428..6241490,6241586..6241731,6241809..6242251)) /locus_tag="BRARA_H00534" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00534" CDS complement(join(6240831..6240878,6241302..6241360, 6241428..6241479)) /locus_tag="BRARA_H00534" /codon_start=1 /product="hypothetical protein" /protein_id="RID49756.1" /db_xref="Phytozome:Brara.H00534" /translation="MTTFKATSAQWQNHRWKGVSVALSVFDGLSYEFHKKLAKWLMES WTVPRRIF" gene <6253972..>6255198 /locus_tag="BRARA_H00535" /db_xref="Phytozome:Brara.H00535" mRNA join(<6253972..6254700,6254740..>6255198) /locus_tag="BRARA_H00535" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00535" CDS join(6253972..6254700,6254740..6255198) /locus_tag="BRARA_H00535" /codon_start=1 /product="hypothetical protein" /protein_id="RID49757.1" /db_xref="Phytozome:Brara.H00535" /translation="MADNIRRAMQDITLGSEDPPFVLPAEVVRQAEEENRFILVGRPV MPRRQNLRALLATMPRNWGFEGLVRGRLIEGRRFQFVFPSEESMDMVIRRGPWAFADR MIVLQRWTPLMDMEMLNYIPFWIQIRGIPLQYMNRQVIVNIARLLGEYIQMDYSEEIG SRLEFVRVRLNWNVNHPLRFQRNFQFVPGVNTLLRLQYERLRGFCEVCGLITHDSGAC LIQNGGPDNDNGDHNGNEEDDDTEFVVNDGVVADANEEAGENVEALVEEEDPGQDEDE RNIRIMEEEADDEQIMFTSDVDRDVMNNPLYPHGQHLPKDVAEESGHKRKSWLSGANE NTLKFSKGERGESSGSSKSKRKKSNDIVSGDQVTQEGTVHNDSDTVRGAVWEPKFTLS ISV" gene 6260799..6264495 /locus_tag="BRARA_H00536" /db_xref="Phytozome:Brara.H00536" mRNA join(6260799..6261424,6261502..6262841,6262926..6263203, 6263283..6263314,6263411..6263817,6263883..6264495) /locus_tag="BRARA_H00536" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00536" CDS join(6261169..6261424,6261502..6262841,6262926..6263203, 6263283..6263314,6263411..6263817,6263883..6264359) /locus_tag="BRARA_H00536" /codon_start=1 /product="hypothetical protein" /protein_id="RID49758.1" /db_xref="Phytozome:Brara.H00536" /translation="MKLLWSFLFLSCLCLGFLGHGHSEKPEVVKIGSIFSFNSVIGKV AKIAIEEAVKDVNSNPDILVGTRLQVSMQNSNCSGFMGMVEALRFMEKDIVGIIGPQC SVVAHMISHMANELRVPLLSFAVTDPVMSPLQFPYFIRTSQSDLYQMEAIASIVDLYG WKEVIAVFVDDDYGRNGVAALNDKLASRRLRITYKAGLHPDNAVNKNEIMNMLIKIML LQPRIIVIHVYSELGFAVFKEAKYLGMMGNGYVWIATDWLSTTLDSSSPLPSERLESI QGALVLRPHTPDSKLKREFFARWRKNPDAPLALHTYGLYAYDSVMLLARALDKYFKHG GKVSFSNDSMLDALGKSGSLNLEAMTVFDGGEALLKDILGTHMVGLTGQLQFTTDRSR IRPAYDIINVAGTGVRQIGYWSNHSGLSVLPPESLTKPNMSASQKLRHVIWPGEAFQK PRGWAFSNNGKELKIGVPRRVSYKEFVSQIRGTDNMFKGFCIDVFTAAVNLLPYAVPV KFIPYGNGKENPSYTHMVEMITSGNFDGVVGDIAIVTNRTKIVDFTQPYAASGLVVVA PFKKLNSGAWAFLRPFNRLMWAVTACCFLFVGIVVWILEHRINDEFRGPPKRQCVTIL WFSFSTMFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSPIKGI DSLREMDDRIGYQVGSFAERYLRDELNISESRLVPLGSPEAYAKALKDGPRKGGVAAI VDERPYVELFLSSNCAYRIVGQEFTKSGWGFAFPRDSPLAIDLSTAILELAENGDLQR IHDKWLMKNACTLENAELESDRLHLKSFWGLFLICGVACVLALFLYFVQIIRQLYNGK PSEEEEDAIGRENHDSSSLRSTRLQRFLSLMDEKEDVSKAGSKKRKIDGSVNDNSVSR HSRRLDSFNSVNPLD" gene 6264852..6267788 /locus_tag="BRARA_H00537" /db_xref="Phytozome:Brara.H00537" mRNA 6264852..6267788 /locus_tag="BRARA_H00537" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00537" CDS 6264889..6267387 /locus_tag="BRARA_H00537" /codon_start=1 /product="hypothetical protein" /protein_id="RID49759.1" /db_xref="Phytozome:Brara.H00537" /translation="MAAEYSGSRSSNTQLLAELEALSENLYQKPQAPVGRRTNSLALP RSSVPSLVTSAEDVTVPKPRSRRLSLSPWRSRPKLEAEEEENMAQKISIKTPEESSVV GSKAKEERKGIWNWKPIRGLARIGMQKLSCLLSVEVVAAQNLPASMNGLRLGVCVRKK ETKDGAVQTMPCRVSQGSADFEETLFIKCHVYYSPANGKGAPAKFEARPFLVYLFAVD AKELEFGRNMVDLSDLIQESVEKMSYEGARVRQWDMSWGLSGKAKGGELVLKLGFQIM EKDGGAGIYGKQGELGIKPSSKPKNFSGSFGRKQSKTSFSVPSPKMTSQSQTWTPASG VEAASDLQRIEHLNLDDPEEKPAPKTEEPEQRVEEDDQEPPDFEVVDKGVEFDDDMET EKSDGTIGERSFEMKEQHVNVDDPRHMIRLTELDSIAKQIKALESMMKDDRNGEEGER ESPRLDEEEQTVTKEFLQLLEDEESENLKFYQHKMEISELRSGESVEEESENYLSDLG KGIGCVVQTRDGGYLVSMNPFDTVVMRKDTPKLVMQISKQIVVLPEAGSATGFELFHR MAGSGKELDSKICSLMAMDELMGKTGEQVAFEGIASAIIQGRNKERANTSAARTVAAV KTMANAMNSGRRDRIMTGIWNVEENPLASAEEVLAVSLQKLEEMVIEGLKIQADMVED DAPFEVSAAKGQPNPLESTIPLDEWLKENRKQKALTVFATVQLRDPTRRYEAVGGTVV VAVQAEDQEDGLKVGSLHVGGVKSNGAEKRRLTAAQWLVEYGMGKKGKKKSNVKRKEK EEEEDEELLWSLSSRVMADMWLKSIRNPDVKLHC" gene 6270062..6271318 /locus_tag="BRARA_H00538" /db_xref="Phytozome:Brara.H00538" mRNA join(6270062..6270194,6270431..6270551,6270643..6270739, 6270812..6270898,6270980..6271318) /locus_tag="BRARA_H00538" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00538" CDS join(6270131..6270194,6270431..6270551,6270643..6270739, 6270812..6270898,6270980..6271114) /locus_tag="BRARA_H00538" /codon_start=1 /product="hypothetical protein" /protein_id="RID49760.1" /db_xref="Phytozome:Brara.H00538" /translation="MAALSTSLSLSRNTQQLHPSSGFSLKPIGRRANVSFGLNPSKKI QLSAPSGKRILTIQSAYRDDDSSGSTGLFVGGFILGGLIVGALGCVYAPQISKAIAGA DRKDLMRKLPKFIYDEEKALEKTRKVLADKIAQLNSAIDDVSSQLKSEDTPNGAALST DEVEATA" gene 6271539..6274294 /locus_tag="BRARA_H00539" /db_xref="Phytozome:Brara.H00539" mRNA join(6271539..6271662,6271752..6271847,6271932..6272096, 6272165..6272332,6272412..6272572,6272639..6272700, 6272766..6272815,6273003..6273409,6273929..6274294) /locus_tag="BRARA_H00539" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00539" CDS join(6271561..6271662,6271752..6271847,6271932..6272096, 6272165..6272332,6272412..6272572,6272639..6272700, 6272766..6272815,6273003..6273409,6273929..6274064) /locus_tag="BRARA_H00539" /codon_start=1 /product="hypothetical protein" /protein_id="RID49761.1" /db_xref="Phytozome:Brara.H00539" /translation="MATHSALAVSRIPVKPRLQSKSAIHSFPAQCSSKRLEVAAFSGL RVSSNGGEASFFDAIAAQITPKAVGTSSPVRGETVAKLKVAINGFGRIGRNFLRCWHG RKDSPLEVVVLNDSGGVKNASHLLKYDSMLGTFKADVKIVDNETISVDGKLIKVVSNR DPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGASKVIITAPAKGADIPTYVVGVN EQDYSHDVANIISNASCTTNCLAPFAKVLDEEFGIVKGTMTTTHSYTGDQRLLDASHR DLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVINVEKK GLTAEDVNEAFRKAAAGPLKGVLEVCDTPLVSCDFRCSDVSTTIDSSLTMVMGDDMVK VVAWYDNEWGYSQRVVDLAHLVAAKWPGEVAAGSGDPLEDFCKTNPADEECKVYEA" gene complement(6288572..6289782) /locus_tag="BRARA_H00540" /db_xref="Phytozome:Brara.H00540" mRNA complement(join(6288572..6289210,6289327..6289782)) /locus_tag="BRARA_H00540" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00540" CDS complement(join(6288754..6289210,6289327..6289754)) /locus_tag="BRARA_H00540" /codon_start=1 /product="hypothetical protein" /protein_id="RID49762.1" /db_xref="Phytozome:Brara.H00540" /translation="MAEELGCVDFLGEDDDLFLDFDLSLFTETPIAGHFIRSSPDSAN SWIGDIESQLMNDEDNQNCLELDQQSVSEFLADIFVDDPTADSVTGKVDDVPTEGSDD AGKEKAVEKKRNDSGSENLDEAKVESEISGDDDAMAKKRRRRVRNRDAAVRSRERKKE YVTDLEKKSKYLERECMRLGRMLDCFVAENHSLRLCLQKGGGNASMMTRQESAVLLLE SLLLGSLLWYLGDIICPFLPHPQPKTCFLPAEADGPEKLALSGRESSKLSNNYAWKSR RCKGSRPRMKHQVCILVA" gene complement(6302463..6304357) /locus_tag="BRARA_H00541" /db_xref="Phytozome:Brara.H00541" mRNA complement(join(6302463..6302778,6302861..6302989, 6303064..6303185,6303289..6303354,6303802..6303870, 6303957..6304113,6304180..6304357)) /locus_tag="BRARA_H00541" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00541" CDS complement(join(6302662..6302778,6302861..6302989, 6303064..6303185,6303289..6303354,6303802..6303870, 6303957..6304092)) /locus_tag="BRARA_H00541" /codon_start=1 /product="hypothetical protein" /protein_id="RID49763.1" /db_xref="Phytozome:Brara.H00541" /translation="MGSSSGQPEFDYLFKVLLIGDSGVGKSSLLLSFTSNTFDDLSPT IGVDFKVKYLTIGEKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRDTFKN LSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERAVSKKEGIDFAREYGCLFLECSAKT RVNVEQCFEELVLKILETPSLTTEGSSGGKKNIFKQNPAQTSSTSSSYCCSS" gene complement(<6310834..>6314933) /locus_tag="BRARA_H00542" /db_xref="Phytozome:Brara.H00542" mRNA complement(join(<6310834..6310865,6312013..6312615, 6312683..6313294,6313589..>6314933)) /locus_tag="BRARA_H00542" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00542" CDS complement(join(6310834..6310865,6312013..6312615, 6312683..6313294,6313589..6314933)) /locus_tag="BRARA_H00542" /codon_start=1 /product="hypothetical protein" /protein_id="RID49764.1" /db_xref="Phytozome:Brara.H00542" /translation="MYNTGDWNFNLDSDRMGRAVYAKLITSVEDLKEAIIESYGLVGM SVAVEMSYWLGEHGSCAVGEREAPVQISNDKDFDLFTSARKVDKYINVFVTFKEEIDG KIHFLRPMGNLLKSKEVASSNEMQVGSTSADVHTRNEVHDCETDLTEDEIILMGVAEI EAVYASNGFVMREVDGTACKVQNKVDTTEDAGLGEGEDDDDEDYDYNLWHDFVGQNCE WDDDKDEDGGVGGGGRMNVTYGGVRGEVVTKTRSGRTNSSSNKGSGSSTNKQRTANPP STFEDYVDEGRDYIGSSRISMENIEEASNNLGVRSSDQVADTENHSDPNQEDDPSLDN SSQMLVLQTPPKPFNMHTREVDDSDDFVGQVPQCVSCRPTHDTSDGEDKDDDFVEPVP MCVSVGETHETPDGEDKDDDFVEPVPQCVSGGQTHETLVGEDEDDDFIEPVPQSRSPK CIDKNCKFYVMAKQLGESSTYQVRKAQLKHVCTSDAKAQYKKHATSKVIAALMRSKYE RLQAGPRASELPEMLRTEFLFTATYWKCWKAKELATVAAQGTEESSYKLLPKYFYVIK YANPGSITDIKTEKDDKGQTRFKYAFMALKACIDGWKHLQKVIVVDGTHMFGKYKGCL LTASGQDANFQVFPIAFAVVDNETNESWKQIKYVLLKISGILFHTMGAASLHLQRNID AKFKKRNQKQMIGRAAEVFKVSHFKRLYAEIKLTDKRCWDYLEKIDPRHWTRSHFEGE RYNLMSSNIAESLNKALIHTRDSPIMVLFEFIRRMISRWFVSRQRKISKMNGEIPPAV DELMENNLEDARAYAVMPLSAFEFEVTLKTTGFGSSVNLETRSCTCLEFQKVGIPFLL GLVIIFK" gene 6329067..6329833 /locus_tag="BRARA_H00543" /db_xref="Phytozome:Brara.H00543" mRNA join(6329067..6329539,6329647..6329833) /locus_tag="BRARA_H00543" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00543" CDS join(6329077..6329539,6329647..6329681) /locus_tag="BRARA_H00543" /codon_start=1 /product="hypothetical protein" /protein_id="RID49765.1" /db_xref="Phytozome:Brara.H00543" /translation="MNELTTKKFDWSQPIHDHLTHMSNLAAKLTTLGMEVHEQFLVQF IMNSLPLEFSQFQVNYNTIKDKWNFKELKAMLIQEEGRLRKMKDQVANLVGLGSANSS KITSSRKDKRNVKNFVKGPQSQIQKEKKCFFCKEVGHFKKDYPKRKDWFDKKEIQFDP AHKRT" gene complement(6355621..6359026) /locus_tag="BRARA_H00544" /db_xref="Phytozome:Brara.H00544" mRNA complement(join(6355621..6355914,6356295..6356412, 6356501..6356593,6356690..6356823,6357546..6357824, 6357918..6358099,6358204..6358296,6358369..6358476, 6358748..6359026)) /locus_tag="BRARA_H00544" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00544" CDS complement(join(6355747..6355914,6356295..6356412, 6356501..6356593,6356690..6356823,6357546..6357824, 6357918..6358099,6358204..6358296,6358369..6358476, 6358748..6359006)) /locus_tag="BRARA_H00544" /codon_start=1 /product="hypothetical protein" /protein_id="RID49766.1" /db_xref="Phytozome:Brara.H00544" /translation="MASGKWMFFYVAVMVMVQWLGNNHNLAEGYPEEDLVTKLPGQPE VAFRQFAGYVDVDIKAGRSLFYYFVEAEKQQHTKPLTLWLNGGPGCSSIGGGAFTELG PFYPAGDGRGLRRNSKSWNKASNLLFVDSPAGVGWSYSNTTSDYTTGDESTAKDMLVF LLRWLEKFPEFRTRNLFLAGESYAGHYIPQLADVILEYNSRPSNRFKFKLKGIAIGNP LLKLDRDVPATYEFFWSHGMISDELGLTIMNQCNFDDYTFSSSHNISKPCEAAMSEAG TIITQYVNYYDVLLDICYPTLVEQELRLKKMGTKISVGVDVCMSYEEQLYLSLPEVQQ ALHANRTNLPYSWSMCSGLLNYSDTDGNMNILPILKRILTRKIPIWVFSGDEDSVIPL LGSRTLVKELAEDLNFNTTVPYGAWFHKGQVGGWVIEYGDILTFATVRGAAHMVPYAQ PSRALHLFSSFVLGRRLPNKSPPPLHD" gene <6365167..>6366910 /locus_tag="BRARA_H00545" /db_xref="Phytozome:Brara.H00545" mRNA join(<6365167..6365295,6366044..>6366910) /locus_tag="BRARA_H00545" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00545" CDS join(6365167..6365295,6366044..6366910) /locus_tag="BRARA_H00545" /codon_start=1 /product="hypothetical protein" /protein_id="RID49767.1" /db_xref="Phytozome:Brara.H00545" /translation="MGTTRWCRSVKLIVAVMDVIHGEELLVERDKPRQSRGTKHLWKK IKVFLWNALQDALPVGEQFAVRNIPLSIRCSRCNEEETVSHLLFTCPYSRKVWKLAPL ATSIDVDRVKNTSAGMKIIRRIPSLPLVGLGPGTLTASICWNLWIVRNQLTFQKRDFS PEETLSKAIREAREWSLAQISPINPKLRPPSINQDPPPSTDVPCMYTDAAWNASIGCA GLGWIIDDTMSSSSFYSATAIFVKSPLFAETLAMRRAMTSAIGKGITSLLILSDSQIL IKLLNTRGRKLEIAGLLNDIYLLSNAFNAIQFKFIPTEYNDRADSVAKQAFYIMS" gene 6438209..6440307 /locus_tag="BRARA_H00546" /db_xref="Phytozome:Brara.H00546" mRNA join(6438209..6438710,6438790..6439021,6439101..6439294, 6439363..6439499,6439569..6440307) /locus_tag="BRARA_H00546" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00546" CDS join(6438365..6438710,6438790..6439021,6439101..6439294, 6439363..6439499,6439569..6440132) /locus_tag="BRARA_H00546" /codon_start=1 /product="hypothetical protein" /protein_id="RID49768.1" /db_xref="Phytozome:Brara.H00546" /translation="MVGALESDQSFAMAEKFDILSEGFDPTAVAPEPLPLPVTNGTGA DQEEDNLKKTKVVTNGGGEREMVLGRNVHTTSLAVTEPESNDEFTGDKEAYMASVLAR YRKTLVERTKYHLGYPYNLDFDYGALGQLQHFSINNLGDPFIESNYGVHSRPFEVGVL DWFARLWEIERDDYWGYITNCGTEGNLHGILVGREVFPDGILYASSESHYSVFKAARM YRMECEKVDTLISGEIDCDDFRRKLLANKDKPAILNVNIGTTVKGAVDDLDLVIKTLE ECGFSHDRFYIHCDGALFGLMMPFVKRAPKVTFNKPIGSVSVSGHKFVGCPMPCGVQI TRMKHIKVLSNNVEYLASRDATIMGSRNGHAPLFLWYTLNRKGYKGFQKEVQKCLRNA HYLKDRLREAGISAMLNELSSTVVFERPKEEEFVRRWQLACQGDIAHVVVMPSVTVEK LDHFLKDLVEHRLVWYEDGSKPPCLVKDVGINNCICPAHK" gene complement(<6440490..6442190) /locus_tag="BRARA_H00547" /db_xref="Phytozome:Brara.H00547" mRNA complement(join(<6440490..6440671,6440752..6440836, 6441314..6441446,6441529..6442190)) /locus_tag="BRARA_H00547" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00547" CDS complement(join(6440490..6440671,6440752..6440836, 6441314..6441446,6441529..6441920)) /locus_tag="BRARA_H00547" /codon_start=1 /product="hypothetical protein" /protein_id="RID49769.1" /db_xref="Phytozome:Brara.H00547" /translation="MWISRPSISSTLQPSLMSVDSPPEETSSNGAPQIPLPPGRHVRS FSVDSDSDFFDDLTATEDNQFARATSPGERKGHHRSNSMDGATSSGSFNMEAILAAVN CKDGGKKNMGMASDRLAELALLDPKRAKRILANRQSAARSKERKVRYTGELERKVQTL QNEATTLSAQVTLLQRGTSDLTTENKHLKMRLQALQQQAELRDALNEALREELNRLKM AAGEIPQGKGNSYNCTQFLSQFGNNKNQQMSTNGQPSFLDFTKRG" gene <6446470..>6447082 /locus_tag="BRARA_H00548" /db_xref="Phytozome:Brara.H00548" mRNA join(<6446470..6446612,6446719..6446906,6447036..>6447082) /locus_tag="BRARA_H00548" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00548" CDS join(6446470..6446612,6446719..6446906,6447036..6447082) /locus_tag="BRARA_H00548" /codon_start=1 /product="hypothetical protein" /protein_id="RID49770.1" /db_xref="Phytozome:Brara.H00548" /translation="MECADHGEGLQVLHYEEHQKYEPHYDYFVDDFNTKNEGQRMATM LMYLADVEEGGETVFPAANMNFSFVPWYNELSACGKKGLSIKPRMGDALLFWSMRPDA TLDPSNLHGGCPVIRGNKWSSTR" gene <6449223..>6450553 /locus_tag="BRARA_H00549" /db_xref="Phytozome:Brara.H00549" mRNA join(<6449223..6449369,6449940..6450061,6450145..>6450553) /locus_tag="BRARA_H00549" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00549" CDS join(6449223..6449369,6449940..6450061,6450145..6450553) /locus_tag="BRARA_H00549" /codon_start=1 /product="hypothetical protein" /protein_id="RID49771.1" /db_xref="Phytozome:Brara.H00549" /translation="MAKVFFSDLKSGRCSSVVEARLLRFWEARNVKRGGEYDFFLSKP SSLQMLDVIGIDETQFSGDLYEFCCKYADDDGNTVIVAVVDGDYLRWSFGDVLDIVPI DDSVTKLTARYEVCGQKGFFTVRKTCDTRTELIGGVDVYMAVCLKHYINNQIVIKDIC VYDLLTPVWRHLLLRSNYDLLWNELSIYYSEILFFESALLVCYFLVLQVFFFVKGFHF FEWYDYL" gene complement(6470660..6472532) /locus_tag="BRARA_H00550" /db_xref="Phytozome:Brara.H00550" mRNA complement(join(6470660..6470992,6471078..6471111, 6471212..6471337,6471459..6471563,6471658..6472030, 6472342..6472532)) /locus_tag="BRARA_H00550" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00550" mRNA complement(join(6470660..6470992,6471078..6471111, 6471212..6471337,6471459..6471563,6471658..6472030, 6472253..6472303)) /locus_tag="BRARA_H00550" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00550" CDS complement(join(6470981..6470992,6471078..6471111, 6471212..6471337,6471459..6471550)) /locus_tag="BRARA_H00550" /codon_start=1 /product="hypothetical protein" /protein_id="RID49772.1" /db_xref="Phytozome:Brara.H00550" /translation="MGSFQDLCLMLRPTIMLLLESKLNEPLLLTWPWPPGIQMRRNLW QDGLLGTHFPIPLRWNFTYQFQVEDQIGSLTAKWYTQYHKENT" CDS complement(join(6470981..6470992,6471078..6471111, 6471212..6471337,6471459..6471550)) /locus_tag="BRARA_H00550" /codon_start=1 /product="hypothetical protein" /protein_id="RID49773.1" /db_xref="Phytozome:Brara.H00550" /translation="MGSFQDLCLMLRPTIMLLLESKLNEPLLLTWPWPPGIQMRRNLW QDGLLGTHFPIPLRWNFTYQFQVEDQIGSLTAKWYTQYHKENT" gene complement(6472732..6474601) /locus_tag="BRARA_H00551" /db_xref="Phytozome:Brara.H00551" mRNA complement(join(6472732..6473132,6473226..6473352, 6473452..6473527,6473751..6474326,6474457..6474601)) /locus_tag="BRARA_H00551" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00551" CDS complement(join(6472972..6473132,6473226..6473352, 6473452..6473527,6473751..6474316)) /locus_tag="BRARA_H00551" /codon_start=1 /product="hypothetical protein" /protein_id="RID49774.1" /db_xref="Phytozome:Brara.H00551" /translation="MEGSGSLSNAGNFGSIGRQSQASQKNRENSISPPSNMHHHSASL NNLLIDEQPAWLDDLLSEPASPKINKGHRRSASDTSAYLNSAFMPFREDDLLNSHFSG PSGIVQNINRHDDLWQPNSYDNHGKLGWEFSNKNGTNVQTHVSWGAVNKAGTSASKSA ETQVSKMKEGSFTKPDGPGSKTDSKRIKHQNAHRARLRRLEYISDLERTIQVLQAQGC EMSSAIHYLDQQLVMLSMENRALKQRLDSLAEIQKLKHVEQQFLEREIGNLKFRKHQQ QPQQNQKQMQQNRYDYRPPATQEPESQFAALAI" gene 6487763..6489662 /locus_tag="BRARA_H00552" /db_xref="Phytozome:Brara.H00552" mRNA join(6487763..6487946,6488151..6488243,6488305..6488479, 6488564..6488655,6488723..6488830,6488913..6489662) /locus_tag="BRARA_H00552" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00552" CDS join(6487851..6487946,6488151..6488243,6488305..6488479, 6488564..6488655,6488723..6488830,6488913..6489074) /locus_tag="BRARA_H00552" /codon_start=1 /product="hypothetical protein" /protein_id="RID49775.1" /db_xref="Phytozome:Brara.H00552" /translation="MDEKLATSASKIPKRASIKSQPDFDYSIRKQKLRESCFRRVREE RTRLLWKLRHSDCESSDQKEIINSAFQDIVSDELKKIEDSHDILWEYEGPEDAYEGDS EEILLEMQHMFYNDLISETGSYAQAETWDEEEDEYLATLVSQNMLLNTEQEPNQIWCP ICKQGEVMENHRHIYCSMCEMQLIKGEEVNLNILQERLAEVHAEHFERGCRLKPKFSV QSLYNLKALYITCEACSAFEVVV" gene 6496356..6497679 /locus_tag="BRARA_H00553" /db_xref="Phytozome:Brara.H00553" mRNA join(6496356..6496560,6497047..6497679) /locus_tag="BRARA_H00553" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00553" CDS join(6496393..6496560,6497047..6497538) /locus_tag="BRARA_H00553" /codon_start=1 /product="hypothetical protein" /protein_id="RID49776.1" /db_xref="Phytozome:Brara.H00553" /translation="MATTASPMASQLRLSFSSATNARRLAVPKGISGAPFGVSPTKKT FSFTVRALQSDKPTFQVVQPINGDPFIGSLETPVTSSPLIAWYLSNLPAYRTAVNPLL RGVEVGLAHGFLLVGPFVKAGPLRNTAYAGSAGSLAAAGLVVILSMCLTIYGISSFKE GEPSIAPSLTLTGRKKQPDQLQTAEGWAKFTGGFFFGGISGVTWAYFLLYVLDLPYYV K" gene 6498870..6499756 /locus_tag="BRARA_H00554" /db_xref="Phytozome:Brara.H00554" mRNA 6498870..6499756 /locus_tag="BRARA_H00554" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00554" CDS 6499162..6499536 /locus_tag="BRARA_H00554" /codon_start=1 /product="hypothetical protein" /protein_id="RID49777.1" /db_xref="Phytozome:Brara.H00554" /translation="MDDKRPCYIFDDEDVLGYLLEVEKNQRRTILHVELIESLSQNQS NEMFSKDDEIISDARANDDMVGLKELTTIPHIQEDENEKGDEVGTERDGMEKQLKHQL YISSGMTVLTWFCTKNLKLSMK" gene <6500517..>6501480 /locus_tag="BRARA_H00555" /db_xref="Phytozome:Brara.H00555" mRNA join(<6500517..6500539,6500930..6501275,6501433..>6501480) /locus_tag="BRARA_H00555" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00555" CDS join(6500517..6500539,6500930..6501275,6501433..>6501480) /locus_tag="BRARA_H00555" /codon_start=1 /product="hypothetical protein" /protein_id="RID49778.1" /db_xref="Phytozome:Brara.H00555" /translation="MFHKSNSNACSGSFSTSFVASLSASSSAICSGSFSPSFVASLSA STWLSFSCSFSPSSVASLSASSSAICLGSLSPSFVASLSASTWISFSGSFSPSFVASL SASYSAICSGSVSPSIVASLSIASSTSNTSYTYTSDT" assembly_gap 6530147..6540146 /estimated_length=unknown /gap_type="between scaffolds" assembly_gap 6593767..6603766 /estimated_length=unknown /gap_type="between scaffolds" assembly_gap 6653285..6663284 /estimated_length=unknown /gap_type="between scaffolds" assembly_gap 6688752..6698751 /estimated_length=unknown /gap_type="between scaffolds" gene 6712219..6715344 /locus_tag="BRARA_H00556" /db_xref="Phytozome:Brara.H00556" mRNA join(6712219..6712496,6712657..6712763,6712848..6713042, 6713139..6713218,6713305..6713403,6713519..6713677, 6713793..6714003,6714093..6714239,6714324..6714410, 6714502..6714623,6714710..6714767,6714842..6715344) /locus_tag="BRARA_H00556" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00556" CDS join(6712357..6712496,6712657..6712763,6712848..6713042, 6713139..6713218,6713305..6713403,6713519..6713677, 6713793..6714003,6714093..6714239,6714324..6714410, 6714502..6714623,6714710..6714748) /locus_tag="BRARA_H00556" /codon_start=1 /product="hypothetical protein" /protein_id="RID49779.1" /db_xref="Phytozome:Brara.H00556" /translation="MKDRSAKRKVVVRHLPPSLSEPDLLSQIDSRFGDSYSWFSFRPG KSNYKTQKHSRAYFGFKSPEDVYEFAAFFNGHVFVNEKGAQFKAIVEYAPSQRVPKPC DKNDPREGSITKDPEYLEFLKLIAQPVENLPSAEVQLERREAEQSGASKPAPIVTPLM EFIRQKRATVIGSQGSLDVRRGGRRSRAVSANKPSSRPSKRISEKKKYVEKDNSKSVR SSKQDNSSTASVMDSSLPGISLIMESGKKKILLLKKDRDTPVNSPPQPEQQMETNLSS TSRQNQKIDDVGGRLIKGMLVRKEPRPSQSSTLVQPEPRVEPSEAENYKRPPRAGKDY HVSGTNTEKQERRPRNRDRPDRVVWAPLRRSDGSNNCEDQPLPSAANNGEVKQRTLLQ RSGEVVNSSDGHSLENGSGKYSSRRVGSRNRKEDGFAVTGEGKSSRRGGGGDPTSYEK QKWIQKSSSGT" gene 6729855..6731956 /locus_tag="BRARA_H00557" /db_xref="Phytozome:Brara.H00557" mRNA join(6729855..6730233,6730850..6731092,6731174..6731317, 6731410..6731544,6731616..6731956) /locus_tag="BRARA_H00557" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00557" CDS join(6729988..6730233,6730850..6731092,6731174..6731317, 6731410..6731544,6731616..6731888) /locus_tag="BRARA_H00557" /codon_start=1 /product="hypothetical protein" /protein_id="RID49780.1" /db_xref="Phytozome:Brara.H00557" /translation="MGNKIISMMKKDRKDGSKSMRMNRSQRKLLAEEEMLHRRALSMA IRQTQLSQRFDGSMSKRVGSTSTRKQRTLSDPFSNAKQVPEFSLEGLTVKKFILVHGE GFGAWCWYKIVASLEESGLSPITVDLTGSGFNMTDTNTVSTLEEYSKPLIEYLENLPE EEKVILVGHSTGGASISYALERFPEKISKAIFLCATMVSDGQRPFDVFSEELGSAERF MKESQFLIYGNGKDKPPTGFMFEKQHMKGLYFNQSPNKDIALAMISMRPVPLGPMMQK VSLTAERYGKGRRFYVQTLDDLALSPDVQEKLVRENSPEGVFKIKGSDHSPFFSKPQS LHKILLEIAQIP" gene complement(6732010..6733044) /locus_tag="BRARA_H00558" /db_xref="Phytozome:Brara.H00558" mRNA complement(join(6732010..6732249,6732553..6733044)) /locus_tag="BRARA_H00558" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00558" CDS complement(join(6732135..6732249,6732553..6732953)) /locus_tag="BRARA_H00558" /codon_start=1 /product="hypothetical protein" /protein_id="RID49781.1" /db_xref="Phytozome:Brara.H00558" /translation="MSLASPIQCIRILNPSSSSSTTSSSFRFSTIKPCVFVIRCSQAE GPLRRPSAPPTLREPSPPQKPVPPVPSSSPPPSPPLQKTVAVDGKGVVTVEFQRQKAK ELQEYFKQKKLEAAGQGPFFGFQPKNEISNGRWAMFGFAVGMLTEYATGSDLVDQVKI LLSNFGIIDLE" gene complement(<6745190..>6746929) /locus_tag="BRARA_H00559" /db_xref="Phytozome:Brara.H00559" mRNA complement(join(<6745190..6745404,6745504..6745784, 6745863..6746161,6746231..6746531,6746646..>6746929)) /locus_tag="BRARA_H00559" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00559" CDS complement(join(6745190..6745404,6745504..6745784, 6745863..6746161,6746231..6746531,6746646..6746929)) /locus_tag="BRARA_H00559" /codon_start=1 /product="hypothetical protein" /protein_id="RID49782.1" /db_xref="Phytozome:Brara.H00559" /translation="MEKKLLLITVSIITNLVFTIHILYRNSTTWNPTWTSRAAIEAED AASVSCSGHGRAYVDGIGVLGGKQPSCECNNCYTGKDCSILLSDCPVNANSGDPLFLE PFWMRQAESSAALVSGWHRMSYIYQDGTYMSEALETVIRKLHSVVGNAVTDNRFVIFG SGSTQLLAAAVHALSLTNSSSSGPARLLASVPYYAMYKEQAEFFDSVHLKFEGDAFAW KNSERNDNTTQVIEVVTSPNNPDGKLKRAVLDGPNVKTIHDYAYYWPYFSPITVPADE DLSLFSLSKTTGHAGSRFGWGLVKDKTVYENMKRYITLSSMGVSRTTQLHVLQLLNVV VRDGGDNIFHFGHETLKKRWETLNKVLSLSTRFSLQKIKPEYCNYFKKVRDFTPSYAW VKCERPGDANCYEIFREAKITGRDGKVFGSEESFVRLSLIRSQDDFDHLIDMLKKLVL HEGVEAHSI" gene 6775391..6777764 /locus_tag="BRARA_H00560" /db_xref="Phytozome:Brara.H00560" mRNA join(6775391..6775679,6775750..6776027,6776104..6776370, 6776455..6777022,6777249..6777764) /locus_tag="BRARA_H00560" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00560" CDS join(6775505..6775679,6775750..6776027,6776104..6776370, 6776455..6777022,6777249..6777592) /locus_tag="BRARA_H00560" /codon_start=1 /product="hypothetical protein" /protein_id="RID49783.1" /db_xref="Phytozome:Brara.H00560" /translation="MADSCLRGGKFSAPGFRFHPTDEELVMYYLKRKICKRKLRVNAI GVVDVYKLDPEELPGQSVLKTGDRQWFYFTPRSRKYPNAARSGRCTATGYWKATGKDR VISYNSRSVGLKKTLVFYRGRAPNGQRTDWVMHEYTMDEDELGRCKKAKEYYALYKLF KKSGAGPKNGEEYGAPFQEEEWADDDDNDNGDDIDVPVVRCEDNVSLFEPVNVQLDDL EEFLSGIPFAPGVPQTFTSIPQVNREEELQSTLVNNSAREFLKPQETGDFLPNDMPSS YESIDVTSAPNNSVLVFEKEDYIEMDDFLTPEHGASSVENHAQLLNPGEYGDFNDFDQ LFHDVSMSLDMEPILQGTSADPLSNFPDNTSDQEHPFPYQQFQDQTPEKQLNNIMDPS PNLNQFSDDLWLEDDNQAFLFDQPQSVISGAFASPSSGVVPGSTNLVNGQDQEGGGTS PFSSALWAFMDSIPSTPASACEGPINRTFVRMSSFTRIKFSGIANGTPVTTAVMAKKR SRNRWFLLLSIVGALCAIFWVLMATVQPSGRPVFS" gene complement(6778165..6780649) /locus_tag="BRARA_H00561" /db_xref="Phytozome:Brara.H00561" mRNA complement(join(6778165..6779476,6779589..6779729, 6779816..6779987,6780076..6780179,6780261..6780393, 6780485..6780649)) /locus_tag="BRARA_H00561" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00561" CDS complement(join(6778376..6779476,6779589..6779729, 6779816..6779987,6780076..6780179,6780261..6780393, 6780485..6780534)) /locus_tag="BRARA_H00561" /codon_start=1 /product="hypothetical protein" /protein_id="RID49784.1" /db_xref="Phytozome:Brara.H00561" /translation="MSMLDSFFNKGFKAAKCKTLLKLTIPRIKLIRNRREAQIKQMRR EIAKLLETGQEATARIRVEHIIREEKMMAAQEIIELFCELIAVRLPIIEAQRECPLDL KEAISSVCFAAPRCSDLTELQQVQLLFVSKYGKEFVAAASELKPDSGVNRKLVELLSV RAPSPETKLKLLKEIAQEHQLDWDPASTETDLFKSHEDLLDGPKQFGGGSKVPLKEEQ DKGSHLTMLSLSRPEEQRQSDSDSEYEELDFPEVPNVLLRPTPAATYEHTSLDLPFVS ESPGQDNLPSKRDEHTAKASSTVFEGQTESDPVKQNSYSPPPVGAVGSFSTNESDAPK KISDLDLQDVLTAAQAAADSAERAAAAARSAASLAQLRINELTKKTPEQSSPESPTEN PFYSSPPQQTMEKAQFDHQNSSASSYGDHTEFQKEESSSLFSHQTERLPSMEKPQFDH QNSSASSYGDLTDFQLGDYSSPFNQQQAGRLPSFEKTRFDHQNSSVSSYGDITELQRP ENSSFDRLTPDQDHQQMRLPSREDDPYYSYPNLFTSQNLDRSPGSRSFSDTSKPAHDS " gene complement(6784168..6786013) /locus_tag="BRARA_H00562" /db_xref="Phytozome:Brara.H00562" mRNA complement(join(6784168..6784845,6784932..6785188, 6785338..6786013)) /locus_tag="BRARA_H00562" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00562" CDS complement(join(6784294..6784845,6784932..6785188, 6785338..6785968)) /locus_tag="BRARA_H00562" /codon_start=1 /product="hypothetical protein" /protein_id="RID49785.1" /db_xref="Phytozome:Brara.H00562" /translation="MVPKITSMAVAKPLCSVSCLLLSSSLVFVVSLLFFFSNSLTSDQ NPRISLDTFHTGINVFVAELPRSLNYGLLDKYWSSSPDSRIPSDPDHPTRKTNSPKPE KYPPYPENPLIKQYSAEYWIMGDLETPPEKRTGSFAKRVFTESEADVVFVPFFATLSA EMELGNGKGSSFRKKSGNEDYQRQRQVLDFLKNTQAWKRSGGRDHVFVLTDPVAMWHV REEIALSILLVVDFGGWFRQDSKSFNGTSFPERIEHTQVSVIKDVIVPYTHLLPRLDL SQNKRRHSLLYFKGAKHRHRGGLIREKLWDLLVDEHGIVMEEGFPNATGREQSIRGMR SSEFCLHPAGDTPTSCRLFDAIQSLCIPVIVSDNIELPFEGMIDYSEFSVFVSVSDAL RPKWLANHLRNFSERDKETFRSRMAKVQSVFVYDNGKADGIGPIQPDGAVNHIWKKVH QKVPMVKEAVFRERRKPAATSVPLRCQCI" gene 6799517..6802201 /locus_tag="BRARA_H00563" /db_xref="Phytozome:Brara.H00563" mRNA 6799517..6802201 /locus_tag="BRARA_H00563" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00563" CDS 6799553..6802039 /locus_tag="BRARA_H00563" /codon_start=1 /product="hypothetical protein" /protein_id="RID49786.1" /db_xref="Phytozome:Brara.H00563" /translation="MAKSPYPNLILLLLLLLRFPFSSSTIPLGSVLYASGSNQSWSSP NFTFSVSFLPSSSPNSFLAAVSFAGKIPTWSTGSVDSRGSLRLSSSGSLRLTNGSNAT VWDSGTDGLGVVLASIEDSGNLRLLDNQSNPVWSSFDHPTDTIVQLQNFTAGKVLRSG NYSFQLERRGNLTLKWNNSTTYWSQGLNSSFSSNLSSPSLALQTNGVVLMFDSTLSGG TETIYSDDYGEGSNTFRFLKLDDDGNLRIYSSASRNSGPLSPHWSALANQCLVYGYCG NFGICSYNDTTPVCLCPSRNFDLVDVSDRRKGCKRKVELNDCSGNATMLDLGNTRLVT DSSDPNSEVFFAGSSPCRSNCLVSTTCLASVSLSDGSGNCWQKQRGSFFTGYQSSSVP STSYVKVCGPVLPNQPLVGTKGDGNNSKVHLWIVAVALVGGLLGLALVEVGLWWCCCR NNPKFGTLSSHYTLLEYASGAPVQFSYRELQRCTKSFKEKLGAGGFGTVYRGVLSNKT VVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMRNGSLD SFLFTTDSGKLLTWAYRFNIALGTAKGITYLHEECRDCIVHCDIKPENILVDDNYTAK VSDFGLAKLLNPKDNRHKNMSSVRGTRGYLAPEWLANLPITSKSDVYSYGMVLLEIVS GKRNFDVSEKTNHKKFSIWAYEEFEKGNTEAILDKRLGEDQTVDMEQVKRMVQTSFWC TQEQPLQRPTMGKVVQMIEGITAINKPPRPKTLNEVSFSGSSGSTSHASILVASGPTR SSSSSATRSFQTMGITSSSTKIGQGSLLGS" gene 6805169..6806977 /locus_tag="BRARA_H00564" /db_xref="Phytozome:Brara.H00564" mRNA join(6805169..6805414,6805505..6805610,6806207..6806355, 6806659..6806977) /locus_tag="BRARA_H00564" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00564" CDS join(6805274..6805414,6805505..6805610,6806207..6806355, 6806659..6806754) /locus_tag="BRARA_H00564" /codon_start=1 /product="hypothetical protein" /protein_id="RID49787.1" /db_xref="Phytozome:Brara.H00564" /translation="MEEIRSAMEQQMDLMADLVQKLSGELRTGLQPAYANFIGFFHAI DWKEPWIMGLMAFHALFLMVTLLSRRHLNFHMFLFLFALGGVYFAESLNRLLRKNWKS FSTQNYFDPHGVFLSVLWSGPLLVIAMIILINTLFSLCYLIVKWKRAELRHRARLART KQE" gene <6810504..>6813165 /locus_tag="BRARA_H00565" /db_xref="Phytozome:Brara.H00565" mRNA join(6810504..6810858,6810951..6811140,6811227..6811736, 6811807..6811933,6812243..6812556,6812640..6813165) /locus_tag="BRARA_H00565" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00565" mRNA join(<6810585..6810858,6810932..6811140,6811227..6811736, 6811807..6811933,6812243..6812556,6812640..>6812909) /locus_tag="BRARA_H00565" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00565" CDS join(6810585..6810858,6810932..6811140,6811227..6811736, 6811807..6811933,6812243..6812556,6812640..6812909) /locus_tag="BRARA_H00565" /codon_start=1 /product="hypothetical protein" /protein_id="RID49789.1" /db_xref="Phytozome:Brara.H00565" /translation="MAEKQVREKTIPVFTVLKNDAILKNIFVVNSRDFSSPERNGNAE DEVEQTLIVGRHPDCDILLTHPSISKYHLQIRSLPSRQKLFVTDLSSVDGTWVRDEKV EADACVEVEEGDVIRIGASTRLYRLHWIPLSHAYDLDNPFVSSTLMEQDQEDNRIFEA ESQADTASGDDGDGHLDVTSQVLSEDEDTFIDTREFLLPLASPSARDSSADTQKLQSD EDSQSLAQCVVEAAPEKLSKQHILEDDEWCVRGDGGHVMSEMESSEDVQVSPEAKSKI PSKEQRPDVHCMSSKSKVNHEPVAPKKKSENSSSQSQSYIDASSTASARNNVSSSNGK NKMKWTIVLDTSSLLHKESRKPLHLLQGLKGTHLVVPRIVLRELNETKRTWNLLLRRR AEIASSALDWIEECKVNTKWWIQLQSLSEETKATAAPTPPVTPQSNGSTSDDQVLECA LLYRNLNIYENLVLLSNDVTLKIKAMAEHVICETPHEFYESLKNPLSERFMWPESLPR GRTWSHFDHVVVREKYNNRTCFPYRKKPTLNGGREESAAAAAKGLKLILLLNSHYGHI H" CDS join(6811099..6811140,6811227..6811736,6811807..6811933, 6812243..6812556,6812640..6812909) /locus_tag="BRARA_H00565" /codon_start=1 /product="hypothetical protein" /protein_id="RID49788.1" /db_xref="Phytozome:Brara.H00565" /translation="MEQDQEDNRIFEAESQADTASGDDGDGHLDVTSQVLSEDEDTFI DTREFLLPLASPSARDSSADTQKLQSDEDSQSLAQCVVEAAPEKLSKQHILEDDEWCV RGDGGHVMSEMESSEDVQVSPEAKSKIPSKEQRPDVHCMSSKSKVNHEPVAPKKKSEN SSSQSQSYIDASSTASARNNVSSSNGKNKMKWTIVLDTSSLLHKESRKPLHLLQGLKG THLVVPRIVLRELNETKRTWNLLLRRRAEIASSALDWIEECKVNTKWWIQLQSLSEET KATAAPTPPVTPQSNGSTSDDQVLECALLYRNLNIYENLVLLSNDVTLKIKAMAEHVI CETPHEFYESLKNPLSERFMWPESLPRGRTWSHFDHVVVREKYNNRTCFPYRKKPTLN GGREESAAAAAKGLKLILLLNSHYGHIH" gene 6816890..6819304 /locus_tag="BRARA_H00566" /db_xref="Phytozome:Brara.H00566" mRNA join(6816890..6817116,6817389..6817447,6817634..6819304) /locus_tag="BRARA_H00566" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00566" CDS 6817660..6819126 /locus_tag="BRARA_H00566" /codon_start=1 /product="hypothetical protein" /protein_id="RID49790.1" /db_xref="Phytozome:Brara.H00566" /translation="MEPEDDLCQNNWGGSSSSSSSKRREQVCFTSQHKWEDASILDYE MGMEEEPAFQENSNNNNGGQVNVDFLQGVRAQAWDPRTMLSNLSFMEEKIHELQDLVH LMVARNGQLQGRQEQLVAQQQQLITTDLTSIIIQLISTAGSLLPSVKHHNMSTAPGPF TGSALFPYPREANNLASQTLNNNTCEFDLPKPIVVEEEHEMKDEDDVEEGENLLPGSY EILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPNKEAVPG SEPMLIKRYSCPFPGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSFTCSRCHTKKFS VIADLKTHEKHCGKNKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLEETKPSAQKGS SACENSNNNNTGMVGFNLGSATNAIEEVAQPGFMDGKIRFEDSFSPLSFDTCNFGGFH EFPRPMFDDSESSFQMLISSACGFSPRNGGESVSNTSL" gene 6837549..6840790 /locus_tag="BRARA_H00567" /db_xref="Phytozome:Brara.H00567" mRNA join(6837549..6840280,6840347..6840790) /locus_tag="BRARA_H00567" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00567" CDS join(6837703..6840280,6840347..6840642) /locus_tag="BRARA_H00567" /codon_start=1 /product="hypothetical protein" /protein_id="RID49791.1" /db_xref="Phytozome:Brara.H00567" /translation="MKKIQVPPETGKSMFCLFFFSIFLSCFHVCFPELSSTQTNTMIE LSRFLNIPDWNLPGSERNPCSWEGVDCSRPDSSSVISLSLSGFDLSNSSFLPLVCQIQ TLESLDVSNNSLSSIPDGFMTNCGTLVGLKQLNFSGNQVSSFPGFRNFSKLEVLDISH NRLSGNIGDYGFDALVQLKNLSLNFNKLAGSVPTDLAKSLVNLEVSDNLLSGSIPEGI EEYQELRLIDLSDNLLNGSLPSSLGNLSKLETLLLSNNNLKGAIPESLSRIQTLSRFA ANRNGFTGAIPSGITKHVENLDLSFNLVNGSIPDDLLSQPKLVSVDLSSNLLVGWLPQ SISHSLVRLRLGSNKLTGSVSSGAFEWLQNLTYLEMDNNGLTGHIPPAFGNLVSLNLL NLEMNQFTGILPPSLGNITSLQVLKLQQNKLTGEIPDEMGSLSKLLILDLSWNSLSGS IPSSLSNLMKLTNMKLQGNKLSGAIPDSIGDLSSLLELQLGQNQLRGRIPIMPPKLQI SLNLSTNMFQGPIPSTLSQLGLLEVLDLSNNKFSGEIPGFLASLISLRQLVLSNNQLI GNIPKFTHNVSISVTGNPAITTVDHGVVIPGRPSGKKSQLVLIVTLVAAIGVTALVAV IIILKLYRRFKGVNNMQVDLDEEEGSTVLPEVIHGKLLTSNSLHKSNINFAKAVEAVA HPENALFQTMFWSYYRVLMPSGSSYFIKKLNTRERLFQQASSEQLEQDLEMLGKLHHS NVMVPLAYVLYSQGVLLFYEFAHTHSLHDVLHNHPSDVVDWTSRYSIAVGIAQGICYL HGSSSNARDPVLVPDLSSKKIMLKSLTEPLVADIELFKVIDPSRSNSSLSAVAGTIGY IPPEYAYTMRVTMAGNVYSFGVILLELLTGKPAVSEGRELSKWVQSQQEQRNNILDLR VSKSSPVATKQMIRALSIALACINISPGARPKMKTVLRMLTRL" gene complement(<6841501..>6843658) /locus_tag="BRARA_H00568" /db_xref="Phytozome:Brara.H00568" mRNA complement(join(<6841501..6841713,6841962..6842087, 6842166..6842293,6842378..6842637,6842730..6842913, 6843025..6843291,6843355..>6843658)) /locus_tag="BRARA_H00568" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00568" CDS complement(join(6841501..6841713,6841962..6842087, 6842166..6842293,6842378..6842637,6842730..6842913, 6843025..6843291,6843355..6843658)) /locus_tag="BRARA_H00568" /codon_start=1 /product="hypothetical protein" /protein_id="RID49792.1" /db_xref="Phytozome:Brara.H00568" /translation="MLVRNLRALVPRGFLSSPHSYNVRSNPVTMAGRVVRNLFAILNV GNNDRSTVKKKQNGRMKTKETCPVGLLKNSVVKVFFASRDYSRTRPWETHTERCYGTG LLYVGFAISGKRILTNAHVVEVLNEHTSVHVKKRGSTIKYKAKVQKIAHECDLAILEI DSQEFWKGMNPLELGGIPPLKKAVFVLGYSGNRIWITKGLVSSFETKKYLHSDTELLR IQIDATIKDGNSGGPVILENKVVGVAYEGSQIQSSLIPTPIVKRFITGDEESDQQAVF CSLGLSYQSIKNAQIRNHFKMSSEMTGILINKINLWSGAYGILKKNDIILAIDGVPIA NDATVPFWENERISFNYLISMKKPGETSMIKVLRRGKEHEYNINLKPVKPHVRVQQYY KRPSYYIFGGFVFVPNHNLSESEEQHVIISEVEKVNKVKVKNLRHLFELIEENGTQNL SIDLEDDKVLVLNYESAKKADSIILKRHNITSAISNDLTGPSN" gene complement(6849920..6853775) /locus_tag="BRARA_H00569" /db_xref="Phytozome:Brara.H00569" mRNA complement(join(6849920..6850439,6851003..6851181, 6851290..6851546,6851745..6851956,6852316..6852671, 6852749..6853279,6853345..6853775)) /locus_tag="BRARA_H00569" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00569" CDS complement(join(6850353..6850439,6851003..6851181, 6851290..6851546,6851745..6851956,6852316..6852671, 6852749..6853279,6853345..6853615)) /locus_tag="BRARA_H00569" /codon_start=1 /product="hypothetical protein" /protein_id="RID49793.1" /db_xref="Phytozome:Brara.H00569" /translation="MPQYRQSGNDRFSVRGGGGGSASDHVAIGIRNGAGGQGKANRWK RSVVRPERIRRGGVGSVVFVLCLVLVVTVLAYYYLSGFANNGYDDKGLDSFDGDFLTN VTRIDPGKVLEFGHGSVVHGRDSRYWDKDDRRRDDDYNEDEVEHNKPVQVNKGLDLKG VGLYNEAGRNELNKYEAEYQASLDMDPDDAIDSHDSQGDDEYVGHDDDDNDNEEPRKE KPTEALHSMSKEHDDGDTSKRSSLVRKVGKSGKTSRSDTKRRGRGRRSSGGACEMKLL NSSQPIVEPLNTRKSARFSLQYVENEEKPDGEELWDPRFAGHQSLQERQDSFLAEDKK IHCGFVKAPKGSPTTGFDLTEDDTNYISRCHIAVISCIFGNSDRLRPPANKMISRLSR KNVCFIVFVDEITMQTLSAEGNAPDRAGFIGLWKLVVVKNLPYADMRRVGKIPKLLPH RLFPSARYSIWLDSKLRLQLDPLLILEYFLWRKGHEYAISNHYDRHCLWEEVAQNKKL NKYNHTVIDQQFEFYKADGLTRFNASDPFKLLPSNVPEGSFIVRAHTPMSNLFSCLWF NEVERFTPRDQLSFAYTYQKLRRMNPDKPFNLHMFKDCERRKIAKLFRHRSEEKRNLI QAALQQ" gene complement(6862792..6864845) /locus_tag="BRARA_H00570" /db_xref="Phytozome:Brara.H00570" mRNA complement(join(6862792..6863404,6863487..6863608, 6863691..6863818,6864189..6864245,6864515..6864845)) /locus_tag="BRARA_H00570" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00570" CDS complement(join(6863080..6863404,6863487..6863608, 6863691..6863818,6864189..6864245,6864515..6864530)) /locus_tag="BRARA_H00570" /codon_start=1 /product="hypothetical protein" /protein_id="RID49794.1" /db_xref="Phytozome:Brara.H00570" /translation="MEPAEDGNNFAKELYGESLELSKPETSLGLSNLENEGGSFYGSS DEEPSEAYSMNKDTENMREKFHMLGYRDGISAGQEAAAQEGYNVGYKESVLAGYKFGI VRGVSSALAFLPDDLREKLIDEQETRDKFRNLHGSVHDLSTEAALKLFYGALTTKQGE EKSGEKEPCSSLCSGSGCVSGSRSVSATNDLGSYVTELSSLLDNSPKIKVRLEDT" gene complement(<6866526..6867072) /locus_tag="BRARA_H00571" /db_xref="Phytozome:Brara.H00571" mRNA complement(join(<6866526..6866660,6866925..6867072)) /locus_tag="BRARA_H00571" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00571" CDS complement(join(6866526..6866660,6866925..6867059)) /locus_tag="BRARA_H00571" /codon_start=1 /product="hypothetical protein" /protein_id="RID49795.1" /db_xref="Phytozome:Brara.H00571" /translation="MGLDEDDFVFHGTPIEREDEIASRKKKAVAGASGTLKTLPAWKQ EVTDEEGRRRFHGAFTGGYSAGYYNTVGSKEGKNFENICFIFYYH" gene <6878418..>6878750 /locus_tag="BRARA_H00572" /db_xref="Phytozome:Brara.H00572" mRNA <6878418..>6878750 /locus_tag="BRARA_H00572" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00572" CDS 6878418..6878750 /locus_tag="BRARA_H00572" /codon_start=1 /product="hypothetical protein" /protein_id="RID49796.1" /db_xref="Phytozome:Brara.H00572" /translation="MSYSSTNIHFDYNGHYEKSGDDCEWIPSDGRLYTIFFKTSSLDE ITYSFLKERICKKKTIDPCTKRLNLSYIPLLVEPKRQSYILDDEDVLVYLTFVIVKQY KTRLFHSF" gene 6904183..6907182 /locus_tag="BRARA_H00573" /db_xref="Phytozome:Brara.H00573" mRNA join(6904183..6904350,6904435..6904754,6905259..6905894, 6906596..6907182) /locus_tag="BRARA_H00573" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00573" CDS join(6904212..6904350,6904435..6904754,6905259..6905894, 6906596..6907021) /locus_tag="BRARA_H00573" /codon_start=1 /product="hypothetical protein" /protein_id="RID49797.1" /db_xref="Phytozome:Brara.H00573" /translation="MAIGGLALDVAGGSGNIEAKITAAVVMTCVVAASGGLIFGYDIG ISGGVTTMKPFLENFFPTVLKNATEAKPDVYCVYDSQLLTAFTSSLYVAGLVASLVAS RLTAAYGRRTTMILGGLTFLFGAVISGLAANIAMLLSGRILLGFGVGFTNQAAPVYLS EVAPPQWRGAFNTGFQFFIGVGVVSANFLNYLTADHHSGWRISLGLAALPAVIMTFGC LFISDTPSSLLARGNHDHARVSLFKIRGAKNSADVEAELAELVKSSQLAIEARAEPFK TILERQYRPQLVVAVVIPCFQQLTGITINAFYAPVLFRSVGFGSAPALVATLILGLVN LGSILISTMVIDRFGRRFLFIVGGIQMFVCQVAVAALLAATVGDAGDGEMTKGYAVTV VVLLCIYAAGFGWSWGPLSWLVPSEIFPLKLRPAGQSLSVAVNFAVTFLIAQTFLATL CHFKFGAFLFYGGWILTMTVFVVMFLPETKGIHVDSMYQVWEKHWFWQRFTKSTST" gene 6917604..6918984 /locus_tag="BRARA_H00574" /db_xref="Phytozome:Brara.H00574" mRNA join(6917604..6917680,6917785..6917833,6917908..6918157, 6918225..6918377,6918442..6918547,6918626..6918740, 6918828..6918984) /locus_tag="BRARA_H00574" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00574" CDS join(6917786..6917833,6917908..6918157,6918225..6918377, 6918442..6918547,6918626..6918740,6918828..6918866) /locus_tag="BRARA_H00574" /codon_start=1 /product="hypothetical protein" /protein_id="RID49798.1" /db_xref="Phytozome:Brara.H00574" /translation="MADEADALSQFGISKEETDKLVSEVIRFLLFKSHQNSGCPIKRE DLTQIVTKNYRQRNLAASVINEAKTKLSSVFGYDLKELQRSRTCSNAQTRLPQSQSNA DSKSYVLVSQLPIEVFRKHVVEETTSPMTGFTFAVLAVVQLAGGKIPEETLWHHLRRM GLHESDEHNPVFGSNKQALETLVQQRFLHKEKVSGPEGNTLFYDLAERALDAQVSERV KDYISQILKNDVSVVELD" gene complement(6919005..6920649) /locus_tag="BRARA_H00575" /db_xref="Phytozome:Brara.H00575" mRNA complement(join(6919005..6919648,6919798..6919922, 6919992..6920179,6920253..6920649)) /locus_tag="BRARA_H00575" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00575" CDS complement(join(6919209..6919648,6919798..6919922, 6919992..6920179,6920253..6920453)) /locus_tag="BRARA_H00575" /codon_start=1 /product="hypothetical protein" /protein_id="RID49799.1" /db_xref="Phytozome:Brara.H00575" /translation="MEKGIFLLVLVILFGNLMFTAVDGVSVRVPICAMRSVKDYALGF REQSCPFYGDELADRPHFVVVTEGDERWLQTALDMIHKNKCDYVALLFYASWCPFSRS FTPSFDLISSLYSSIPHFAIKESSVKPSTLSKYGVHGFPTLLLMNSTMRARYRGTRML DSLVAFYRDVTGIETLDKTSLEKSLLVPHLGNENNTEPENCPFTWARSPENMLRQETY LTLATVFVLLRLLYFVFPALVVFAKFTWPRIAQNMRLESLQEHTVGFLSRLCMYLKEP CKRSNLQGGAMNARAWASKSLATVSIGESSSSNSRASSASQ" gene complement(6924381..6926539) /locus_tag="BRARA_H00576" /db_xref="Phytozome:Brara.H00576" mRNA complement(join(6924381..6924643,6924824..6924892, 6925203..6925268,6925376..6925510,6925922..6926539)) /locus_tag="BRARA_H00576" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00576" CDS complement(join(6924590..6924643,6924824..6924892, 6925203..6925268,6925376..6925510,6925922..6926332)) /locus_tag="BRARA_H00576" /codon_start=1 /product="hypothetical protein" /protein_id="RID49800.1" /db_xref="Phytozome:Brara.H00576" /translation="MQSSHGDGGEGGSGEGNRGGLSRIRSAPATWIETLLVDDEEDDL KPNLCLTELLTGSSARDSFEFPSSAEQGLYQGGGFHRQNSTPADFLSGSGAGTDGYFS NFGIPANYDYFPPNVDISPASKRSREIEAQFSSQMKEEQMNGGVSGMMDINMDKLLED SVPCRVRAKRGCATHPRSIAERVRRTRISDRIRRLQELVPNMDKQTNTADMLEEAVEY VKALQSKIQELTEQQRRCICKPKEEQ" gene complement(6930106..6932994) /locus_tag="BRARA_H00577" /db_xref="Phytozome:Brara.H00577" mRNA complement(join(6930106..6930573,6930712..6931079, 6931155..6931345,6931436..6931867,6931959..6932363, 6932760..6932994)) /locus_tag="BRARA_H00577" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00577" CDS complement(join(6930275..6930573,6930712..6931079, 6931155..6931345,6931436..6931867,6931959..6932315)) /locus_tag="BRARA_H00577" /codon_start=1 /product="hypothetical protein" /protein_id="RID49801.1" /db_xref="Phytozome:Brara.H00577" /translation="MEPLGLRAVGSHCSLSEMDDLDLTRALDKPRLKIERKRSFDERS MSELSAGYGRHDGSPRGRSVLDTPLSSARNCFEPHPMMAEAWEALRRSMVFFRGHPVG TLAAVDNTTDEVLNYDQVFVRDFVPSALAFLMNGESDIVKHFLLKTLQLQDSEKRVDR FKLGKGVMPASFKVRHDPVREMDHLVADFGETAIGRVAPVDSGFWWIILLRAYTKSTG DLTLSETPECQKGIKLILSLCLAEGFDTFPTLLCADGCSMIDRRMGVYGYPIEIQALF YMALRCALSMLKPDGDGRQCIEQITKRLHALSFHMRNYFWLDYQQLNDIYRYKTEEYS HTAVNKFNVMPDSIPDWVFDFMPLRGGYFVGNVGPAHMDFRWFALGNCVSILSSLATP DQSMAIMDLLEHRWAELVGEMPLKICYPCLEGHEWRIITGCDPKNTRWSYHNGGSWPV LLWQLTAACIKTGRPQIARRAVDLIESRLHRDCWPEYYDGKLGRYVGKQARKYQTWSI AGYLVAKMLLEDPSHIGMISLEEDKLMKPVIKRSASWPQL" gene 6952764..6953887 /locus_tag="BRARA_H00578" /db_xref="Phytozome:Brara.H00578" mRNA join(6952764..6953035,6953125..6953177,6953587..6953887) /locus_tag="BRARA_H00578" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00578" CDS join(6952953..6953035,6953125..6953177,6953587..6953633) /locus_tag="BRARA_H00578" /codon_start=1 /product="hypothetical protein" /protein_id="RID49802.1" /db_xref="Phytozome:Brara.H00578" /translation="MKAKNMIRQKEEEERMQKRLESIWLCCRGRGNCFISRLMCYITP TGRHESQDSSKQRLIT" gene 6975630..6976939 /locus_tag="BRARA_H00579" /db_xref="Phytozome:Brara.H00579" mRNA join(6975630..6975962,6976123..6976203,6976276..6976377, 6976460..6976534,6976689..6976939) /locus_tag="BRARA_H00579" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00579" CDS join(6975684..6975962,6976123..6976203,6976276..6976377, 6976460..6976534,6976689..6976763) /locus_tag="BRARA_H00579" /codon_start=1 /product="hypothetical protein" /protein_id="RID49803.1" /db_xref="Phytozome:Brara.H00579" /translation="MASPSATLSLCSAFSAHCSVAPPRSLSKPSLSLARPTIGFLSTS AASTSRPAFPAAPKFAESAVVAEPETTDIEAVVVSEDKPKREEIFAVVMVGGRQYIVF PGRYLYTQRLKDANVDDQIVLNKVLLVGTKTHTYIGKPVVTNATVHAVVENQGLNDKV VVFKYKPKKKYRRNIGHRQPNTRIRITGITGYEEYPASPNVAV" gene 6990418..6992206 /locus_tag="BRARA_H00580" /db_xref="Phytozome:Brara.H00580" mRNA join(6990418..6990585,6990826..6990971,6991063..6991281, 6991363..6991575,6991662..6992206) /locus_tag="BRARA_H00580" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00580" CDS join(6990510..6990585,6990826..6990971,6991063..6991281, 6991363..6991575,6991662..6991961) /locus_tag="BRARA_H00580" /codon_start=1 /product="hypothetical protein" /protein_id="RID49804.1" /db_xref="Phytozome:Brara.H00580" /translation="MATLKVSSSVPSPSEDAEQLKTAFEGWGTNEDLIISILAHRSAE QRKLIRQTYHEVFGEDLLKSLDKELSSDFERAILLWTLEPGERDALLANEATKRWTSS NQVLMEVACTRTSTQLLHARQAYHARFKKSIEEDVAHHTTGDFRKLLVSLVSSYRYEG DEVNMTLAKQEAKLIHERIKDTHYSDEEVIRILSTRSKAQINATFNRYQDDHGEEILK SLEEGDGDDKFLELLRSTIQCLTRPELYFVDVLRSAINKTGTDEGALTRIVTTRAEID LKVIGEEYQRRNSIPLEKAITKDTRGDYEKMLVALLGEDDA" assembly_gap 6998113..7008112 /estimated_length=unknown /gap_type="between scaffolds" gene 7048333..7050985 /locus_tag="BRARA_H00581" /db_xref="Phytozome:Brara.H00581" mRNA join(7048333..7048558,7048729..7048829,7049105..7049313, 7050273..7050985) /locus_tag="BRARA_H00581" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00581" CDS join(7048372..7048558,7048729..7048829,7049105..7049313, 7050273..7050804) /locus_tag="BRARA_H00581" /codon_start=1 /product="hypothetical protein" /protein_id="RID49805.1" /db_xref="Phytozome:Brara.H00581" /translation="MSKLSYNLIFFIVFLCLGLRSSAFTNLNTLSFEESLSPLFGDGN LVRSPDDLSVRLLLDKYTGSGFISSNMYQHGFYSSMIKLPADYTAGVVVAFYTSNGDV FEKTHDELDIEFLGNIKGKPWRFQTNLYGNGSTHRGREERYRLWFDPSKEFHRYSILW TPHKIIFWVDDVPIREVIRSEAMGADYPAKPMSLYATIWDASDWATSGGKYKANYKYA PFVAEFKSFSLDGCSVDPIQEVPTDCSDSVDFLESQDYFSINSRQRAAMRRFRQRFMY YSYCYDTVRYPEAPPECVIVPAEKDRFKDTGRLKFGGTEARGRRRNRRQQRPEIESDP DERRRLLK" gene complement(7052596..7055353) /locus_tag="BRARA_H00582" /db_xref="Phytozome:Brara.H00582" mRNA complement(join(7052596..7052849,7052943..7053093, 7053185..7053298,7053371..7053490,7053565..7053643, 7053719..7053829,7053929..7053990,7054064..7054165, 7054246..7054303,7054379..7054476,7054546..7054632, 7054704..7054801,7055003..7055353)) /locus_tag="BRARA_H00582" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00582" CDS complement(join(7052944..7053093,7053185..7053298, 7053371..7053490,7053565..7053643,7053719..7053829, 7053929..7053990,7054064..7054165,7054246..7054303, 7054379..7054476,7054546..7054632,7054704..7054801, 7055003..7055288)) /locus_tag="BRARA_H00582" /codon_start=1 /product="hypothetical protein" /protein_id="RID49806.1" /db_xref="Phytozome:Brara.H00582" /translation="MTLAFSSSAATVAVASATVTSSARVPTLRGFVSFRLTAKKLPLR SHGGGGVRATSELVQDKEPVVAASIPFSDAEDTTPSELNHSRTFLDARTEQDLIAGIR KEKEAGTLPPNVASGMEELYWNYKTAVLSSGASGADETVLSNMSLAFDRMLLGVEHPY TFNPYHKAIREPFDYFHFVHTYIRPLIDFKNSYVGNASIFSELEDKIRQGHNIVLISN HQSEADPAVISLLLEEQCPYIGENIKCVAGDRVITDPLCKPFSMGRNLICVYSKNHMN DDPELVDMKRKANTRSLKEMATLLRSGSQLIWIAPSGGRDRPNPSTGEWFPAPFDPSS VDNMRRLVEHSGTPGHIYPMSLLCYDIMPPPPKVEKEIGERRLVGFHGTGLSVAPEIS FSDVTADCNNPNEAKEAYSQALYKSVNEQYKTLNFAIKHRRGIEASTSTVSLSQPWNE SLSV" gene complement(<7056360..>7057206) /locus_tag="BRARA_H00583" /db_xref="Phytozome:Brara.H00583" mRNA complement(join(<7056360..7056464,7056973..7057016, 7057107..>7057206)) /locus_tag="BRARA_H00583" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00583" CDS complement(join(7056360..7056464,7056973..7057016, 7057107..>7057206)) /locus_tag="BRARA_H00583" /codon_start=1 /product="hypothetical protein" /protein_id="RID49807.1" /db_xref="Phytozome:Brara.H00583" /translation="FLEHVSYMALVGSFSFNSFLSGVLSCTGTAFLAICLWIQVNKEN KKFKDLAPKRAFADFVLSNLVFHLVIMNFFDRYISYLK" gene 7062321..7065664 /locus_tag="BRARA_H00584" /db_xref="Phytozome:Brara.H00584" mRNA join(7062321..7062540,7062773..7062813,7062951..7064122, 7064198..7064445,7064764..7064843,7064937..7065095, 7065185..7065664) /locus_tag="BRARA_H00584" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00584" mRNA join(7062321..7062540,7062773..7062813,7062951..7064122, 7064198..7064445,7064764..7064843,7064937..7065095, 7065185..7065280,7065383..7065664) /locus_tag="BRARA_H00584" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00584" CDS join(7063062..7064122,7064198..7064445,7064764..7064843, 7064937..7065095,7065185..7065280,7065383..7065430) /locus_tag="BRARA_H00584" /codon_start=1 /product="hypothetical protein" /protein_id="RID49808.1" /db_xref="Phytozome:Brara.H00584" /translation="MEPRILKVLDSRCEDGFSKKRKRAAYVNGVSCGKLQDELPPNPQ TQVPDKRKKLEGEHKVSSYETRSGKSLVRYYSYFKKTGIAKRVMIYEEGEWSDLPHHI ICAIRNELDEKRAAIEFEWCGHHFLLDFLHMHRLELETGAKTPLAWIDIGGKCFFPEI YESAERNDCCNLNCVENSTQCAPNQIKLHLEIDVNGGESPMLNLEECSDESGDRMDDV PTEDCCSRKIEAAVVNWNVTDAIAVSNIKHAGAEGLDKDAVKKMFAIGTSSLGHVAVL DVGRFSSEIAEARLGLFQKQVEITKKHRGDANVRYAWLPAKREALSSVMMQGLGGAFI RKSIYGVGIHLTAADCPYFSARYCDIDENGVRYMVLCRVIMGNMELLRGDKAQFFSGG EEYDNGVDDVENPKNYIVWNMNMNTHVFPEYVVRFKLSDPINAEGNFVAKHDNSGVTL EVGPKDLPQLDLTRPEGGPRSANSVRSSMTKPKSPWMPFPTLFAAISHKVAEKDKPFI NADFQQLREKRMTRAEFIRKLRGVVGDDLLRSTLTALENQVIPGSMRDNEGGGGL" CDS join(7063062..7064122,7064198..7064445,7064764..7064843, 7064937..7065095,7065185..7065313) /locus_tag="BRARA_H00584" /codon_start=1 /product="hypothetical protein" /protein_id="RID49809.1" /db_xref="Phytozome:Brara.H00584" /translation="MEPRILKVLDSRCEDGFSKKRKRAAYVNGVSCGKLQDELPPNPQ TQVPDKRKKLEGEHKVSSYETRSGKSLVRYYSYFKKTGIAKRVMIYEEGEWSDLPHHI ICAIRNELDEKRAAIEFEWCGHHFLLDFLHMHRLELETGAKTPLAWIDIGGKCFFPEI YESAERNDCCNLNCVENSTQCAPNQIKLHLEIDVNGGESPMLNLEECSDESGDRMDDV PTEDCCSRKIEAAVVNWNVTDAIAVSNIKHAGAEGLDKDAVKKMFAIGTSSLGHVAVL DVGRFSSEIAEARLGLFQKQVEITKKHRGDANVRYAWLPAKREALSSVMMQGLGGAFI RKSIYGVGIHLTAADCPYFSARYCDIDENGVRYMVLCRVIMGNMELLRGDKAQFFSGG EEYDNGVDDVENPKNYIVWNMNMNTHVFPEYVVRFKLSDPINAEGNFVAKHDNSGVTL EVGPKDLPQLDLTRPEGGPRSANSVRSSMTKPKSPWMPFPTLFAAISHKVAEKDKPFI NADFQQLREKRMTRAEFIRKLRGVVGDDLLRSTLTALENQASLAGVKLSL" gene <7083504..>7084837 /locus_tag="BRARA_H00585" /db_xref="Phytozome:Brara.H00585" mRNA join(<7083504..7083793,7083888..7083995,7084428..7084569, 7084658..>7084837) /locus_tag="BRARA_H00585" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00585" CDS join(7083504..7083793,7083888..7083995,7084428..7084569, 7084658..7084837) /locus_tag="BRARA_H00585" /codon_start=1 /product="hypothetical protein" /protein_id="RID49810.1" /db_xref="Phytozome:Brara.H00585" /translation="MAPPLNPKAPEFYPRNRAQELSQKPKFLSFTTFSVKPGKLSRPK CLPPRLLKQKAWVQKNRNVLPRKPLPPPKEAELKSLFGDQTSVMIRNIPNMFGRKDLL RILNNHCRRENKVQQQIPSSYDFLYLPMDFVKHANLGYAFVNFTSSVAAERFRREYDN FSWVGFGYKKICEISEAKYQGKEEYTQHFKDSRFPCHTDDYLPVILSPPSNGFTCYSL ATLGYRVSTRGGGTGRRIHVA" gene complement(<7100931..>7107897) /locus_tag="BRARA_H00586" /db_xref="Phytozome:Brara.H00586" mRNA complement(join(<7100931..7101935,7107685..>7107897)) /locus_tag="BRARA_H00586" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00586" CDS complement(join(7100931..7101935,7107685..7107897)) /locus_tag="BRARA_H00586" /codon_start=1 /product="hypothetical protein" /protein_id="RID49811.1" /db_xref="Phytozome:Brara.H00586" /translation="MAGGNRSSTIDEAESGGGSVAMESKEIPQPQPVEVLSANAPPPF LSKTYDMVDDPATDPIVSWSSNNNSFVKHLLKTITRRKPAHVHGHGQQHSNGQNPSVS SCVEVGKFGLEEEVERLKRDKKVLMQELVRLRQQQQSTDNNLQRMVQRLQGMENRQQQ LMSFLAKAVQSPHFLSQFLQQQNQQSESSRRISDTSKKRRFKRDGIVSSKDSASPDGQ IVKYQPPMHEQAKAMLKKLMKMEPYKTGDDGFHLGDGTSTTTEMEISSNNVSGITLQE MPTASDIQSSSLTGATPENVTVAESPTPEEAIPSPDVLSLPEFASILPKKTTEMPSGN FMEPNMEDFSYFLDSDLFIDGDFPFDIDDIPSDPDLEPSVDKYASLLEDILMSSPLPD DMDVTPVDLRHRQ" gene complement(<7118798..>7119037) /locus_tag="BRARA_H00587" /db_xref="Phytozome:Brara.H00587" mRNA complement(<7118798..>7119037) /locus_tag="BRARA_H00587" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00587" CDS complement(7118798..7119037) /locus_tag="BRARA_H00587" /codon_start=1 /product="hypothetical protein" /protein_id="RID49812.1" /db_xref="Phytozome:Brara.H00587" /translation="MNSMFSAFDALFAELMVGKNLMASSFNATATTKPASPQTQTQVQ KNEKTTNKRTGLMQKTPRFALELDGLHCFETIVRS" gene complement(7128724..7132779) /locus_tag="BRARA_H00588" /db_xref="Phytozome:Brara.H00588" mRNA complement(join(7128724..7129254,7129332..7129454, 7129540..7129923,7129998..7130144,7130232..7131047, 7131323..7131648,7131742..7132779)) /locus_tag="BRARA_H00588" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00588" mRNA complement(join(7128724..7129254,7129332..7129454, 7129540..7129923,7129998..7130144,7130232..7131047, 7131323..7131651,7131742..7132779)) /locus_tag="BRARA_H00588" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00588" CDS complement(join(7128901..7129254,7129332..7129454, 7129540..7129923,7129998..7130144,7130232..7131047, 7131323..7131648,7131742..7132531)) /locus_tag="BRARA_H00588" /codon_start=1 /product="hypothetical protein" /protein_id="RID49814.1" /db_xref="Phytozome:Brara.H00588" /translation="MKGRSHHEDWVDGSWTVDCVCGVNFDDGEEMVNCDDCGVWVHTR CSRYVKGEELFTCDKCKRKKNVDDEDIEETEVAQLLVELPTKTLRMESSCTRNVPVKR PFRLWTEIPTEEKVHVQGIPGGDPAFFGGLSSVFSRELWKCTGYVPKKFNFKYREFPC WDEDECQEENGAGVLFSMSKESLIAAPASALVAMRSVDDKGTTKDLDSGEAEMKHSQS AVDKDKRLLRPLITSKRRKELFGASKERMKKKVEVADKEEDDDKKVRPASGFKPSETE GLSRDCGIAKNDKSKKAAVEESIDGGLGEGESGNTEIGVECSKEQNLSDVHANGAGKQ EEKAGHHFRIVLKSSATEDPSVPARKDVTHNEADKAEERQGTIDDTPGDNAVDSPESS QKPSTREAEEKNCDAVSGKISSRKNQVQKEIGETGAGGAVGQQTLEYNKTTQSSSLPP PDEQKPHPVDMISERTTDIKREVLVSEAEKNSLETKPGSGALEEPSKPCRPVPHTFSV YSRPKMVVSIGKSSSSSATEKSPKPSSTSRNSIPPSKQQPSDGDENANTNDEDCVSSD AIRERDGDDEKSLKEHTKSMQQSRASHSSVSKTRDSSASLKASPAARLNGGSSEASGK HSLSGTFQKNDPMQSITDEELALRLHHQLNSSPRVPRVPRMRQPGSLPLSPTATSFKR TCSSGSKDHTAFSRRKNKDASKEGLRKSRDDDRCSTRSTKSRRPPDWRTTHQDSGSRG GEEKENRKTSYSSRRVLVQPNSTTSSSSGASSSNEHNKPSPHSSPRNNGTPVHQTLPG LINEIMSKGKRMTYEELCNAVLPHWPHLRKHNGERYAYSSHSQAVLDCLRNRHEWARL VDRGPKTNSGKKKRKLDAAEDEESDENESSKGGKKQLHHSQGEEFPKGKRKARKRRRL SLQGKGIKALLKKRNEQVSEEDEEGASSSDTSEESVFCEEEEEEAPTATARQVSASSS EEAESTS" CDS complement(join(7128901..7129254,7129332..7129454, 7129540..7129923,7129998..7130144,7130232..7131047, 7131323..7131651,7131742..7132531)) /locus_tag="BRARA_H00588" /codon_start=1 /product="hypothetical protein" /protein_id="RID49813.1" /db_xref="Phytozome:Brara.H00588" /translation="MKGRSHHEDWVDGSWTVDCVCGVNFDDGEEMVNCDDCGVWVHTR CSRYVKGEELFTCDKCKRKKNVDDEDIEETEVAQLLVELPTKTLRMESSCTRNVPVKR PFRLWTEIPTEEKVHVQGIPGGDPAFFGGLSSVFSRELWKCTGYVPKKFNFKYREFPC WDEDECQEENGAGVLFSMSKESLIAAPASALVAMRSVDDKGTTKDLDSGEAEMKHSQS AVDKDKRLLRPLITSKRRKELFGASKERMKKKVEVADKEEDDDKKAVRPASGFKPSET EGLSRDCGIAKNDKSKKAAVEESIDGGLGEGESGNTEIGVECSKEQNLSDVHANGAGK QEEKAGHHFRIVLKSSATEDPSVPARKDVTHNEADKAEERQGTIDDTPGDNAVDSPES SQKPSTREAEEKNCDAVSGKISSRKNQVQKEIGETGAGGAVGQQTLEYNKTTQSSSLP PPDEQKPHPVDMISERTTDIKREVLVSEAEKNSLETKPGSGALEEPSKPCRPVPHTFS VYSRPKMVVSIGKSSSSSATEKSPKPSSTSRNSIPPSKQQPSDGDENANTNDEDCVSS DAIRERDGDDEKSLKEHTKSMQQSRASHSSVSKTRDSSASLKASPAARLNGGSSEASG KHSLSGTFQKNDPMQSITDEELALRLHHQLNSSPRVPRVPRMRQPGSLPLSPTATSFK RTCSSGSKDHTAFSRRKNKDASKEGLRKSRDDDRCSTRSTKSRRPPDWRTTHQDSGSR GGEEKENRKTSYSSRRVLVQPNSTTSSSSGASSSNEHNKPSPHSSPRNNGTPVHQTLP GLINEIMSKGKRMTYEELCNAVLPHWPHLRKHNGERYAYSSHSQAVLDCLRNRHEWAR LVDRGPKTNSGKKKRKLDAAEDEESDENESSKGGKKQLHHSQGEEFPKGKRKARKRRR LSLQGKGIKALLKKRNEQVSEEDEEGASSSDTSEESVFCEEEEEEAPTATARQVSASS SEEAESTS" gene complement(<7168005..>7168214) /locus_tag="BRARA_H00589" /db_xref="Phytozome:Brara.H00589" mRNA complement(<7168005..>7168214) /locus_tag="BRARA_H00589" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00589" CDS complement(7168005..7168214) /locus_tag="BRARA_H00589" /codon_start=1 /product="hypothetical protein" /protein_id="RID49815.1" /db_xref="Phytozome:Brara.H00589" /translation="MADWGPVVIAVILFVLLTPGLLFQIPARGRIVEFGNMQTSGASI LVHSIIFFGLITIFTIAIRLHIYTG" gene complement(<7168360..>7169868) /locus_tag="BRARA_H00590" /db_xref="Phytozome:Brara.H00590" mRNA complement(<7168360..>7169868) /locus_tag="BRARA_H00590" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00590" CDS complement(7168360..7169868) /locus_tag="BRARA_H00590" /codon_start=1 /product="hypothetical protein" /protein_id="RID49816.1" /db_xref="Phytozome:Brara.H00590" /translation="MAINIGLIEICTTFILFLVLLGFLHHKKTPKYLPTNWPVLGMLP GLLVHINRIYDAITELLEASNMTFCFKGPWLSGTDILITVDPANIRYILSSNFDNYPK GMEFKQIFEVLGDSIFNVDSELWEDMRNSSHAIFSHQDFQRFWVNTSVSKLNQGLVPI LENAVEKNIIVDLQDLFHRFLFDTSSILITGYDPGCLSIEMPKVNFSYAVDGVADGLF YRHAKPVLFWKLQYWIGVGVEKSMRRGIAVFDEMLQKIISAKREEIKIHGIRDSEGEA MDVLTYYMTIDTTKYKHLKPSNDKFIRDTILGVLIAAKDTTSSALSWFFWLLSKNPEA MIKIRQEINNKMPKFNPADLDKLVYLDGAVCETLRLYPSVPFNHKSPAKPDVLPTGHR VDDNWKIVISIYALGRMKSFWGDDAEDFRPERWISDSGMLRHEPSHKFLPFSAGPRSC LGKKLTFLQIKTVAVKIIQKYEIEVVEGQKSEPVPSVLLRMQHGLNVSVTRM" gene 7216776..>7225209 /locus_tag="BRARA_H00591" /db_xref="Phytozome:Brara.H00591" mRNA join(7216776..7216902,7217408..7217511,7217596..7217658, 7217767..7217809,7217975..7218232,7218309..7218400, 7218507..7218681,7218955..7220050,7220152..7220357, 7220468..7220705,7220806..7221142,7221499..7221690, 7221821..7221913,7222061..7222267,7222609..7222815, 7222939..7223422,7223498..7223697,7223766..7223876, 7223979..7224035,7224121..>7225209) /locus_tag="BRARA_H00591" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00591" CDS join(7216863..7216902,7217408..7217511,7217596..7217658, 7217767..7217809,7217975..7218232,7218309..7218400, 7218507..7218681,7218955..7220050,7220152..7220357, 7220468..7220705,7220806..7221142,7221499..7221690, 7221821..7221913,7222061..7222267,7222609..7222815, 7222939..7223422,7223498..7223697,7223766..7223876, 7223979..7224035,7224121..>7225209) /locus_tag="BRARA_H00591" /codon_start=1 /product="hypothetical protein" /protein_id="RID49817.1" /db_xref="Phytozome:Brara.H00591" /translation="MAESNGSHNETSSDDEEEYEDSNRGFNLGFIFGNVDNSGDLDAD YLDEDAKEHLSALADKLGSSLPDINLLAKSDRTSDPAEQDYDRKAEDAVDYEDIDEQY DGPEVQVVSEEDHLLPKKEYFSTPVALGSLSSRASVFDDDDYDEEEEEQEEEHAPVEK ALETEESEPVVAKEDKTLEYEKEVRILESEDHMDTNGAQEEEVDEFLEGALDEKGATP LPTLYVEDGMVILQFSEIFAIHEPPQKRDKRENRYASYNRDKYKSMDISELVEDDEEI LLKSHGGIDDDANQADLIQLDVPFPISEGLQLVKSSTIGGITPESREFSKLGRDSCIM GELLKQDVIEDDSSLCQSQLSMEVFPLDQHEWEHQILWENSPETSDNSGDSFESRLES LGMLVQGTNSETEQESLNVMNSGEQAQAEKNMLVSFSANLLESFGSRGSHSGSESTNN RRHHPQLLRLESKSDEDHLSENDITGLENMKRPESKSRFSKLALQKRDMGDEAWLDRI IWESDKELSRSQLIFDLQDEQMVFEILDNEETKNLQLHAGAMIVSRSSKSNDEILQEG CESNSGWQFNISNDKFYMNGKSSQQLQANTNKSGVHSLRVFHSAPAIKLQTMKNRLSN KDIANFHRPKAVWYPHDNELAIKQQGKLPSRGGSMKIVIKSLGGKGSKLHVGIEESVS SLKAKASKKLDFKETEAVKMFYMGKELEDENSLAVQNVQPNSLVHLVRTKVHLWPLAQ KLPGENKALRPPGAFKRKADLSTKDGHVFLLEYCEERPLMLSNAGMGANLCTYYQKSS SEDQRGNLLRNQSDTLGNVMILEPGDKSPFLGEIQPGCCQSSVETNMYKAPVFPHRLQ STDYLLVRSPKGKLSLRRIDKIAVVGQQEPRMEVMSPGSKNLQTYLVNRMLVYVYREF MKSHSIAADELSFLFSNLTDAVVRRNMKLCAGLKRDKNGQPCWYKRPDFQVPPENELK KLVAPEHVCSYESMLAGLYRLKHLGITRFTLPASISAALTQLPDEAIALAAASHIERE LQITPWNLSSNFVACTNQDRANIERLEITGVGDPSGRGLGFSFVKAAPKAPAAAGHMK KKAAAGRGAPTVTGTDADLRRLSMEAAREVLIKFNVPDEIIAKQTRWHRIAMIRKLSS EQAASGVKVDPTTIGKYARGQRMSFLQMQQQAREKCQEIWDRQLSSLSAYDGDENESE NEANSDLDSFAGDLENLLDAEEGGEGEESNISKNDKLDGVKGLKMRRRPSQVETDEEI EDEATEYAELCRLLMQDEDQKKKKKKIKAVGEGMGSFPPPRPSIGFQIAEPVRKATFI DKNPIATQPDASFLVNESTVKDTRNWQVDSPILKTPKGKQVKDNGNSLGPLKKVKILN ENLKVFKEKKSARENFVCGACGQYGHMRTNKHCPRYRENTDPQPEGLDMEKSVGKPST SELSGQAKIKPIKSSKAAPKTAIKVTVDATPKGDSSTSKTGGLPLKFRYGIPAGAMSD TPGSEAPGSSEQAAVSDIDTGTKTTSKISKLKISSKAKPKESKVESDRPLPTYSRERG ESESHKPCVSGNQAASSRHTISILQPSLSMDRDQAESRRPHLVIRPPTEREQPQKKLV IKRSKEITDHDMSSLEESPRFESRKTKRMAELTGFQRQQSFRVAENSLERRPKEDRVW WDEEEISRRRDYDDMNVTEEPNEIAEIRRYEEVLRSEREEEERQKAKNKKKKKKMQPE LVEGYLEDYPPRRNNNDRRGRNVRSRYVSDFEMNGADY" gene <7237027..>7239045 /locus_tag="BRARA_H00592" /db_xref="Phytozome:Brara.H00592" mRNA <7237027..>7239045 /locus_tag="BRARA_H00592" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00592" CDS 7237027..7239045 /locus_tag="BRARA_H00592" /codon_start=1 /product="hypothetical protein" /protein_id="RID49818.1" /db_xref="Phytozome:Brara.H00592" /translation="MKIGSSFEIRGIWEVYEEGFRGFVVLRRRSDRNHGGKGVFGFKS FGLDWIFQIGKKFGVYEEGFPRWMVMELWRWDRKVNQWYQGPDKEGEATVIIQRDMYN CSRYVPSDLGNWIDLGNYLNLSWIWFRLIIVMMAASMWRYGIWIDWNIYLNFGKFIKS LVNYFSGTVESWEIWAIISEDIPLQIGDSTRLIMIWNSSILLEWKFDIDCVFAPIYTQ GIGMCFYHSQYSPTFFLVSYYICIWSVMSQGQWLVKSGGKKVQAPSLGLKISIPKFDN SNLIAEYSKTVIGRCMNPSKQVMKALLYHLPKIWNVDERVAGADLGMGRFQFDFDQEE DIVEVMKNKPFHFDNWMLSIVRWEPVVEENYPSKITFWVRIMGVPLHFWAAPTFKSIG EALGVVRGDEDVDIDEGKVRVIIDAFKPLVFSVTAEFHSGEESIIALRYEKLHGFCRI CSSLRHDQTKCPTVMKSTEEEKAAQPPRTDQNQDPSMLNYKGAVESQGRVSGGAVDGN NRRNGQQVPRNNEYKGKGIAYDNNSYDGFKKPGFKRSYGDQDGAQSRNMRQSGRLSHT EAPAGYAMATSGLSKLDSQDVGQHLDHQQKLMMDAFRSGKSGERNQSSVSKARKALTF EGNSSGMALKGLEGEDDTIMEEAETKGLEKTTLIPDLEAAVVITLMSQ" gene 7242174..7243368 /locus_tag="BRARA_H00593" /db_xref="Phytozome:Brara.H00593" mRNA 7242174..7243368 /locus_tag="BRARA_H00593" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00593" CDS 7242624..7243238 /locus_tag="BRARA_H00593" /codon_start=1 /product="hypothetical protein" /protein_id="RID49819.1" /db_xref="Phytozome:Brara.H00593" /translation="MWTDQHRSLYESCVTMDWNTAGREFFTFRESRRGILFGNVFIRG QEDKTRSMVATFGFWEVRNGNVYSIGWGFPPVKPADVKMVIQREFSQDFEYFDYKDIL KTVAGVCSLFDYEELMVCSRLSWYHRLRIWFDTMGQIRRQYYRVIFDFYITVDAKGFS SYGEIQIFNHNSRVIRMWKWIIGLIDSVWRGPETAFIPKVTFIG" gene complement(7248064..7248756) /locus_tag="BRARA_H00594" /db_xref="Phytozome:Brara.H00594" mRNA complement(join(7248064..7248194,7248277..7248358, 7248438..7248756)) /locus_tag="BRARA_H00594" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00594" CDS complement(join(7248141..7248194,7248277..7248358, 7248438..7248688)) /locus_tag="BRARA_H00594" /codon_start=1 /product="hypothetical protein" /protein_id="RID49820.1" /db_xref="Phytozome:Brara.H00594" /translation="MSSAQMDPHDKMRSRDLSKVARGQQAPRPNHAPGTVSPPPQNEA TFRAKRGEDGGEETDSARDEQFPVTKETRHCFNRYMQYHRCLEGKGRDANDCNSLRDY VRTMCPETLVEKWEEQRNAGTLPSSI" gene <7271799..>7274507 /locus_tag="BRARA_H00595" /db_xref="Phytozome:Brara.H00595" mRNA join(<7271799..7272191,7272367..7272606,7272760..7273074, 7273172..7273650,7273722..7273812,7273884..7274165, 7274211..>7274507) /locus_tag="BRARA_H00595" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00595" CDS join(7271799..7272191,7272367..7272606,7272760..7273074, 7273172..7273650,7273722..7273812,7273884..7274165, 7274211..7274507) /locus_tag="BRARA_H00595" /codon_start=1 /product="hypothetical protein" /protein_id="RID49821.1" /db_xref="Phytozome:Brara.H00595" /translation="MPFWEEMGVSAAHGPMLSELRSLLPHIKNWPFEKRRMIGLLCVL SVRILGISPGSRIPLEAAKRVLDAEAFERYPWGRVGFSSLVDSIKIVSFGGKKKYTLR GCVHALLIWLYESIHGIGHEYGNHIEGNQVRVRHLVVKAQADIYPQWDDHKVDDDLHN MILVILHEQLDDKHWSLKAANEPVANKKKRNLVSEEDDCMKKKNPAKRTTTQASGSSL NSDGDDGFKHALMEAVKTLTATVQNMDTVVAEKVLTAVDTKIDTKINARVGQTEQVLG NQISILQEKIAKIREQMQTTAPKNDADVLNQEDEVNSNDPSWMVQDKTPYDADAVVQC VVRKKANKSEVKLTSPILLDTDGVKVAGKNQVKKPAGCLKKVKKEKNVVPQLRDSTGT WSDSEEKKKYCNLDATLDQLAASILDGPLQKRKPQLTKTQVYPYVGNSTVKRIISGVS EAHYDPLAKVAETKFKKLMDYLRSIGDKDVETPFYMKLIKPRNVWETDDFGWLTDSHM ASAMLMFHKRYMRNPSPYSSDRIAFLEHWFVKMWVRDYKKYDPQTWEFSETYKKVFNG NYPSDFSNNRKWVKDVDRLFFCHLINGNHWVALETVVPSNTDLQEECHSFTKMIPLLL NEMVPGRKKSSQQFRISRLKSVPKNEDPGDCGVYALKYIECRAIGCGFEGLSDQCIPA MRIKLAAEIYDEVRGL" gene complement(7278648..7281199) /locus_tag="BRARA_H00596" /db_xref="Phytozome:Brara.H00596" mRNA complement(join(7278648..7279169,7280177..7280392, 7280514..7280705,7280997..7281199)) /locus_tag="BRARA_H00596" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00596" CDS complement(join(7278921..7279169,7280177..7280392, 7280514..7280705,7280997..7280999)) /locus_tag="BRARA_H00596" /codon_start=1 /product="hypothetical protein" /protein_id="RID49822.1" /db_xref="Phytozome:Brara.H00596" /translation="MGAEEENSKSWPPWLKPLLQEKFFVQCKLHADSHKSECNMYCLD CTNGPLCSFCLSFHKDHHAIQIRRSSYHDVIRVSEIQKFLDITGVQTYVINSAKVVFL NERPQPRPGKGVVNTCQVCYRSLVDSFRFCSLGCKISGTSKNFDKKRKDWTNNLSDSD DSYSSTTTSNGRLKKNSDMINNNSFTPSTPPLSAVNGRIVKRRKGIPHRAPFGGLIIE Y" gene <7300360..>7301025 /locus_tag="BRARA_H00597" /db_xref="Phytozome:Brara.H00597" mRNA <7300360..>7301025 /locus_tag="BRARA_H00597" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00597" CDS 7300360..7301025 /locus_tag="BRARA_H00597" /codon_start=1 /product="hypothetical protein" /protein_id="RID49823.1" /db_xref="Phytozome:Brara.H00597" /translation="MNQRPRNDYMRVNRTGKYTRKSIFDQVHTNANSIPQQPRQPQLK TQVYIIDKADFKSIVQQLTSNQSCEFLPQNLPNRQKSRPEPTSPVPLNATGVHVSSHM GYIESLLEESSDSSGDNFQQSFDENQSHIQPQVYSNGDNFQQTFDEYQSHMQPMSYSN GPKPVMTTTLPTPWFNGSPQQIDSAYSLQSTRVEYPQPLTPNFTFSSVTQPGFFDLDL GRF" gene complement(7317053..7322028) /locus_tag="BRARA_H00598" /db_xref="Phytozome:Brara.H00598" mRNA complement(join(7317053..7317577,7317663..7317840, 7317918..7318151,7318275..7318550,7318818..7319094, 7319217..7319515,7319619..7319807,7320343..7320552, 7320636..7320699,7320855..7321001,7321098..7321428, 7321805..7322028)) /locus_tag="BRARA_H00598" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00598" CDS complement(join(7317435..7317577,7317663..7317840, 7317918..7318151,7318275..7318550,7318818..7319094, 7319217..7319515,7319619..7319807,7320343..7320552, 7320636..7320699,7320855..7321001,7321098..7321378)) /locus_tag="BRARA_H00598" /codon_start=1 /product="hypothetical protein" /protein_id="RID49824.1" /db_xref="Phytozome:Brara.H00598" /translation="MEIAALLTSAGINISICIVLLSLYSVLRKQPANYCVYFGRRLVC GGARRYDPFWYERFVPSPSWLVKAWETSEDELLTAAGLDAVVFLRMVIFSIRIFFITA VVCIAFVLPVNYYGQPRMHKEIHLESSEVFTIENLKEGSKWLWVHCLALYIITSAACL LLYFEYRTIAKMRLGHITSSAPKPSQFTVLIRAIPWHPEQSYSDTLSKYFINYYSSSY LSHQMVYHNGIIQRLLHDAERVCLSLKHVSPEISCKPSLTPCNFCGGPTATNSFHILS NEGDSVKGMELGELTVTTTEQERPAAFVFFKTRYDALVVSEVLQSSNPMLWVSDLAPE PHDVYWRNLNIPYRQLWIRRIATLVGAVAFMFVFLIPVTFIQGLTQLEQLSHAFPFLR GILKKKFINQVITGYLPSVILILFFYAVPPLMMYFSALEGCISRSIRKNSACIKILYF TIWNVFFVNILSGSVIRQLNVFSSVRDIPAQLARAVPTQAGFFTTYCFTSGWASLACE IMQPMALIWNLAAKAISTNKDESYETLRFPYHTEIPRLLLFGLLGFTNSVIAPLILPF LLIYFFLAYLIYKNQILNVYITKYESGGKYWPIFHNTTIFSLILTQIIALGFFGLKLS TVASGFTIPLILLTLLFSEYCRHRFAPIFHKHPAQVLIDMDRADEMTGRMEELHKKLH SVYSQIPLHLQKSSSNGESSTPFSNLELPDPEKLKPEEGDAIAKELWGFQGNESGQEH DTKSCPSASSPEHLTPKMIELHKLN" assembly_gap 7363920..7373919 /estimated_length=unknown /gap_type="between scaffolds" gene complement(7381024..7383153) /locus_tag="BRARA_H00599" /db_xref="Phytozome:Brara.H00599" mRNA complement(join(7381024..7381149,7381374..7381439, 7381631..7382002,7382297..7382770,7382844..7383100)) /locus_tag="BRARA_H00599" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00599" mRNA complement(join(7381486..7382002,7382297..7382770, 7382844..7383153)) /locus_tag="BRARA_H00599" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00599" CDS complement(join(7381820..7382002,7382297..7382770, 7382844..7383083)) /locus_tag="BRARA_H00599" /codon_start=1 /product="hypothetical protein" /protein_id="RID49825.1" /db_xref="Phytozome:Brara.H00599" /translation="MAISITHFFSFSSSSLYPSPYSSGKSRIIRLRNLHLHGRPPSSP SFSKLNRGVQFIYLSPITVNSQRSHVSSLSAHQASPTNGEETNSKHPPDVKTLIKAYK QALFNGDQLTLTEIELFFCEIEKEKNRFDHKVLSLSMKIASEKDTKIRLQADFDNTRK KLDKDRLSTESNAKVQVMKSLLPLIDSFESARQQIRPDTETEKKIDTSYQGIYRQFVE VLRHLRVKAIPTVGKPFDPLLHEAISREESEAVKVGMITEELTRGFFLGDRVLRPAKV KVSLGPIKKKTVSPADGTTPSA" CDS complement(join(7381820..7382002,7382297..7382770, 7382844..7383083)) /locus_tag="BRARA_H00599" /codon_start=1 /product="hypothetical protein" /protein_id="RID49826.1" /db_xref="Phytozome:Brara.H00599" /translation="MAISITHFFSFSSSSLYPSPYSSGKSRIIRLRNLHLHGRPPSSP SFSKLNRGVQFIYLSPITVNSQRSHVSSLSAHQASPTNGEETNSKHPPDVKTLIKAYK QALFNGDQLTLTEIELFFCEIEKEKNRFDHKVLSLSMKIASEKDTKIRLQADFDNTRK KLDKDRLSTESNAKVQVMKSLLPLIDSFESARQQIRPDTETEKKIDTSYQGIYRQFVE VLRHLRVKAIPTVGKPFDPLLHEAISREESEAVKVGMITEELTRGFFLGDRVLRPAKV KVSLGPIKKKTVSPADGTTPSA" gene <7384386..>7387164 /locus_tag="BRARA_H00600" /db_xref="Phytozome:Brara.H00600" mRNA join(<7384386..7385390,7385471..7385770,7385884..7386670, 7386755..7386990,7387081..>7387164) /locus_tag="BRARA_H00600" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00600" CDS join(7384386..7385390,7385471..7385770,7385884..7386670, 7386755..7386990,7387081..7387164) /locus_tag="BRARA_H00600" /codon_start=1 /product="hypothetical protein" /protein_id="RID49827.1" /db_xref="Phytozome:Brara.H00600" /translation="MNLFDTFNSEGVNQQDTQVGDNVYPFTTPNQTPYPNLNPFRPSS SSSFKIPSFSLFDEDELLQDSPLEPSNVNTSPHHIHQANPHRVSVQDETLSCGDEMLE EMFKEDPDNIPDTWLLDEEDATEPENSQPPDPIPERGYDKDFWEPLIKEHLGGSHAAE VMAGIHVPKTAPETYQSYTGNAFDHPVRVSGVDDTDWKKDLDFMGVHQREGPPVQCKT PNTRVRRADPNTLGPDVHTQSPYTDTSSDSPYTPLYGVHSGLTSTHTRNNLLSPRTPR STHGRPREPIVQTPPPRETRPLSDISDKEFDIPPLFDDLSFENDDVPDLNLEDTDGEP HANAHHNTRCDWRILAKELTTCGYYTIKKAQLDHTCPLDQRDQYKTKATSKVIAHIYR SRYGEPNEGPKAPQLQQLVLEDLRVSASYMKCHRAKGQAVDTASRFLYAFLSFGASIQ GFRRVRPVLIIDGTHLTGKYKGVLLTASGQDANFQGFPLAFAVIDGENDNLWLWFLTK VERIIADSTSLTIISNRHASILKAKALVFPKAHFAACIVHIMRNVVSRFKSKGLAKLV CAAAFSFKRKDFDDNFGKIQQTNAACAKYLEDIGTAKWTRTYFPGNRYNLLTSNVAEQ LNKVLKKSKPSLVVEQFMFIQRMLTRWFSARRTKSSKHTGLVTPEVASVIDTHIRLTK GSKIANITDWSSQVKGHALLVADSIGLPHVQLVGECFKTLTWKDTYCGVIYPEAPFGE FTIPPAILSLNLQPPNTRRPSGRPKDKRIPSTSEIQAPKKTKINRCGRCGHSGHNRTN CDVPI" gene 7418783..7421495 /locus_tag="BRARA_H00601" /db_xref="Phytozome:Brara.H00601" mRNA join(7418783..7419911,7420207..7420612,7420706..7420807, 7420897..7421495) /locus_tag="BRARA_H00601" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00601" CDS join(7418874..7419911,7420207..7420612,7420706..7420807, 7420897..7421123) /locus_tag="BRARA_H00601" /codon_start=1 /product="hypothetical protein" /protein_id="RID49828.1" /db_xref="Phytozome:Brara.H00601" /translation="MDLSGSDSNVSLGIGCSHASSSSMAPTPRIPIADDSINLQVDPS FRSSPVSFPPIPLQLLEQKVAAVEEPKKDGDEKEDEHFRILGHHMCLKRQRDCPLLLA QSKHPRRTIAGDTDLESRRAAVRAWGDQSLHLADPDIHEIMEKEKQRQVKGIELIASE NFVCRAVMEALGSHLTNKYSEGMPGARYYTGNQYIDQIENLCIARALAAFGLEPDKWG VNVQPYSCTSANFAVYTALLLPGERIMGLDSPSGGHMSHGYCTPAGKKISATSIFFES FPYKVNPQTGYIDYDKLEDKALDYRPKILICGGSSYPRDWDFPRVRQIADKCGAVLMC DMAHISGLVATKECSNPFDHCDIVTSTTHKGLRGPRGGIIFYRRGPKIRKQAHHSSHC DSSTHYDLEEKINFAVFPSLQGGPHNNHIAALAIALKQVATPEYKAYVQQMKKNAQAL ASALLRRKCRLVTGGTDNHLLLWDLTPLGLTGKVYEKVCEMCHITLNKTAIFGDNGTI SPGGVRIGTPAMTTRGCVESDFETIADFLMKAAQITSALQREHGKSHKEFVKSLCSNK DICDLRNRVEAFALQYEMPAASQI" gene complement(7430734..7432628) /locus_tag="BRARA_H00602" /db_xref="Phytozome:Brara.H00602" mRNA complement(join(7430734..7431064,7431139..7431326, 7431406..7431650,7431728..7432228,7432399..7432628)) /locus_tag="BRARA_H00602" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00602" CDS complement(join(7430905..7431064,7431139..7431326, 7431406..7431650,7431728..7432228,7432399..7432519)) /locus_tag="BRARA_H00602" /codon_start=1 /product="hypothetical protein" /protein_id="RID49829.1" /db_xref="Phytozome:Brara.H00602" /translation="MVSVSCSCAVSSFRSVEYGAPSFCAGSHVRLTTGALQSGQGYFL NLKNKRPPRFAVSAVVDDKSVVTPKEKKDESVVDDQKMVKVCDKLIEVFMVDKPSPSD WRRLLAFSKEWDSIRPHFYKRCRDRADSEDDNPEMKHKVLRLARKLKEVDEDIQRHNE LLNVIKTTSPADIGQLVSRRRKDFTNEFFDHLHIVAESYYDNPDEQTALASLGKLCIA AVQAYDTSTESIDALNAAEMKLQDIINSPSLDAACRKIDSLAEKNQLDSALVLMITKA WSAAKDSNMMKDEVKDILYHLYVTARGNLQRLMPKEVRILKYLLSIEDPEEQMSALQD AFTPGEELEGTDVDYLYTTPEHLQSLIKTVLEAYHFSREGSLVKEAKDLMHPELIAKM EQLKQVVEKKYM" gene complement(7439344..7441116) /locus_tag="BRARA_H00603" /db_xref="Phytozome:Brara.H00603" mRNA complement(join(7439344..7439744,7439860..7441035)) /locus_tag="BRARA_H00603" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00603" mRNA complement(join(7439369..7439744,7439860..7440889, 7441046..7441116)) /locus_tag="BRARA_H00603" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00603" CDS complement(join(7439645..7439744,7439860..7440821)) /locus_tag="BRARA_H00603" /codon_start=1 /product="hypothetical protein" /protein_id="RID49830.1" /db_xref="Phytozome:Brara.H00603" /translation="MRDVKVKANSKSTEEEENEMSSSLSVKSTPEIEKKYVHRVYDAI APHFSSTRFAKWPKVAAFLESLPSGSVVLDAGCGNGKYLGLNPSCFFIGCDISNPLIK ICSDKGQEVLVADAVNLPYREEFGDAAISIAVLHHLSTENRRKSAIEELVRVVKPGGF VLITVWAAEQEDKSLLTKWTPLSPKYVEEWVGPGSPMNSPRVRNNPFFGLESIPETDV SAKDQKAGLESIPETEESTREPKATQDSLGEQKDKSSVEVLLEALKMNQQEYFVPWHL PYHRAEVSGASASALASGLAKKDDRKGTVVYNRYYHVFSEGELERLASGVGNAIIVDR FYDKSNWCIVLQKEALNQD" CDS complement(join(7439645..7439744,7439860..7440821)) /locus_tag="BRARA_H00603" /codon_start=1 /product="hypothetical protein" /protein_id="RID49831.1" /db_xref="Phytozome:Brara.H00603" /translation="MRDVKVKANSKSTEEEENEMSSSLSVKSTPEIEKKYVHRVYDAI APHFSSTRFAKWPKVAAFLESLPSGSVVLDAGCGNGKYLGLNPSCFFIGCDISNPLIK ICSDKGQEVLVADAVNLPYREEFGDAAISIAVLHHLSTENRRKSAIEELVRVVKPGGF VLITVWAAEQEDKSLLTKWTPLSPKYVEEWVGPGSPMNSPRVRNNPFFGLESIPETDV SAKDQKAGLESIPETEESTREPKATQDSLGEQKDKSSVEVLLEALKMNQQEYFVPWHL PYHRAEVSGASASALASGLAKKDDRKGTVVYNRYYHVFSEGELERLASGVGNAIIVDR FYDKSNWCIVLQKEALNQD" gene 7456303..7456795 /locus_tag="BRARA_H00604" /db_xref="Phytozome:Brara.H00604" mRNA 7456303..7456795 /locus_tag="BRARA_H00604" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00604" CDS 7456371..7456559 /locus_tag="BRARA_H00604" /codon_start=1 /product="hypothetical protein" /protein_id="RID49832.1" /db_xref="Phytozome:Brara.H00604" /translation="MRPMQLDMLAEMDDAGSSMAMDVDDIEAMEMLSEGGLISENKLA DADFFNKFDDDFDDSDIN" gene complement(7457682..7468298) /locus_tag="BRARA_H00605" /db_xref="Phytozome:Brara.H00605" mRNA complement(join(7457682..7458007,7458083..7460224, 7460311..7460487,7460574..7460787,7460872..7461224, 7461312..7461549,7461637..7461968,7462060..7462329, 7462407..7462529,7462615..7462692,7462782..7462958, 7463040..7463129,7463221..7463331,7463410..7463598, 7463682..7463873,7463975..7464061,7464152..7464256, 7464340..7464396,7464473..7464547,7464623..7464739, 7464844..7464968,7465045..7465084,7465171..7465221, 7465307..7465494,7465591..7465684,7465774..7465947, 7466100..7466195,7466305..7466397,7466502..7466663, 7466745..7466951,7467024..7467366,7467806..7468298)) /locus_tag="BRARA_H00605" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00605" CDS complement(join(7457951..7458007,7458083..7460224, 7460311..7460487,7460574..7460787,7460872..7461224, 7461312..7461549,7461637..7461968,7462060..7462329, 7462407..7462529,7462615..7462692,7462782..7462958, 7463040..7463129,7463221..7463331,7463410..7463598, 7463682..7463873,7463975..7464061,7464152..7464256, 7464340..7464396,7464473..7464547,7464623..7464739, 7464844..7464968,7465045..7465084,7465171..7465221, 7465307..7465494,7465591..7465684,7465774..7465947, 7466100..7466195,7466305..7466397,7466502..7466663, 7466745..7466951,7467024..7467356)) /locus_tag="BRARA_H00605" /codon_start=1 /product="hypothetical protein" /protein_id="RID49833.1" /db_xref="Phytozome:Brara.H00605" /translation="MAGSVNGYQTPGRNHVSVSEVDDFCIALGGKRPIHSILIANNGM AAVKFIRSVRTWAYETFGTERAILLVGMATPEDMRINAEHIRIADQFVEVPGGTNNNN YANVQLIVEMAEVTRVDAVWPGWGHASENPELPDALKAKGIIFLGPPAASMAALGDKI GSSLIAQAADVPTLPWSGSHVKIPPDSSLVTIPEEIYRQACVYTTEEAIASCQVVGYP AMIKASWGGGGKGIRKVHNADEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCD QYGNVSALHSRDCSVQRRHQKIIEEGPITVAPQETIKKLEQAARRLAKSVNYVGAATV EYLYSMDTGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLWQIPEIRRFY GIEHGGGYDSWRKTSVLASPFDFDKAESIRPKGHCVAVRVTSEDPDDGFKPTGGKVQE LSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGE IRTNVDYTIDLLHASDYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKASATS AAVVSDYVGYLEKGQIPPKHISLVHSQVSLNIEGSKYTIDVVRGGSGSYRLRMNNSEV VAEIHTLRDGGLLMQLDGKSHVIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLMAETPC KLLRYLVSDNSSIDADMPYAEVEVMKMCMPLLSPASGVVHFKMSEGQAMQAGELIAKL DLDDPSAVRKAEPFHGGFPRLGLPTAISGKVHQRCAATLNAARMVLAGYEHKVDEVVQ DLLNCLDSPELPFLQWQECFAVLATRLPKDLRMMLESKYREFESISRNSLTADFPAKL LKGILEAHLLSCDEKDRGALERLIEPLMSLAKSYEGGRESHARVIVHSLFEEYLSVEE LFNDNMLADVIERMRQQYKKDLLKIVDIVLSHQGIKSKNKLVLRLMEQLVYPNPAAYR DKLIRFSTLNHTNYSELALKASQLLEQTKLSELRSNIARSLSELEMFTEDGENMDTPK RKSAINERMEDLVSASLAVEDALVGLFDHSDHTLQRRVVETYIRRLYQPYVVKESIRM QWHRSGLIASWEFLEEHMERKNIGLDDQETPEKGLVEKRSERKWGAMVIIKSLQFLPS IISAALTETNQNEHVSAGAPLSGNMMHIAIVGINNQMSLLQDSGDEDQAQERVDKLAK ILKEEEVSSSLCSAGVGVISCIIQRDEGRTPMRHSFHWSMEKHYYAEEPLMRHLEPPL SIYLELDKLKGYSNIQYTPSRDRQWHLYTVTDKSMPIKRMFLRSLVRQATMNDGFMLQ QGQDKQLSQTLFSMPFTSRCVLRSLMDAMEELELNAHNAAMKPDHAHMFLCILREQQI DDLVPYPRRVEVNAEDEETTIEVILEEAAREIHRSVGVRMHRLGVCEWEVRLWLVSSG LASGAWRVVVANVTGRTCTVNIYREVETSGRNSLIYHSITKKGPLHGTQINDQYKPLG YLDRQRLAARRSNTTYCYDFPLAFETALEQLWALQQPGVKKPCKGTLISAKELVFSNS EGTSLMPVERSPGLNEFGMVAWSLEMSTPEFPMGRKLLIVANDVTFKAGSFGPREDAF FLAVTELACAKKLPLIYLAANSGARLGVAEEIKACFKVGWSDEVSPENGFQYIYLSPE DHARIGSSVIAHEIKLPSGETRWVIDTIVGKEDGIGVENLTGSGAIAGAYSRAYNETF TLTFVSGRTVGIGAYLARLGMRCIQRLDQPIILTGFSTLNKLLGREVYSSHMQLGGPK IMGTNGVVHLTVSDDLEGVSAILDWLSYIPAYVGGPLPVLAPLDPPDRTVEYVPENSC DPRAAIAGVNDNTGKWLGGIFDKNSFIETLEGWARTVVTGRAKLGGIPVGVVAVETQT VMQIIPADPGQLDSHERVVPQAGQVWFPDSAAKTAQALMDFNKEELPLFILANWRGFS GGQRDLFEGILQAGSTIVENLRTYRQPVFVYIPKMGELRGGAWVVVDSQINSDYVEMY ADETARGNVLEPEGTIEIKFRTKEMLECMGRLDPKLIDLKARLQDAKQSEAYANIELL QQQIKAREKLLLPVYIQIATKFAELHDTSMRMAAKGVIKSVVEWSGSRSFFYKKLNRR IAESSLVKNVREASGDDLSYKSAMGLIQDWFSKSDIAKGKEEAWTDDQVFFTWKDNVS NYELNLSELRAQKLLNQLAEIGNSSDLSALPQGLANLLNKVEPSRREELVEALRKVLG " gene complement(<7486382..>7487298) /locus_tag="BRARA_H00606" /db_xref="Phytozome:Brara.H00606" mRNA complement(join(<7486382..7486743,7487088..>7487298)) /locus_tag="BRARA_H00606" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00606" CDS complement(join(7486382..7486743,7487088..7487298)) /locus_tag="BRARA_H00606" /codon_start=1 /product="hypothetical protein" /protein_id="RID49834.1" /db_xref="Phytozome:Brara.H00606" /translation="MFDCLSFLTVGSTDRSPTKSCCDGVKTVLEYNPNCLCIALESSR DMGFELINRKALAMPSICNIFINPRCVSPSEPPTNLSPPVVMTPSPPTVTTSSPPAVN TPSPPTFTTPSPSVNPTPPAVITSPARTASPPTITHSSQSSQAMTALSPAIIAPSPSK SGATNLSISKLFLRIVTISTFAYVVSFNLI" gene <7493768..>7495082 /locus_tag="BRARA_H00607" /db_xref="Phytozome:Brara.H00607" mRNA join(<7493768..7494058,7494106..7494261,7494292..7494970, 7495015..>7495082) /locus_tag="BRARA_H00607" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00607" CDS join(7493768..7494058,7494106..7494261,7494292..7494970, 7495015..7495082) /locus_tag="BRARA_H00607" /codon_start=1 /product="hypothetical protein" /protein_id="RID49835.1" /db_xref="Phytozome:Brara.H00607" /translation="MTQNSEFSSNANSLMGRLLNPECQPMAKMINYMPTAWRLHGRVR GINLSRYRFQFIFQREENLLTVLKYRPWSYNHWMMLLEPWTPNPQASFLTTLDTMYTL ARKIGPVEELAYDPKVSQTKDYIRAKIYFNVEKPAVEAKNLIISQEEIHRICFSCMRL THEKTQCPYMRMIQHNRGESSKEGNARIIPQNPTRMAAIEVSPRFLIMFPELSREDRQ AAMQYISHADETERRDRILRVKQSIENATTEDNGLPIRISHDLHKDKGLVLGYEHHED SSSESNVHCTKHVVSAPAVSRGRDERAEQLEVQSATSSFQIKGSTVFRLGNNTPFVFN DNPRSRRNDRKRPLAWVLRVRPNKSVPAIDAGEQDFSHMETGRSQERDQRKQTQTKKF CWLPV" gene <7499002..>7499439 /locus_tag="BRARA_H00608" /db_xref="Phytozome:Brara.H00608" mRNA <7499002..>7499439 /locus_tag="BRARA_H00608" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00608" CDS <7499002..>7499439 /locus_tag="BRARA_H00608" /codon_start=1 /product="hypothetical protein" /protein_id="RID49836.1" /db_xref="Phytozome:Brara.H00608" /translation="GESKPIGWIKPTGGYLKCNIGSSWIGSRQPSGASWFLRNDKGRT IMHSRRSYSFMRSKTEADLWALHWAVKCMKNTHQTKVIFEASSEQIWEVLCNQAQFPG FRSIVGMINNQLTRIEIWSLDYALPKRNEAANAIAVSVTRDRRH" gene complement(7504782..7507685) /locus_tag="BRARA_H00609" /db_xref="Phytozome:Brara.H00609" mRNA complement(join(7504782..7505038,7505128..7505194, 7505271..7505363,7505450..7505503,7505585..7505636, 7505715..7505782,7505869..7506048,7506274..7506366, 7506528..7506608,7506760..7506840,7506918..7507001, 7507086..7507159,7507238..7507685)) /locus_tag="BRARA_H00609" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00609" CDS complement(join(7504947..7505038,7505128..7505194, 7505271..7505363,7505450..7505503,7505585..7505636, 7505715..7505782,7505869..7506048,7506274..7506366, 7506528..7506608,7506760..7506840,7506918..7507001, 7507086..7507159,7507238..7507379)) /locus_tag="BRARA_H00609" /codon_start=1 /product="hypothetical protein" /protein_id="RID49837.1" /db_xref="Phytozome:Brara.H00609" /translation="MAGILNKLRNLDAYPKINEDFYSRTLSGGVITLVSSVVMFLLFF SELRLYLHSVTETKLVVDTSRGETLRINFDMTFPALACSILSVDAMDISGELHLDVKH DVVKRRLDSHGNIIESRQDGIGAAKIEKPLQKHGGRLEHNETYCGSCYGAEAEEHDCC NSCEDVREAYRKKGWGVTNPDLIDQCKREGFLQRVKDEEGEGCNIYGFLEVNKVAGNF HFAPGKSFHQGGVHVHDLLAFQKDSFNISHKINRLTFGDYFPGVVNPLDKVQWSQDTP NAMYQYFIKVVPTVYTDISGHTIQSNQFSVTEHVKSSEAGQLQSLPGVFFFYDLSPIK VTFTEEHISFLHFLTNVCAIVGGVFTVSGIIDAFIYHGQKAIKKKMEIGKFS" gene complement(7534091..7535890) /locus_tag="BRARA_H00610" /db_xref="Phytozome:Brara.H00610" mRNA complement(join(7534091..7534326,7534443..7534617, 7534711..7534903,7534968..7535062,7535374..7535456, 7535581..7535890)) /locus_tag="BRARA_H00610" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00610" CDS complement(join(7534182..7534326,7534443..7534537)) /locus_tag="BRARA_H00610" /codon_start=1 /product="hypothetical protein" /protein_id="RID49838.1" /db_xref="Phytozome:Brara.H00610" /translation="MQSCQIVVSAYAFGGEITLTNLLECLRHQLKRFATYRFGMSYTC KAGSRVIRLARLSFDKFLDNIISFIKAKATNIHKP" gene complement(<7558185..>7560943) /locus_tag="BRARA_H00611" /db_xref="Phytozome:Brara.H00611" mRNA complement(join(<7558185..7558616,7558660..7559244, 7559327..7559404,7559450..7559666,7559767..7560522, 7560576..7560746,7560939..>7560943)) /locus_tag="BRARA_H00611" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00611" CDS complement(join(7558185..7558616,7558660..7559244, 7559327..7559404,7559450..7559666,7559767..7560522, 7560576..7560746,7560939..7560943)) /locus_tag="BRARA_H00611" /codon_start=1 /product="hypothetical protein" /protein_id="RID49839.1" /db_xref="Phytozome:Brara.H00611" /translation="MSVDNDISLIINYDGKFEHMEGVLYYVGGKNHILQADPPALLGG IRSAEIVDMYRQRVCTNFWNMCTAAHLTKTVEVYLEHEDVNKEQEESANSGDNDVEIR ETVGAREEEDPNEANRRDRRVEEIVAGFVDELEENDDHIETAPVSDDEEEVIGGGYER WRRGSGELKIMQVFESIKEFKEAVLEYALKGAWNVKYTRWGNDISEAKCAVNGEIPCA WRIYCSYEKSVHQYMVKTYQEEHSCTKDGYCRLLTDQVIAELLINEIRNDNAIMPRVI QNLIQDRYQLTVTHDIARKARKKALDMIDDEFDEQSNPGSTVDLVTVVRDDGVVVFDK FYVCFKALRTVWRNHCRPIFGIDGCFLKSTSKGQLVAAVGRDANNQIYPWFLERLKID LNLENGNGFTLISDKQKGLLKAVEEELPNVEHRMCARHVYGNLKKLHPRKSQLKKRFW AVANSFNMGDYKIDLKALENFDHQVYNDLMARDPTTCSRAFFSTTPTCEDGLNNFSEA YNSGLEKAWSLPLVEMLETMRRQAMVRIEVRKNKLTKYKARYSIKVAKTLAEEGEARS KWCKKRTPGANGVSEVNENGTSYTVNIEKRTCSCRKWDIKKHNAEDYVADCYLTATWR KQYSDSIIPVEGMKFWKETYGSQIKPPPRDNDKGRKKKPQKRKRSITESPTKEKKVSR HLKVIHCYRCGFPGHNSLHCSNDGAPNKPRKTYPRKKKHASQVESMSMDYGEGPSQPT QTFVDNE" assembly_gap 7584832..7594831 /estimated_length=unknown /gap_type="between scaffolds" assembly_gap 7640488..7650487 /estimated_length=unknown /gap_type="between scaffolds" gene <7724969..>7725589 /locus_tag="BRARA_H00612" /db_xref="Phytozome:Brara.H00612" mRNA join(<7724969..7725059,7725159..>7725589) /locus_tag="BRARA_H00612" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00612" CDS join(<7724969..7725059,7725159..7725589) /locus_tag="BRARA_H00612" /codon_start=1 /product="hypothetical protein" /protein_id="RID49840.1" /db_xref="Phytozome:Brara.H00612" /translation="SLSQQLISSSILPLFYFVARDPAELPTGTSLFLRSTCRSIRYSN FSTFGRASDGTTGCGWIFEFPIPHDSHQGTSSFKHTRSPLIGEALAMRSALSHALDLG ITRVCVYSDCQQLVRAILSKSPPVELYGIARDIDTLSLQFDVFSLSFISRNFNVTADL LAKTALCNIPYLQ" gene complement(7729449..7730833) /locus_tag="BRARA_H00613" /db_xref="Phytozome:Brara.H00613" mRNA complement(join(7729449..7730186,7730291..7730379, 7730520..7730833)) /locus_tag="BRARA_H00613" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00613" CDS complement(join(7730121..7730186,7730291..7730379, 7730520..7730676)) /locus_tag="BRARA_H00613" /codon_start=1 /product="hypothetical protein" /protein_id="RID49841.1" /db_xref="Phytozome:Brara.H00613" /translation="MNGEGEDASKVIHVKFIKKLDAPFKVLVTSFVIPSSVTRLGLSS IVNSLLTLEKPELFDFLIDGELIRMSLEQFLDAKGISGEMTLEIEYIRVVASKVKIPV H" gene 7735361..7737975 /locus_tag="BRARA_H00614" /db_xref="Phytozome:Brara.H00614" mRNA join(7735361..7735682,7735746..7735837,7735914..7736107, 7736254..7736293,7736524..7736605,7736677..7736754, 7736861..7736986,7737132..7737253,7737344..7737383, 7737500..7737574,7737653..7737975) /locus_tag="BRARA_H00614" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00614" CDS join(7735441..7735682,7735746..7735837,7735914..7736107, 7736254..7736293,7736524..7736605,7736677..7736754, 7736861..7736986,7737132..7737253,7737344..7737383, 7737500..7737574,7737653..7737797) /locus_tag="BRARA_H00614" /codon_start=1 /product="hypothetical protein" /protein_id="RID49842.1" /db_xref="Phytozome:Brara.H00614" /translation="MGASSAVSLVRFGVGTSNHELRLKKWTRRLLWFDTRKRFSCCAD MLAPIGQSEERRFEQKTSAHGAGIKPSSSAMPFASPKSRFVSKQEKFYPRCTPRLTGP QSRDTPPKRDTGIANEKDWGIDLLNENVNESGINEDGSTWFRESGQDLGENGYRCRWT RMGGRSHDASSEWTETWWEKSDWTGYKELGVEKSGKNAEGDSWWETWQEVLHQDEWSN LARIERSAQKQAKSGTENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHY DGRGSVLKWTDKWAETELGTKWGDKWEEKFFSGIGSRQGETWHVSPNSDRWSRTWGEE HFGNGKVHKYGKSTTGESWDIVVDEETYYEAEPHYGWADVVGDSTQLLSIQPRERPPG VYPNLEFGPSPPPEPDQPQ" assembly_gap 7811079..7821078 /estimated_length=unknown /gap_type="between scaffolds" assembly_gap 7874114..7884113 /estimated_length=unknown /gap_type="between scaffolds" gene complement(<7896905..>7897744) /locus_tag="BRARA_H00615" /db_xref="Phytozome:Brara.H00615" mRNA complement(<7896905..>7897744) /locus_tag="BRARA_H00615" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00615" CDS complement(7896905..7897744) /locus_tag="BRARA_H00615" /codon_start=1 /product="hypothetical protein" /protein_id="RID49843.1" /db_xref="Phytozome:Brara.H00615" /translation="MSMPTTETNNIKPVRNLVSTPVTIFLTGGLLIILTSFFSFFFCG SFLKKLLNIWNNLRNRNRPSNLIQPSIPPEHVGLGSKIIQSFPEFPYSVKDRGMDQCS ICLIDFMDDDTMRLISTCNHFFHTICIDLWFESHKTCPVCRRQLDVDQTSQEEQPAIP EIDLVTTESHEERLSRDTLTIIVHEEHPTTTTIGINLDQTDEIENYERRVKEPNLRFW RSHSTGHSIVVKTETEQSAEEKEKEEFNIHIEISGECQFEDHMRNLPNRNLYCVRGTY SVG" gene 7903264..7906622 /locus_tag="BRARA_H00616" /db_xref="Phytozome:Brara.H00616" mRNA join(7903264..7903876,7905036..7905259,7905338..7905355, 7906074..7906138,7906282..7906622) /locus_tag="BRARA_H00616" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00616" CDS join(7903470..7903876,7905036..7905259,7905338..7905355, 7906074..7906138,7906282..7906584) /locus_tag="BRARA_H00616" /codon_start=1 /product="hypothetical protein" /protein_id="RID49844.1" /db_xref="Phytozome:Brara.H00616" /translation="MNSTQIPLDEDEDDMLLAMQLIGLRIVPYAIKTAIELDLLEIIA KAGPLGTHLSPLDLASKVAARNPDAPMMIDRLLRLLSAYSVCTCRLVNDKEEREFRVY GLGKAGRKLIKDEDRFSLASTVRFTNPKFEGDMCSQLTASILEGGARPYERVYGDLIF KDMEKNENIRAEFHEAMLNHTSIVMKKILKTYNGFNSLSGGVLVDVGGGLEAPKIKGV EHVGGDMFDAIPRCQAIFMKWILHDWNDDQCVAILKNCKKALPKNGKVIIIEYIMPRE ISETDLATKNSLFYDVGIMCATQGGKERTKEEFEVLAMKAGFNIPNIIYGAYSFWILE LYAD" gene complement(7928553..7930082) /locus_tag="BRARA_H00617" /db_xref="Phytozome:Brara.H00617" mRNA complement(join(7928553..7928907,7928998..7929062, 7929146..7929462,7929571..7930082)) /locus_tag="BRARA_H00617" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00617" CDS complement(join(7928605..7928907,7928998..7929062, 7929146..7929462,7929571..7929956)) /locus_tag="BRARA_H00617" /codon_start=1 /product="hypothetical protein" /protein_id="RID49845.1" /db_xref="Phytozome:Brara.H00617" /translation="MEEENLSLYAMILSSSSVLPMILKTAIDLGLFDILSESGPSSPL SASQIMSLLSTQTQTHHDSTLLNRILRCLASYSILTCSVSTDQGEPREVYRLAPVAKY FTKNRDGGGSLAPLVNLFQDKVVTDIWYDLKDSVLEGGLPFNKAHGSSAPELVGRDSR FREVFQSSMKGFNEVFMEEVVNKYKGFDGVNSLVDVGGGDGSILRKIISKHPHILKAI NFDLSSVIKNTSSASPGIENVAGDMFTSIPKGEAIFMKWMLHSWDDEHCVKILSNCYQ SLPSTGKVIVIDMVIPDFPGGTLLDRSLFQFEMFMMSMNPSGKERTKKEFEVLARLAG FSSVQVPFTSLCFSVVEFYKSL" gene complement(7931194..7933682) /locus_tag="BRARA_H00618" /db_xref="Phytozome:Brara.H00618" mRNA complement(join(7931194..7931349,7931489..7931554, 7931682..7931845,7931934..7932089,7932182..7933299, 7933382..7933682)) /locus_tag="BRARA_H00618" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00618" CDS complement(join(7931696..7931845,7931934..7932089, 7932182..7933299,7933382..7933538)) /locus_tag="BRARA_H00618" /codon_start=1 /product="hypothetical protein" /protein_id="RID49846.1" /db_xref="Phytozome:Brara.H00618" /translation="MKQCSLGIDGLRSSLSASKSEHEDSIFRDYTLDLSFLSSDDSLS RDADTTTKALLNPNPLVSKLPEMLIVSSTVAGDGELELSCANATEHSSTDSEEDTPCW IGINSRETLASAGAICRRSTDDDLSGFRRLNPLAPQFIPSNTIKVLEKDGLKKSLSSD FPSSSGEFDESLGFVSQDRNEFQQSKRLDPLAPVFVPSNAKLSHEAFETNAHSTTYSD NKSLGKVKIDTPSGEAAPIHISGSCNPWLESTVGITESAKLGSSSSNKSGGQRRLNPL APQFSLSDTKPKAYSYGSSSFKSPTADSNFGSTKWYAVEPNTTLSVNGNQDFPFHVVE TAAGSSSRNAKALSGGSSPKMDVMKLLTTIHGLSELLTLAHGSESLDSPEELHLINST VHNLNMYIQNGIQEQSVVQHNSYDLQLLSNKSKLSIRDFQIPSTNNMTVDLGVRRKEK YSVVSGETFPDSGLYQYGVTKDEGFGQVVAKSGYQQNHQGEEQINPQSLFYKSLWLKA EAERCLMVYETSLSNPGS" assembly_gap 8002333..8012332 /estimated_length=unknown /gap_type="between scaffolds" gene complement(8013669..8020975) /locus_tag="BRARA_H00619" /db_xref="Phytozome:Brara.H00619" mRNA complement(join(8013669..8014063,8014137..8014246, 8014511..8014555,8014663..8014722,8014895..8014959, 8015052..8015136,8015475..8015534,8015667..8015775, 8016105..8016148,8016286..8016372,8016446..8016521, 8016643..8017268,8017394..8018119,8018416..8018619, 8019182..8019277,8020155..8020975)) /locus_tag="BRARA_H00619" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00619" mRNA complement(join(8013669..8014063,8014137..8014246, 8014511..8014555,8014663..8014722,8014895..8014959, 8015052..8015136,8015278..8015355,8015475..8015534, 8015667..8015775,8016105..8016148,8016286..8016372, 8016446..8016521,8016643..8017268,8017394..8018119, 8018416..8018619,8019182..8019277,8020155..8020975)) /locus_tag="BRARA_H00619" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00619" mRNA complement(join(8013669..8014063,8014137..8014246, 8014511..8014555,8014663..8014722,8014895..8014959, 8015052..8015136,8015475..8015534,8015667..8015775, 8016105..8016148,8016286..8016372,8016446..8016521, 8016643..8017268,8017394..8018119,8018416..8018619, 8019182..8019378,8020155..8020975)) /locus_tag="BRARA_H00619" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00619" mRNA complement(join(8013669..8014063,8014137..8014246, 8014511..8014555,8014663..8014722,8014895..8014959, 8015052..8015136,8015278..8015355,8015475..8015534, 8015667..8015775,8016105..8016148,8016286..8016372, 8016446..8016521,8016643..8017268,8017394..8018119, 8018416..8018619,8019182..8019378,8020155..8020975)) /locus_tag="BRARA_H00619" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00619" CDS complement(join(8013850..8014063,8014137..8014246, 8014511..8014555,8014663..8014722,8014895..8014959, 8015052..8015136,8015475..8015534,8015667..8015775, 8016105..8016148,8016286..8016372,8016446..8016521, 8016643..8017268,8017394..8018119,8018416..8018619, 8019182..8019378,8020155..8020842)) /locus_tag="BRARA_H00619" /codon_start=1 /product="hypothetical protein" /protein_id="RID49848.1" /db_xref="Phytozome:Brara.H00619" /translation="MSNCCCISHKFADAASSNPHKVAVTHSSARFMSDSPRPVHDGDT LFTFADLSSSVDSLSLRLRRILDAPVHNDPHLITPPQSSPGTDKLAESGVYIPKVLAL YMPPSAEYIISVLSVLRIGEAFLPLDPSWPRDRVSSILSSSNVALVIACGSSFDQFGC EPLCRSHWLVQSSAHPVLLFSMSERLSAETARRSSLAWPCKKERQRKFCYLMYTSGST GKPKGICGTEQGLLNRFMWMQEHYPVVGEQQFAFKTSVGFIDHLQEFLGATLNSTPLV IPPVTLLKQNMTSIIDFLEAYSISRLVAVPSMVRAILPTLQHRGHNKLQSCLKLVVLS GETFPLSLWDSLHTLLPETCFLNLYGSTEVSGDCTYFDCSGLPKLLETEKIGSVPIGK PISNCKILLLGDEDKPCEGEICVGGLCLSQGYLHSSIESQSYVMLHNTSLCNHLTSDC GSQLYYRTGDYGRKLSSGDLVFIGRRDRTVKVNGQRLSLEEIETTLELNPYVTEAVVI LNRDQTELASLDAFLVLNKETKPDEDVIYSIRNWMREKLPSVMIPNHFVLVESLPSTS SGKVDYEALARLKCPRSITHAEDMMHINETDSLLDTIKKAVCDALVVKEVSDDDDFFS IGGDSLAAAHLSHSLGIDMRLIYQFRSPSKLVICMSEKKGKLREDMQHNTIQKPDQKT EIQDSNELVSRPSRMQCENNVSAKRLKIDSDQFSSKSMKDKISWDSGYSEMQCAFSRC NNVHYLNSCGNEGGNRENWSVELPRNQMVSIQELWKVHMESCVDASPLVVLKHSKTYL FIGSHSRKFFCIDANSGSICWETILEGRIEGSAMLVGDFSQVVIGCYKGKLYFLDFST GSLCWTFQAGGEIKCQPVVHTSSQLIWCGSHDHTLYALDYRSQRCVYKLQCGGSIFAS PIIDEGHSSLYVASTSGRVTAVSIKDFPFHTLWVLELEAPIFGSLSIIPSSRSVICCL VDGQVVAISPSGTIIWKYRTGGPIFAGPCMSHVLPSQVLVCCRNGSVYSLEPESGCHL WEYNIGDPITASAYIDENLHFESHQLLGSDRLVSVCSSSGRVHVLRVRASLSRDSHES KVGEIAKLELQADIFSSPVMIGGRIFVGCRDDYVHCLTLESCM" CDS complement(join(8013850..8014063,8014137..8014246, 8014511..8014555,8014663..8014722,8014895..8014959, 8015052..8015136,8015278..8015355,8015475..8015534, 8015667..8015775,8016105..8016148,8016286..8016372, 8016446..8016521,8016643..8017268,8017394..8018119, 8018416..8018619,8019182..8019378,8020155..8020842)) /locus_tag="BRARA_H00619" /codon_start=1 /product="hypothetical protein" /protein_id="RID49847.1" /db_xref="Phytozome:Brara.H00619" /translation="MSNCCCISHKFADAASSNPHKVAVTHSSARFMSDSPRPVHDGDT LFTFADLSSSVDSLSLRLRRILDAPVHNDPHLITPPQSSPGTDKLAESGVYIPKVLAL YMPPSAEYIISVLSVLRIGEAFLPLDPSWPRDRVSSILSSSNVALVIACGSSFDQFGC EPLCRSHWLVQSSAHPVLLFSMSERLSAETARRSSLAWPCKKERQRKFCYLMYTSGST GKPKGICGTEQGLLNRFMWMQEHYPVVGEQQFAFKTSVGFIDHLQEFLGATLNSTPLV IPPVTLLKQNMTSIIDFLEAYSISRLVAVPSMVRAILPTLQHRGHNKLQSCLKLVVLS GETFPLSLWDSLHTLLPETCFLNLYGSTEVSGDCTYFDCSGLPKLLETEKIGSVPIGK PISNCKILLLGDEDKPCEGEICVGGLCLSQGYLHSSIESQSYVMLHNTSLCNHLTSDC GSQLYYRTGDYGRKLSSGDLVFIGRRDRTVKVNGQRLSLEEIETTLELNPYVTEAVVI LNRDQTELASLDAFLVLNKETKPDEDVIYSIRNWMREKLPSVMIPNHFVLVESLPSTS SGKVDYEALARLKCPRSITHAEDMMHINETDSLLDTIKKAVCDALVVKEVSDDDDFFS IGGDSLAAAHLSHSLGIDMRLIYQFRSPSKLVICMSEKKGKLREDMQHNTIQKPDQKT EIQDSNELVSRPSRMQCENNVSAKRLKIDSDQFSSKSMKDKISWDSGYSEMQCAFSRC NNVHYLNSCGNEGGNRENWSVELPRNQMVSIQELWKVHMESCVDASPLVVLKHSKTYL FIGSHSRKFFCIDANSGSICWETILEGRIEGSAMLVGDFSQVVIGCYKGKLYFLDFST GSLCWTFQAGGEIKCQPVVHTSSQLIWCGSHDHTLYALDYRSQRCVYKLQCGGSIFAS PIIDEGHSSLYVASTSGRVTAVSIKGRSDLQNIPWSNCSLPNNLATTVSCMDFPFHTL WVLELEAPIFGSLSIIPSSRSVICCLVDGQVVAISPSGTIIWKYRTGGPIFAGPCMSH VLPSQVLVCCRNGSVYSLEPESGCHLWEYNIGDPITASAYIDENLHFESHQLLGSDRL VSVCSSSGRVHVLRVRASLSRDSHESKVGEIAKLELQADIFSSPVMIGGRIFVGCRDD YVHCLTLESCM" CDS complement(join(8013850..8014063,8014137..8014246, 8014511..8014555,8014663..8014722,8014895..8014959, 8015052..8015136,8015475..8015534,8015667..8015775, 8016105..8016148,8016286..8016372,8016446..8016521, 8016643..8017268,8017394..8018119,8018416..8018619, 8019182..8019208)) /locus_tag="BRARA_H00619" /codon_start=1 /product="hypothetical protein" /protein_id="RID49850.1" /db_xref="Phytozome:Brara.H00619" /translation="MTSIIDFLEAYSISRLVAVPSMVRAILPTLQHRGHNKLQSCLKL VVLSGETFPLSLWDSLHTLLPETCFLNLYGSTEVSGDCTYFDCSGLPKLLETEKIGSV PIGKPISNCKILLLGDEDKPCEGEICVGGLCLSQGYLHSSIESQSYVMLHNTSLCNHL TSDCGSQLYYRTGDYGRKLSSGDLVFIGRRDRTVKVNGQRLSLEEIETTLELNPYVTE AVVILNRDQTELASLDAFLVLNKETKPDEDVIYSIRNWMREKLPSVMIPNHFVLVESL PSTSSGKVDYEALARLKCPRSITHAEDMMHINETDSLLDTIKKAVCDALVVKEVSDDD DFFSIGGDSLAAAHLSHSLGIDMRLIYQFRSPSKLVICMSEKKGKLREDMQHNTIQKP DQKTEIQDSNELVSRPSRMQCENNVSAKRLKIDSDQFSSKSMKDKISWDSGYSEMQCA FSRCNNVHYLNSCGNEGGNRENWSVELPRNQMVSIQELWKVHMESCVDASPLVVLKHS KTYLFIGSHSRKFFCIDANSGSICWETILEGRIEGSAMLVGDFSQVVIGCYKGKLYFL DFSTGSLCWTFQAGGEIKCQPVVHTSSQLIWCGSHDHTLYALDYRSQRCVYKLQCGGS IFASPIIDEGHSSLYVASTSGRVTAVSIKDFPFHTLWVLELEAPIFGSLSIIPSSRSV ICCLVDGQVVAISPSGTIIWKYRTGGPIFAGPCMSHVLPSQVLVCCRNGSVYSLEPES GCHLWEYNIGDPITASAYIDENLHFESHQLLGSDRLVSVCSSSGRVHVLRVRASLSRD SHESKVGEIAKLELQADIFSSPVMIGGRIFVGCRDDYVHCLTLESCM" CDS complement(join(8013850..8014063,8014137..8014246, 8014511..8014555,8014663..8014722,8014895..8014959, 8015052..8015136,8015278..8015355,8015475..8015534, 8015667..8015775,8016105..8016148,8016286..8016372, 8016446..8016521,8016643..8017268,8017394..8018119, 8018416..8018619,8019182..8019208)) /locus_tag="BRARA_H00619" /codon_start=1 /product="hypothetical protein" /protein_id="RID49849.1" /db_xref="Phytozome:Brara.H00619" /translation="MTSIIDFLEAYSISRLVAVPSMVRAILPTLQHRGHNKLQSCLKL VVLSGETFPLSLWDSLHTLLPETCFLNLYGSTEVSGDCTYFDCSGLPKLLETEKIGSV PIGKPISNCKILLLGDEDKPCEGEICVGGLCLSQGYLHSSIESQSYVMLHNTSLCNHL TSDCGSQLYYRTGDYGRKLSSGDLVFIGRRDRTVKVNGQRLSLEEIETTLELNPYVTE AVVILNRDQTELASLDAFLVLNKETKPDEDVIYSIRNWMREKLPSVMIPNHFVLVESL PSTSSGKVDYEALARLKCPRSITHAEDMMHINETDSLLDTIKKAVCDALVVKEVSDDD DFFSIGGDSLAAAHLSHSLGIDMRLIYQFRSPSKLVICMSEKKGKLREDMQHNTIQKP DQKTEIQDSNELVSRPSRMQCENNVSAKRLKIDSDQFSSKSMKDKISWDSGYSEMQCA FSRCNNVHYLNSCGNEGGNRENWSVELPRNQMVSIQELWKVHMESCVDASPLVVLKHS KTYLFIGSHSRKFFCIDANSGSICWETILEGRIEGSAMLVGDFSQVVIGCYKGKLYFL DFSTGSLCWTFQAGGEIKCQPVVHTSSQLIWCGSHDHTLYALDYRSQRCVYKLQCGGS IFASPIIDEGHSSLYVASTSGRVTAVSIKGRSDLQNIPWSNCSLPNNLATTVSCMDFP FHTLWVLELEAPIFGSLSIIPSSRSVICCLVDGQVVAISPSGTIIWKYRTGGPIFAGP CMSHVLPSQVLVCCRNGSVYSLEPESGCHLWEYNIGDPITASAYIDENLHFESHQLLG SDRLVSVCSSSGRVHVLRVRASLSRDSHESKVGEIAKLELQADIFSSPVMIGGRIFVG CRDDYVHCLTLESCM" gene complement(8025800..8030186) /locus_tag="BRARA_H00620" /db_xref="Phytozome:Brara.H00620" mRNA complement(join(8025800..8026530,8026607..8026756, 8026838..8026973,8027052..8027131,8027189..8027343, 8027427..8027678,8027837..8028195,8030112..8030186)) /locus_tag="BRARA_H00620" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00620" CDS complement(join(8026405..8026530,8026607..8026756, 8026838..8026973,8027052..8027131,8027189..8027343, 8027427..8027678,8027837..8028188)) /locus_tag="BRARA_H00620" /codon_start=1 /product="hypothetical protein" /protein_id="RID49851.1" /db_xref="Phytozome:Brara.H00620" /translation="MMKVSDSNSPTGVLEEFFRTEEFESSQTTTTNKNPSSSSSRFRR VVHLLRSTSKKSLENLKVPFQYNNAVTSSLRRCSSLRDSLRFGSSSDSPVLAHSPRRI FSFSDLKIATNNFAPENLIGKGGYAEVYKGKFSNGQMVAVKRLMRGNSEEIIVDFLSE MGIMAHVNHPNIAKLLGYGVEGGMHLVLELSPHGSLASMLYSSKEKMKWSIRYKIALG VAEGLVYLHSGCHRRIIHRDIKAANILLTHDFLPQICDFGLAKWLPEHWTHHIVSKFE GTFGYLAPEYLTHGIVDEKTDVFALGVLLLELVTGRRALDYSKQSLVLWAKPLMKKNK IRELIDPSLAGEYEWTQIKLVLLAASLSIQESSIERPGMSQVVEILKGNLNDLKCIMK CRVPFYRKAFRDENMSRNIEKIRS" gene complement(8042880..8045814) /locus_tag="BRARA_H00621" /db_xref="Phytozome:Brara.H00621" mRNA complement(join(8042880..8043110,8043188..8043259, 8043538..8043613,8043726..8043816,8043943..8044013, 8044100..8044262,8044356..8044825,8045085..8045214, 8045312..8045814)) /locus_tag="BRARA_H00621" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00621" CDS complement(join(8042950..8043110,8043188..8043259, 8043538..8043613,8043726..8043816,8043943..8044013, 8044100..8044262,8044356..8044825,8045085..8045214, 8045312..8045526)) /locus_tag="BRARA_H00621" /codon_start=1 /product="hypothetical protein" /protein_id="RID49852.1" /db_xref="Phytozome:Brara.H00621" /translation="MDLSLTLRILAAVFMVLIQYACGNFVFNVTHKFAGKDKQLSELK SHDSFRHARMLANIDLPLGGDSRADSIGLYFTKIKLGSPPKDYHVQVDTGSDILWVNC APCSKCPVKTDLGIPLRLYDSKASSTWNKVGCEDDFCAFISQSDTCEPKTKPCSYHVV YGDGSTSDGDFVKDNITLDQVTGNLRTAPLSQQVVFGCGSNQSGQLGQTDSALDGIMG FGQANTSVISQLAAAGNVKRIFSHCLDNVNGGGIFAVGEVESPVVKTTPLVPNQVHYN VILKAIDVDGEPLDLPPSIASFGGNGGTIIDSGTTLAYLPQDLYNSLLKQITTRTPVK LHMVQETFACFSFTSNTDKAFPVVNLHFEDSLKLTVYPHDYLFSLREDMYCFGWQSGG MTTQDGSDVILLGDLVLSNKLVVYDLDNEVIGWADHNCSSSIKVKDGSGAAYSVEADN LIQSASSVIKGTFVPLLSILIWVFLSFTSQFC" gene 8077973..8080555 /locus_tag="BRARA_H00622" /db_xref="Phytozome:Brara.H00622" mRNA join(8077973..8078160,8078996..8079378,8079572..8079701, 8079802..8080115,8080301..8080555) /locus_tag="BRARA_H00622" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00622" CDS join(8078053..8078160,8078996..8079378,8079572..8079701, 8079802..8080115,8080301..8080379) /locus_tag="BRARA_H00622" /codon_start=1 /product="hypothetical protein" /protein_id="RID49853.1" /db_xref="Phytozome:Brara.H00622" /translation="MFTCIACTKADGGEELGARGGTTPNTKESVKSLTTQIKDMAMKF SGAYKQCKPCTGSPRSPMKKGHRPFSDFDNASEGVPYPYMGGSAGSTPAWDFINSSHH PAGAKFTSIYGNDRESVSAQSCDVVLDDEGPKEWMAQVEPGVHITFASLPSGGNDLKR IRFSRETFDKWQAQRWWGENYEKIVELYNVQRFNRQALQTPAKSDDQSEKDSTYSKIE SARESKDWTPRHNFRPPGVNVPHHFYGRSSNYDHHGGPTMDAAQTTTSSRDEPPSVSN ASEMQAEWIEEDETGVYITIRQLADGTRELRRVRFSRERFGEVHAKTWWEQNRERIQT QYL" gene 8085718..8091830 /locus_tag="BRARA_H00623" /db_xref="Phytozome:Brara.H00623" mRNA join(8085718..8085889,8090406..8090630,8090981..8091261, 8091359..8091830) /locus_tag="BRARA_H00623" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00623" CDS join(8085758..8085889,8090406..8090630,8090981..8091261, 8091359..8091692) /locus_tag="BRARA_H00623" /codon_start=1 /product="hypothetical protein" /protein_id="RID49854.1" /db_xref="Phytozome:Brara.H00623" /translation="MADISDTTPQTQTVTFDIEDGGSGGDSRSPGISRPLVSVSFVQK LIGEFVGTFSLIFAGCAAIVVNDTYGKAVTLPGIALVWGLTVMVMIYSIGHVSGAHFN PAVSIAFASSRKFPFKQVPGYIAAQLLGSTLAAEALRLVFHLDDNVCSLKGDIYVGTY PSSSNTATFVMEFITTFNLMFVISAVATDKRANGSFAGIAIGATVVLDILFCGPISGA SMNPARSLGPALIWGCYKDLWLYIVSPVIGALTGAWTYDMLRSTKKSYGEIIRPNCNK ISSRDRQEASQDEICVLQVVNQANRKEFICSSPTDINDKRNVTCKLP" gene 8097420..8100531 /locus_tag="BRARA_H00624" /db_xref="Phytozome:Brara.H00624" mRNA join(8097420..8097531,8097719..8097754,8097863..8098013, 8098126..8098223,8098353..8098459,8098549..8098601, 8098707..8099084,8099160..8099264,8099374..8099601, 8099693..8099815,8099986..8100531) /locus_tag="BRARA_H00624" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00624" CDS join(8097747..8097754,8097863..8098013,8098126..8098223, 8098353..8098459,8098549..8098601,8098707..8099084, 8099160..8099264,8099374..8099601,8099693..8099815, 8099986..8100252) /locus_tag="BRARA_H00624" /codon_start=1 /product="hypothetical protein" /protein_id="RID49855.1" /db_xref="Phytozome:Brara.H00624" /translation="MAVVASAPGKVLMTGGYLILERPNAGLVLSTNARFYAIVKPIND EVKPESWAWKWTDVRLTSPQLSRESMYKLSLNHLTLQCVSASDSRNPFVEHAIQYAIA AAHLASHKDKEALHKLFLQGLDITILGSNDFYSYRNQIESLGLPLTPESLRTLAPFES ITFNSGASNGANCKPEVAKTGLGSSAAMTTAVVAALLHYLGVVDLSDPCKEGKFGCSD LDVIHMIAQTSHCLAQGKVGSGFDVSCAVYGSQRYVRFSPEVLSFAKVAVTGLPLHEV IGGILKGKWDHERTEFCLPPLMNLFLGEPGSGGSSTPSMVGAVKKWQMSDPEKARENW QKLSDANLELETKLNSLSKLAKEHWDVYLGVIKSCSVLTSEKWVLHATEPINEAIIRE LLGAREAMLRIRILMRQMGEAAGVPIEPESQTQLLDSTMNAEGVLVAGVPGAGGFDAI FAITLGDSGSKLTQAWSSHNVLALLVKEDPHGVCLESGDPRTTGITSGVSSIHIE" gene complement(<8102729..>8103464) /locus_tag="BRARA_H00625" /db_xref="Phytozome:Brara.H00625" mRNA complement(join(<8102729..8103106,8103216..>8103464)) /locus_tag="BRARA_H00625" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00625" CDS complement(join(<8102729..8103106,8103216..>8103464)) /locus_tag="BRARA_H00625" /codon_start=1 /product="hypothetical protein" /protein_id="RID49856.1" /db_xref="Phytozome:Brara.H00625" /translation="NNTYKTVLFFQSILEITDSVSFKHFYLNENHTDAAYSTFKIHKI IMPCDWKYDLNDNLNFPEDLKNIPCHSVFFNYWDYCQAMNYFGSVTEIFKPNIQKSFQ IFKTNFIPSEEEKKFLPLALFHSKFHFPWVFSWTLEFTSDPIPVFRRKYRVKWWDKYK IPSNLYPPNILTRIKEQNSKIQKLNQTRNHTPETTFFLAQKSKMLAMLA" gene complement(<8107443..>8110816) /locus_tag="BRARA_H00626" /db_xref="Phytozome:Brara.H00626" mRNA complement(join(<8107443..8107947,8108006..8108158, 8108266..8108920,8108993..8109342,8109813..8110603, 8110676..>8110816)) /locus_tag="BRARA_H00626" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00626" CDS complement(join(8107443..8107947,8108006..8108158, 8108266..8108920,8108993..8109342,8109813..8110603, 8110676..8110816)) /locus_tag="BRARA_H00626" /codon_start=1 /product="hypothetical protein" /protein_id="RID49857.1" /db_xref="Phytozome:Brara.H00626" /translation="MNSLRTIFSIKTEERDIQLTKPFETIHLFSENSLQRHREKTLNI FILTRFKVFEDYLLSLVESSLCTWPISFDCYPNFTVSLNAKNILKFLVLQVKMHNYEL IEGSIQIALVFRIHYKAMTSAFSSKVKLSSKKGEALLVQTDLSRSNSVIPRSIQRKDI NLPDKWVLEGAVQPKLPTPQESIEPNTLLKHIEQFCDRKVKLSFIRNNQDRIDEYLCG SSFNMCESSFNPETINLGRVSQLGHDFPREEKSMKFHPRFSTSDIPNSVLRNVNFQSQ FPKPVYSTENDLSQNEFITKFEPTSPTFSAITDNIKQLDRIEKSIQNQNPIPMESPSE KKSKSPMFKHFQISNSSVKTYQDTNLEFIRALKSQLSKAEIGDSSMPHISDIPNTPTS KIQINTIANDSDISECSDQNSVPKINRLDWQNHLDLYDWNIDGISENNILSFLLKMTM AANAYRTQIGNEDKTVAEFLIAGFSGQLKGWWDNYLTNQQRAEILDSIKTDEDNVPIL DNLGNPQQDVVATLVIAITLHFIGDPSVLRDKNAELLSNLKCKKLSDFQWYKNTFLTR VLLRQDSNQLFWKEKFLAGLPTLLGGKVRNKIRDSMGTQMIDYDDFTYGELISIVQQE GLRICQDLKLQKHLNGNSKERKKHSRNYKSFRSRENSWKKPQNKFSSKETPAKKPFYK DLTCFKCNKKGHTSNKIYNLLLNDSSSSYDSDSSVASEKALQDDELNISSSSSESNEK NIYVLTKDQELQLEILNSISDPSLKHKFLEKIISSLNEKDVKNIDNSPKSHGPSSYKS SYDLNKRKKDTSKTTIQDIRIEIKEVKNDLKILKEKKDKILNIFTRSYLPSKILMILV RKNKKMIA" gene <8127615..>8130390 /locus_tag="BRARA_H00627" /db_xref="Phytozome:Brara.H00627" mRNA join(8127615..8128140,8128203..8128324,8128403..8128510, 8128583..8128663,8128740..8128818,8128916..8129001, 8129082..8129138,8129214..8129281,8129358..8129426, 8129510..8129836,8129938..8130030,8130123..8130390) /locus_tag="BRARA_H00627" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00627" mRNA join(<8127657..8128140,8128203..8128324,8128403..8128510, 8128583..8128663,8128740..8128818,8128916..8129001, 8129082..8129138,8129214..8129281,8129358..8129426, 8129510..8129603,8129672..8129836,8129938..8130030, 8130123..>8130218) /locus_tag="BRARA_H00627" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00627" CDS join(8127657..8128140,8128203..8128324,8128403..8128510, 8128583..8128663,8128740..8128818,8128916..8129001, 8129082..8129138,8129214..8129281,8129358..8129426, 8129510..8129603,8129672..8129836,8129938..8130030, 8130123..8130218) /locus_tag="BRARA_H00627" /codon_start=1 /product="hypothetical protein" /protein_id="RID49859.1" /db_xref="Phytozome:Brara.H00627" /translation="MSGKKQELPVSDKPLATVESPMTETIKKKKKKSKHSEETQEVEV PQEVTNGEELSNKEKKKKKKRKREENEMENKKKKETDKVPEDSGVSNGGESEQKVVVT GKDVEEAKYAALTSFAESKLPENVLDCCKTFQKPSPIQSHSWPFLLDGRDLIGIAKTG SGKTLAFGIPAIMHMLKKNKKGKGTRNPTCLVLSPTRELAVQISDVLSEAGEPCGLKS ICVYGGSSKRPQINAIRSGVDIVIGTPGRLRDLIDSNELRLSDVSFVVLDEADRMLDM GFEEPVRFILSKTNKVRQMVMFSATWPMEVHKLAQEFMDPNPVKVVIGSVDLAANHDV LQIIEVLDDRARDQRLVALLEKYHKSQKNRVLVFALYKVEADRLERFLQTRGWKAVSI HGNKAQSERTRSLALFKEGSCPLLVATDVAARGLDIPDVEVVINYSFPLTTEDYVHRI GRTGRAGKKGVAHTFFTQQNKGLAGELVNVLREAKQVVPADLLKFGTHVKKKESKLYG PHFKEIAADAPKAKKITFADSDDED" CDS join(8127657..8128140,8128203..8128324,8128403..8128510, 8128583..8128663,8128740..8128818,8128916..8129001, 8129082..8129138,8129214..8129281,8129358..8129426, 8129510..8129651) /locus_tag="BRARA_H00627" /codon_start=1 /product="hypothetical protein" /protein_id="RID49858.1" /db_xref="Phytozome:Brara.H00627" /translation="MSGKKQELPVSDKPLATVESPMTETIKKKKKKSKHSEETQEVEV PQEVTNGEELSNKEKKKKKKRKREENEMENKKKKETDKVPEDSGVSNGGESEQKVVVT GKDVEEAKYAALTSFAESKLPENVLDCCKTFQKPSPIQSHSWPFLLDGRDLIGIAKTG SGKTLAFGIPAIMHMLKKNKKGKGTRNPTCLVLSPTRELAVQISDVLSEAGEPCGLKS ICVYGGSSKRPQINAIRSGVDIVIGTPGRLRDLIDSNELRLSDVSFVVLDEADRMLDM GFEEPVRFILSKTNKVRQMVMFSATWPMEVHKLAQEFMDPNPVKVVIGSVDLAANHDV LQIIEVLDDRARDQRLVALLEKYHKSQKNRVLVFALYKVEADRLERFLQTRGWKAVSI HGNKAQSERTRSLALFKEGSCPLLVRVSQLHGLYINHAG" gene 8141232..8142135 /locus_tag="BRARA_H00628" /db_xref="Phytozome:Brara.H00628" mRNA join(8141232..8141341,8141572..8141692,8141769..8142135) /locus_tag="BRARA_H00628" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00628" CDS join(8141587..8141692,8141769..8141908) /locus_tag="BRARA_H00628" /codon_start=1 /product="hypothetical protein" /protein_id="RID49860.1" /db_xref="Phytozome:Brara.H00628" /translation="MVNALDLQSFILRARVLKLYRQALKIAHRAPPQARGELKQSIRQ EMEKNSECNDKQKIRYLISEGLERIKQLDEMLDMQGH" gene complement(8147501..8149505) /locus_tag="BRARA_H00629" /db_xref="Phytozome:Brara.H00629" mRNA complement(join(8147501..8147939,8148035..8148279, 8148353..8148522,8148848..8149505)) /locus_tag="BRARA_H00629" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00629" CDS complement(join(8147688..8147939,8148035..8148279, 8148353..8148522,8148848..8149386)) /locus_tag="BRARA_H00629" /codon_start=1 /product="hypothetical protein" /protein_id="RID49861.1" /db_xref="Phytozome:Brara.H00629" /translation="MAVSTIYSTQALNSTHFLTSSPSSSTSKQVFFYRRHQSQTNRRF NTIITCAAQQTVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDMTT VICLDDYHSLDRTGRKEKGVTALDPRANDFDLMYEQVKALKSGIAVEKPIYNHVTGLL DAPELIQPPKILVIEGLHPMFDERVRELLDFSIYLDISNEVKFAWKIQRDMAERGHSL ESIKASIEARKPDFDAFIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVRLIMKEGVKY FSPVYLFDEGSTISWIPCGRKLTCSYPGIKFNYEPDTYFNHEVSVLEMDGQFDRLDEL IYVESHLSNLSSKFYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLYEQLIANKA TAPAEAAKA" gene complement(8150648..8153349) /locus_tag="BRARA_H00630" /db_xref="Phytozome:Brara.H00630" mRNA complement(join(8150648..8150949,8151051..8151116, 8151220..8151268,8151607..8151674,8151771..8151816, 8151907..8152000,8152125..8152187,8152272..8152394, 8152491..8152524,8152605..8152667,8152746..8152838, 8153153..8153349)) /locus_tag="BRARA_H00630" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00630" CDS complement(join(8150917..8150949,8151051..8151116, 8151220..8151268,8151607..8151674,8151771..8151816, 8151907..8152000,8152125..8152187,8152272..8152394, 8152491..8152524,8152605..8152667,8152746..8152838, 8153153..8153218)) /locus_tag="BRARA_H00630" /codon_start=1 /product="hypothetical protein" /protein_id="RID49862.1" /db_xref="Phytozome:Brara.H00630" /translation="MNRHHDPNPFDEEEEEIVNPFSKGGGRVPAASRPVGFGQSLDAT VDIPLDNMNDSSQKQRKLADWEAELRKKEMDIKRREDAIAKSGVQIDEKNWPPFFPII HHDIANEIPVHAQKLQYLAFASWLGIVLCLVFNVIATMVCWIKGGGVKIFFLATIYAL MGCPLSYVVWYRPLYRAMRTDSALKFGWFFFTYLIHIGFCIVAAIAPPIFFHGKSLTG VLAAIDVISDSVLAGIFYFIGFGLFCLESLLSLWVLQKIYLYFRGNK" assembly_gap 8170394..8180393 /estimated_length=unknown /gap_type="between scaffolds" gene 8183552..8190931 /locus_tag="BRARA_H00631" /db_xref="Phytozome:Brara.H00631" mRNA join(8183552..8184018,8187614..8188155,8189452..8189538, 8189704..8189760,8189857..8190095,8190182..8190300, 8190434..8190520,8190653..8190931) /locus_tag="BRARA_H00631" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00631" CDS join(8183749..8184018,8187614..8188155,8189452..8189538, 8189704..8189760,8189857..8190095,8190182..8190300, 8190434..8190520,8190653..8190736) /locus_tag="BRARA_H00631" /codon_start=1 /product="hypothetical protein" /protein_id="RID49863.1" /db_xref="Phytozome:Brara.H00631" /translation="MSGGEANITVALLNKPAENDREEDELGMKEKVWNESKKLWVVAA PAIFTRFSTFGVSMISQAFIGHLGPIELAAYSITFTVLLRFSNGILLGMASALETLCG QAYGAKQNHMLGIYLQRSWIVLTGCTICLMPVYIFSGPILLALGQEERIVRVARIIAL WVIGVNFSFVPSFTCQMFLQAQSKNKIIAYVAAVSLAVHVFLSWLLMIHFDFGITGAM TSTLVAFWLPNIAQLLFVTCGGCKDTWRGFSMLAFKDLWPVFKLSMSSGGMLCLELWY NSILVLLTGNLKNAEVALDALAICININGLEMMIALGFLAAASVRVSNELGRGNSKGA KFATLNAVFTSLSIGIFLFFVFLFLRGRVSYIFTTSEAVAAEVADLSPLLACSILMNS VQPVLSGVAVGAGWQGYVTYVNLACYYLIGIPSGVILGYVVGLEVKGVWIGMLFGVFV QTCVLCIMTLRTDWDQQVSTSLRRLNRWVVPDESSAVNKTSSEE" gene 8191093..8192176 /locus_tag="BRARA_H00632" /db_xref="Phytozome:Brara.H00632" mRNA 8191093..8192176 /locus_tag="BRARA_H00632" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00632" CDS 8191394..8191660 /locus_tag="BRARA_H00632" /codon_start=1 /product="hypothetical protein" /protein_id="RID49864.1" /db_xref="Phytozome:Brara.H00632" /translation="MKTEDVTENNGNVEKVEQSEKDKEEGEILEESPELYDDDTEEET EAVDSGTQKTEETEQARRAERALRSTMPRLSKTQVKQTKDVLAP" gene 8200935..8203647 /locus_tag="BRARA_H00633" /db_xref="Phytozome:Brara.H00633" mRNA join(8200935..8201792,8201896..8202106,8202194..8202494, 8202644..8203111,8203272..8203647) /locus_tag="BRARA_H00633" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00633" CDS join(8201064..8201792,8201896..8202106,8202194..8202494, 8202644..8203111,8203272..8203488) /locus_tag="BRARA_H00633" /codon_start=1 /product="hypothetical protein" /protein_id="RID49865.1" /db_xref="Phytozome:Brara.H00633" /translation="MTKENTSHHHAEAKRKRLIWILCVSGFCILSYVLGSWQTNTVPS SSSAVYKRMGCDETKTQTKLSSSADNDDGNLSSSSSLSSSSSSEPVELDFESHHKLEL KQKNQTIKYLEPCDMSLSEYTPCEDRERGRRFDRNMMKYRERHCPSKDELLYCLIPPP PNYKIPFKWPQSRDYAWYDNIPHKELSIEKAIQNWIQVEGERFRFPGGGTGFPRGADA YIDDISRLIPLTDGAVRTAIDTGCGVASFGAYLLKRDILAMSFAPRDTHEAQVQFALE RGVPAIIGIMGSIRLPYPARAFDLAHCSRCLIPWFQNDGLYLTEVDRVLRPGGYWILS GPPINWKQHWKGWERSQEDLKQEQDSIENAARSLCWKKVTEKGDFSIWQKPINHIECK KLKRVHKSPPICTKAVQPDSAWYKELESCVTPLPEAKGPDEFAGGALENWPDRAFAVP PRIIQGTIPEISAEIFREDNEVWKDRVAYYKQIMPELSRGRFRNIMDMNAYLGGFAAA IVKYPSWVMNVVPVDAEKQTLGVIYERGFIGTYQDWCEGFSTYPRTYDLIHAGGLFSI YENRCDVTLILLEMDRILRPEGTVVFRDTVEILTKIQSITNGMKWTSRIMDHEKGPFI PEKILLAVKSYWTGPSS" gene 8224228..8227062 /locus_tag="BRARA_H00634" /db_xref="Phytozome:Brara.H00634" mRNA join(8224228..8224507,8224591..8224669,8224739..8224833, 8224914..8225018,8225086..8225165,8225737..8225827, 8225895..8226002,8226083..8226169,8226401..8226469, 8226678..8227062) /locus_tag="BRARA_H00634" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00634" CDS join(8224310..8224507,8224591..8224669,8224739..8224833, 8224914..8225018,8225086..8225165,8225737..8225827, 8225895..8226002,8226083..8226169,8226401..8226469, 8226678..8226809) /locus_tag="BRARA_H00634" /codon_start=1 /product="hypothetical protein" /protein_id="RID49866.1" /db_xref="Phytozome:Brara.H00634" /translation="MEVEEEVRRIVEQVKELNDSATSFVSSSSHEELSLRKRSSLVDA SITRLHSTLLSDKHHDPKLLDKLEEDLQRARCMLADGDTCSFLPSKPQGRFVRMFLGP LNVRASRKDIQLKVKEEYNSYRDRTALLFLVFPAILLTLRSYVWEGCLPAFPVQLYQA WLLFLYAGLAMRENILRANGSDIRSWWIYHHYCAMAMALVSLTWEIKGQPNCVQKQKG VRLFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVDGQLLLLCPI LFTLQGFEAYVGLQLLRTALTGVVGEWQVLICGILLVVMAIGNFINTVETLMVKSRFK AKIKRSKSRAELD" gene complement(8234278..8237333) /locus_tag="BRARA_H00635" /db_xref="Phytozome:Brara.H00635" mRNA complement(join(8234278..8234974,8235063..8236440, 8236827..8237333)) /locus_tag="BRARA_H00635" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00635" mRNA complement(join(8234278..8234978,8235063..8236440, 8236827..8237333)) /locus_tag="BRARA_H00635" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00635" CDS complement(join(8234736..8234978,8235063..8236440, 8236827..8237098)) /locus_tag="BRARA_H00635" /codon_start=1 /product="hypothetical protein" /protein_id="RID49868.1" /db_xref="Phytozome:Brara.H00635" /translation="MEQGGGGGGGNEVVEEASPISSRPPANMEELMRFSAAADDGGGL GGGSSSSSSGNRWPREETLALLRIRSEMDSTFRDATLKAPLWEHVSRKLLELGYKRNA KKCKEKFENVQKYHKRTKETRGGRHEGKTYKFFSQLEALNTITSAPPSSLDVTPLSVA NPVQQPSSQFPVFSLTQTPPPSQPLPPHTVSFTPNPPPPPSVDPPFPGGTFSSHSSST ASGMGSDDDDDDMDVDHAGPSSHKRKRENRGGKMMELFQGLVRQVMQKQAAMQRSFLE ALEKREQERLAREEAWKRQEMSRLAREHEIMTQERAASASRDAAIISLIQKITGHTIQ LPPSLSSQPSPPPPAAKRPSSQAVEPPHSQPIMAIPQQQVLPPPPHQPPPQQQQQEMI MSSDQSLPSSSRWPKEEILALINLRSGMEPRYQDNVPKGLLWEEISSSMKRMGYNRNA KRCKEKWENINKYYKKVKESNKKRPQDAKTCPYYHRLDLLYRSKVLGSGAGSSTSALP QDQISTVQKQSPVPAMKPSQEGDVNVHQGEEPREETPQGAEKPEDLVMRELMQQKQQQ QEEDSMIGEYEKIEASHKYNMEEEEMDEELDEDEKSAAYEIAFQSPANRGGNGHSEPP FLTMVQ" CDS complement(join(8234963..8234974,8235063..8236440, 8236827..8237098)) /locus_tag="BRARA_H00635" /codon_start=1 /product="hypothetical protein" /protein_id="RID49867.1" /db_xref="Phytozome:Brara.H00635" /translation="MEQGGGGGGGNEVVEEASPISSRPPANMEELMRFSAAADDGGGL GGGSSSSSSGNRWPREETLALLRIRSEMDSTFRDATLKAPLWEHVSRKLLELGYKRNA KKCKEKFENVQKYHKRTKETRGGRHEGKTYKFFSQLEALNTITSAPPSSLDVTPLSVA NPVQQPSSQFPVFSLTQTPPPSQPLPPHTVSFTPNPPPPPSVDPPFPGGTFSSHSSST ASGMGSDDDDDDMDVDHAGPSSHKRKRENRGGKMMELFQGLVRQVMQKQAAMQRSFLE ALEKREQERLAREEAWKRQEMSRLAREHEIMTQERAASASRDAAIISLIQKITGHTIQ LPPSLSSQPSPPPPAAKRPSSQAVEPPHSQPIMAIPQQQVLPPPPHQPPPQQQQQEMI MSSDQSLPSSSRWPKEEILALINLRSGMEPRYQDNVPKGLLWEEISSSMKRMGYNRNA KRCKEKWENINKYYKKVKESNKKRPQDAKTCPYYHRLDLLYRSKVLGSGAGSSTSALP QDQISTVQKQSPVPAMKPSQEGDVNVHQGEEPREETPQGAEKKTL" gene complement(<8242529..>8245277) /locus_tag="BRARA_H00636" /db_xref="Phytozome:Brara.H00636" mRNA complement(join(<8242529..8242612,8242714..8242778, 8242865..8242937,8243025..8243114,8243187..8243238, 8243316..8243422,8243535..8243639,8243719..8243848, 8243986..8244032,8244118..8244261,8244348..8244449, 8244530..8244628,8244956..8245108,8245248..>8245277)) /locus_tag="BRARA_H00636" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00636" CDS complement(join(8242529..8242612,8242714..8242778, 8242865..8242937,8243025..8243114,8243187..8243238, 8243316..8243422,8243535..8243639,8243719..8243848, 8243986..8244032,8244118..8244261,8244348..8244449, 8244530..8244628,8244956..8245108,8245248..8245277)) /locus_tag="BRARA_H00636" /codon_start=1 /product="hypothetical protein" /protein_id="RID49869.1" /db_xref="Phytozome:Brara.H00636" /translation="MNAIDSYFQQVLSYGRNMKVILEAPLHDKDCVLGNILAAHYLSS CDPSKVNLYVKSASIKLERSTPYEKAVFETVTYLISEDKDDDLAFEMHIELLKRFPKD LVYLKRAQVLSFQMAKPVPFLNLVQQVLPENQDESYIHGMLAFPLLELGRMEEAAAAS RKGYGINKEDALAHHCFCHVLQHKCHFKEAVECTHNWWHVALCYLEGGSPMSKVKEIY DNHIWKELEKEDAIPPEVYLNALSLFLRLDVRDVLDGFKDRLELLAARLTDQANWYLD WQLDILIVWALAKVGETSMAHELLEGLKFRLSKMNKTKQQVMQKAIQLGEAVYEYAKG NYKQALCLLGSDFNAIDYKIIAASDEQIDVFNEVWCQTGQSSTAKEVIRKRIKVREGS PFTWRLQEKSYAMEGDAEALNAGQRAKMLESSYF" gene complement(8261242..8263333) /locus_tag="BRARA_H00637" /db_xref="Phytozome:Brara.H00637" mRNA complement(join(8261242..8261539,8261630..8261725, 8262012..8262108,8262190..8262295,8262370..8262442, 8262577..8262720,8262792..8263333)) /locus_tag="BRARA_H00637" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00637" CDS complement(join(8261384..8261539,8261630..8261725, 8262012..8262108,8262190..8262295,8262370..8262442, 8262577..8262720,8262792..8263232)) /locus_tag="BRARA_H00637" /codon_start=1 /product="hypothetical protein" /protein_id="RID49870.1" /db_xref="Phytozome:Brara.H00637" /translation="MAISLLPRPFISLRTSQSFNLSPRVFSLRLSCSSSSDGSAGNQQ VSSNPEKRSFAAATGDMFIGVASRLLKRSNQRTPPSDEGERIGTVIEDEVDPEMIWEQ RVKDVEAEKERRVITSPGFSFSAAGLLFPYHLGVAQLLIEKGYIKDTTPLAGASAGAV VCAVITSGSSMWEALEATKVLADDCRRNGTAFRLGAVLRESMEKLLPDDIHIRSNGRV RVAVTQVLWKPRGLLVDQFDSKSDLINAVHTSSFIPGYLAPRPVTMFRNRVCVDGGLT LFMPPTAAAKTVRVCAFSASSFKLKGIGISPDCNPLNRATARQLLKWALEPAEDEVLD RLFELGYADAATWSEMNPVDELVYDDTPAAQAIQAS" assembly_gap 8301095..8311094 /estimated_length=unknown /gap_type="between scaffolds" gene <8319201..>8319813 /locus_tag="BRARA_H00638" /db_xref="Phytozome:Brara.H00638" mRNA join(<8319201..8319211,8319261..>8319813) /locus_tag="BRARA_H00638" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00638" CDS join(8319201..8319211,8319261..8319813) /locus_tag="BRARA_H00638" /codon_start=1 /product="hypothetical protein" /protein_id="RID49871.1" /db_xref="Phytozome:Brara.H00638" /translation="MKPIPGDPVLDIDGNIIFNGSYYILSHMVGTGGGGLTLSILPSK WWLYVAQETSEANRGFSVRFSDWRSTVKFVLESAHINIQMVVNATVTTQPTYWTLNIP LKILEAGIVAVGPKPLSHYFQIQKIEDSLGGYKIVFCWSSGSCTDLGIHPDRYGVRRL QFSSTPHEVVFMRAPETETSSKTMSII" gene complement(<8325254..>8325728) /locus_tag="BRARA_H00639" /db_xref="Phytozome:Brara.H00639" mRNA complement(join(<8325254..8325565,8325642..>8325728)) /locus_tag="BRARA_H00639" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00639" CDS complement(join(8325254..8325565,8325642..8325728)) /locus_tag="BRARA_H00639" /codon_start=1 /product="hypothetical protein" /protein_id="RID49872.1" /db_xref="Phytozome:Brara.H00639" /translation="MQSAKQKLSDLASTAKKKMVICRAKVEEKADKARARTKEEKKIA HERRKAREAEAKLDMHVAKEAHAEEKLMAKQSHYHVSQSHVPHHTPVTTPQPVVGHGY GHNHPAAYPPTAYPTAYPQEHHHHHPYGNV" gene 8326314..8327902 /locus_tag="BRARA_H00640" /db_xref="Phytozome:Brara.H00640" mRNA join(8326314..8326574,8326651..8326714,8326869..8326957, 8327147..8327215,8327283..8327327,8327641..8327902) /locus_tag="BRARA_H00640" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00640" CDS join(8326347..8326574,8326651..8326714,8326869..8326957, 8327147..8327215,8327283..8327327,8327641..8327685) /locus_tag="BRARA_H00640" /codon_start=1 /product="hypothetical protein" /protein_id="RID49873.1" /db_xref="Phytozome:Brara.H00640" /translation="MALAFPCTFCTPFKLKTSPINRRYSRSIRPQATSSEFRIPVEIS SPADRGSMAVPTHKVTVHDRQRGVVHEFEVPEDQYILHSAESQNITLPFACRHGCCTS CAVRVKSGDLRQPQALGISAELKSQGYALLCVGFPTSDLEVETQDEDEVYWLQFGRYF ARGPIERDDYALELAMGDE" gene complement(<8343784..>8344907) /locus_tag="BRARA_H00641" /db_xref="Phytozome:Brara.H00641" mRNA complement(join(<8343784..8343972,8344057..8344269, 8344362..8344663,8344751..>8344907)) /locus_tag="BRARA_H00641" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00641" CDS complement(join(8343784..8343972,8344057..8344269, 8344362..8344663,8344751..8344907)) /locus_tag="BRARA_H00641" /codon_start=1 /product="hypothetical protein" /protein_id="RID49874.1" /db_xref="Phytozome:Brara.H00641" /translation="MARSYLPPGFRFHPTDEELVGYYLHRRNEGLEIELEIIPLMDLY KFDPWELPEKSFLPNRDMEWFFFCHRDRKYQNGSRINRATKSGYWKATGKDRKIVCQS SSSSSTSSIIGCRKTLVFYLGRAPFGGRTEWAMHEYRLFDNDTSQGSLNFKGDFALCR VVKRNHEVTLKKCETSSLEVPVPDEPLTNNVDIPCEARYIGKGSCDASNTLRASPDFI LGSSTKGHSQSQTEDDSGFQEFSLPEIGYPPEFFADLNFDWGMENPFPFVQYQEAHVN NEVINYDVLR" gene <8346908..>8349195 /locus_tag="BRARA_H00642" /db_xref="Phytozome:Brara.H00642" mRNA join(<8346908..8348510,8349056..>8349195) /locus_tag="BRARA_H00642" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00642" CDS join(8346908..8348510,8349056..>8349195) /locus_tag="BRARA_H00642" /codon_start=1 /product="hypothetical protein" /protein_id="RID49875.1" /db_xref="Phytozome:Brara.H00642" /translation="MGSLNRMRSAHHADIKEKGILYEDDDEPIKLVDRDDSFVIKEFC LSLIGKILNPKKQNVEKLLQTMPSQWGLSERITANDLGNGKFLFNFMSEEDLTSVLRQ GPFHYNFCMFVLVRWEPIVHDDYPWIVPFWVRLIGFPLHLWTDVNLRNIGGRLGHVDT LELTEGRMLIDVDSRRPLKFARKVEYEGDEVTIEIKYDKLFKHCSICGLLSHEKGYCP SLDVRSRLQQPERHGVFTRMQMNQEQSYHPHLTRDSATPYQQPSLQGRELKLSRNDNP HYSRYNVGADTRERNLGYRGPSSRTRVEDDRRGRHSDRIIHRREDYRTSNRYGGARER PGPYDRPTEQSWRVKSKRGDGLGNGQNEVASGATSRELVPYEHSSAHSSLTKRIMDEQ RCGETTSIRKIASAIVTPSRVEYPMEENVTVRGRGDARVLSFSPSDDLAPSNDDDLII GALDDMELVDHPVDDMLEEEIEGEDLLELDLMEMEGNQSQPRPIEVKGRSSNKKPKGT KKLGVKRNAPLSINNRKFEVLRRGSPSDFNEITGHNEKEGGRQRLDSSFLPFKQMLND CGMLEFPFTGDMLSW" gene 8391946..8395960 /locus_tag="BRARA_H00643" /db_xref="Phytozome:Brara.H00643" mRNA join(8391946..8392019,8395169..8395274,8395544..8395960) /locus_tag="BRARA_H00643" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00643" CDS join(8391985..8392019,8395169..8395274,8395544..8395582) /locus_tag="BRARA_H00643" /codon_start=1 /product="hypothetical protein" /protein_id="RID49876.1" /db_xref="Phytozome:Brara.H00643" /translation="MRKIVMIHLPSRLDIRVFSAQAAETWRIVTLKKFRFDNLEPSSV KSMVFEYTMNSKIET" gene 8431446..8432466 /locus_tag="BRARA_H00644" /db_xref="Phytozome:Brara.H00644" mRNA join(8431446..8431626,8431721..8431800,8431887..8432057, 8432142..8432466) /locus_tag="BRARA_H00644" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00644" CDS join(8431515..8431626,8431721..8431800,8431887..8432057, 8432142..8432270) /locus_tag="BRARA_H00644" /codon_start=1 /product="hypothetical protein" /protein_id="RID49877.1" /db_xref="Phytozome:Brara.H00644" /translation="MALRMWASSTANALKLSSSASKSHLLPAFSISRCFSSGLKYANS HEWVKHEGSVATIGISDHAQDHLGEVVFVELPEEKSSVSKEKNFGAVESVKATSEIIS PISGEVIEVNTKLADSPGLINSSPYEEGWMIKVKPSNPAELESLMGPKEYTKFCEEED AAH" gene 8432536..8433206 /locus_tag="BRARA_H00645" /db_xref="Phytozome:Brara.H00645" mRNA 8432536..8433206 /locus_tag="BRARA_H00645" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00645" CDS 8432779..8433087 /locus_tag="BRARA_H00645" /codon_start=1 /product="hypothetical protein" /protein_id="RID49878.1" /db_xref="Phytozome:Brara.H00645" /translation="MLFHQPNNAFTRFLHILFAQTHHYIVSIYMTKNNRCQSTNKPKP LTFNFFFNSIKSTLLLSFSLRTNLSFLENKRRIGRGNTENENDECEEERNVPGWWMIS " assembly_gap 8434902..8444901 /estimated_length=unknown /gap_type="between scaffolds" gene complement(8446586..8450283) /locus_tag="BRARA_H00646" /db_xref="Phytozome:Brara.H00646" mRNA complement(join(8446586..8447002,8447079..8447229, 8447326..8447563,8447653..8447863,8447960..8448078, 8448181..8448318,8449435..8450283)) /locus_tag="BRARA_H00646" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00646" CDS complement(join(8446715..8447002,8447079..8447229, 8447326..8447563,8447653..8447863,8447960..8448078, 8448181..8448318,8449435..8450254)) /locus_tag="BRARA_H00646" /codon_start=1 /product="hypothetical protein" /protein_id="RID49879.1" /db_xref="Phytozome:Brara.H00646" /translation="MNFPQTQIEDTRIMSSCASLIFLFLFLFLRASAQDPTYLGYNCP DTATYSSRSTYFTNLRTVLSSLSSQNASYSTGFQNATAGEDPDMVNGLFLCRGDVSAE VCRNCVAFVVKDTLDRCPEEENVVLYYDQCMVRYSNRNILSTVNTEGSLVLKNTKNVT SNQKDRFRDLVLSTLNPAAVEAASSSRKFDARKANWTASQTLYGLVQCTPDLSREDCL SCLQQSINQLSIDRTGERFVVPSCSARYELYLFYNESATIKPSPPPQLLSTPPQPEKG GSSTGLVVAIVVAIMVAVLLFIAGYCFLAKGSNKAYHISYALDGDNITTADSLQIDYR SIQTATADFSENNKIGQGGFGEVYKGTLLDGTDVAVKKLSKSSGQGEAEFKNEVVLVA KLQHRNLVKLLGFCLQGEERVLVYEYVPNKSLDCVLFDPEKQVHLDWTRRYNIIGGVA RGILYLHQDSRLTIIHRDLKASNILLDADMNPKISDFGMARIFGLDQTQQNTNIIVGT CGYMSPEYAMHGQYSMKSDVYSFGVLVLEILSGKRNSSFYPTDGIHNFVSYAWRLWTN GTPLDLLDPVIVDNCQRNEVVRCIHISLLCIQEDPVDRPTLSNIVLMLTSNTVTLPVP RKPSIFFQSRPRKDPLDSVDDASITDLYPR" gene complement(8460564..>8463427) /locus_tag="BRARA_H00647" /db_xref="Phytozome:Brara.H00647" mRNA complement(join(8460564..8460680,8460781..8460876, 8463422..>8463427)) /locus_tag="BRARA_H00647" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00647" CDS complement(join(8460636..8460680,8460781..8460876, 8463422..8463427)) /locus_tag="BRARA_H00647" /codon_start=1 /product="hypothetical protein" /protein_id="RID49880.1" /db_xref="Phytozome:Brara.H00647" /translation="MKHTVKIWIVLVTISAFLIGLLQAEIVPPSYTWLLHIYFVVSLR CHGL" gene complement(<8476067..>8478851) /locus_tag="BRARA_H00648" /db_xref="Phytozome:Brara.H00648" mRNA complement(join(<8476067..8476138,8476220..8477407, 8477491..8477592,8477678..8477945,8478048..8478105, 8478197..8478461,8478536..8478612,8478683..>8478851)) /locus_tag="BRARA_H00648" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00648" CDS complement(join(8476067..8476138,8476220..8477407, 8477491..8477592,8477678..8477945,8478048..8478105, 8478197..8478461,8478536..8478612,8478683..8478851)) /locus_tag="BRARA_H00648" /codon_start=1 /product="hypothetical protein" /protein_id="RID49881.1" /db_xref="Phytozome:Brara.H00648" /translation="MMKSGEKREEIITLAIDRDKESQNALKWTVDNLVSKGQTLTLLH VKLKQFPSLPYSGSYPNRSGDDGTELFLPFRCYCARKDVLCQDVIVEDISAAKGILDY VQKNAIETLVLGASKMNLLRFKAADVSNTVMKRAPSFCTVYAISKGKISSMKSATSSL PNSTMRSNMNVERRQHTMHRIHDEIQIEIKRGYEGRYQPSMTDSDISFVSSGRPSVDL MFPSFDDHIEVPRLSVNSDYEENRISFATSSSSDKQSIDLGSSYTAFSSSSGRPSCSL STQDEIEAEMRKLKMELKHTMDMYNSACKEAISAKKAATELHKWKADKERKLEEVRLS KEEAMAMAESEKEKSRVAIETAVAAHRIAELEAQKTKHIIEENNKSVVKTTDLRYRKY IIEEIEEATEDFSPSRKIGEGGYGPVYKGTLDFTQVAIKVLRPDAAQGRSQFQQEVEV LTSMRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFRRGNSPALSWQLRFRIAAEIA TGLHFLHQMKPEPLVHRDLKPGNILLDQHYVSKISDVGLARLVPPSVADTATQYRMTS TAGTFCYIDPEYQKTGMLGTKSDIYSFGIMLLQILTAKPPMGLTYHVERAIENRTFAE MLDPSVPDWPLEEALVAAKLAVQCAELRRKDRPDLGNVVLPELNRLKTLAEESMLPTN IGGSKRPTRNRNNNIYIKSPLSTTSLHEIMSGPQLHYASDTPSIHKKIAS" gene 8479425..>8481524 /locus_tag="BRARA_H00649" /db_xref="Phytozome:Brara.H00649" mRNA join(8479425..8480786,8480860..>8481524) /locus_tag="BRARA_H00649" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00649" CDS join(8479460..8480786,8480860..8481524) /locus_tag="BRARA_H00649" /codon_start=1 /product="hypothetical protein" /protein_id="RID49884.1" /db_xref="Phytozome:Brara.H00649" /translation="MQVRSFDAMMVPVVSLLLLFLLSTPIHGLSDSEAIIRFKKFLVV GQPNALASWDDKTPPCTWAGVLCNRGSVWGLQMENLELSGSIDIDALAGLTSLRTLSF MNNKFGGPLPEFKKLSALKSLYLSNNQFEGEIPMNAFEGMGWLKKIYLAQNKFTGEIP ISMATLPKLLELRVDGNQFTGKIPEFEHKLHMLNLSNNAFIGHIPESLSMMDPKVFQG NKGLCGKPLETACDTPINELPPQLEAPPKPSSSRTPLVIMAVVTVLMVVIIIGVIILV NRKNKNKQPPLGLETKPSSLQKKTGIREADQSRRDRQQSSHGNGSRRGKKMDTSGGGV ENTKLSFLREDRERFELQDLLKASAEILGSGCFGASYKAVLSSGVSMVVKRFKQMNNE GREEFQEHMNRLGRLRHNNLLPIVAYYYRKEEKLLVCDFAERGSLAVNLHGNQSLGTP SLDWPTRLKVVKGVARGLLYLHQDLPGLMAPHGHLKSSNVLLTKNFEPLLTDYGLIPM IDQMKAQEHMVAYKSPEYLQHRRVTKKTDVWGLGILILEILTGKFPANNLQNGNKNEE DLVSWVNLGFQGVWAPSLFDKEMGKTSHCEGQIVSLLKIGLSCCEPDVEKRLEIGAAV EKIEELKEQQGDSDDFYSTYVSETDGRSSKGASTESINV" gene complement(8481497..8483938) /locus_tag="BRARA_H00650" /db_xref="Phytozome:Brara.H00650" mRNA complement(join(8481497..8482020,8482102..8482164, 8482315..8482378,8482488..8482813,8482891..8482982, 8483101..8483246,8483377..8483558,8483641..8483746, 8483843..8483938)) /locus_tag="BRARA_H00650" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00650" mRNA complement(join(8481497..8482020,8482102..8482164, 8482315..8482378,8482488..8482813,8482891..8482982, 8483101..8483246,8483377..8483561,8483641..8483746, 8483843..8483938)) /locus_tag="BRARA_H00650" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00650" CDS complement(join(8481835..8482020,8482102..8482164, 8482315..8482378,8482488..8482813,8482891..8482982, 8483101..8483246,8483377..8483558,8483641..8483746, 8483843..8483910)) /locus_tag="BRARA_H00650" /codon_start=1 /product="hypothetical protein" /protein_id="RID49882.1" /db_xref="Phytozome:Brara.H00650" /translation="MASRVYAHYHHGDACSKARWNTRETFRFMYDRPWQHVLDFYSNA VARKLSVLNLFEPNILAHDDGEREGLPLETELETCGRKDGRTGRWERVNFKILLSYNG ASFDGWQKQPDLHTVQSVVEKSLGAFVDEKKAQLLKKKCKPLEGRVLVAGRTDKGVSA LNQVCSFYTWRKDIEPIDIEDAINKDASGKLRVVSVSKVSREFHPNFSAKWRRYLYIF PLDHACGSGKDRENLIFDENLGKQRNGLLSEENTEGVGEKDDELETEEVDGAKPSDFS VSKVDQLLQQLQGKLLSYKMFARDLKAARNEGPPTECFMYHARAAEIRLPSPEYVEGR RVMCVELVANRFLRKMVRVLVATSIREAAAGAENDALLKLVEASCRRATAPPAPSEGL CLFDVGYVDFDPHSSLIS" CDS complement(join(8481835..8482020,8482102..8482164, 8482315..8482378,8482488..8482813,8482891..8482982, 8483101..8483246,8483377..8483561,8483641..8483746, 8483843..8483910)) /locus_tag="BRARA_H00650" /codon_start=1 /product="hypothetical protein" /protein_id="RID49883.1" /db_xref="Phytozome:Brara.H00650" /translation="MASRVYAHYHHGDACSKARWNTRETFRFMYDRPWQHVLDFYSNA VARKLSVLNLFEPNKILAHDDGEREGLPLETELETCGRKDGRTGRWERVNFKILLSYN GASFDGWQKQPDLHTVQSVVEKSLGAFVDEKKAQLLKKKCKPLEGRVLVAGRTDKGVS ALNQVCSFYTWRKDIEPIDIEDAINKDASGKLRVVSVSKVSREFHPNFSAKWRRYLYI FPLDHACGSGKDRENLIFDENLGKQRNGLLSEENTEGVGEKDDELETEEVDGAKPSDF SVSKVDQLLQQLQGKLLSYKMFARDLKAARNEGPPTECFMYHARAAEIRLPSPEYVEG RRVMCVELVANRFLRKMVRVLVATSIREAAAGAENDALLKLVEASCRRATAPPAPSEG LCLFDVGYVDFDPHSSLIS" gene 8519302..8526959 /locus_tag="BRARA_H00651" /db_xref="Phytozome:Brara.H00651" mRNA join(8519302..8519627,8519951..8520040,8525572..8526959) /locus_tag="BRARA_H00651" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00651" CDS join(8520027..8520040,8525572..8526007) /locus_tag="BRARA_H00651" /codon_start=1 /product="hypothetical protein" /protein_id="RID49885.1" /db_xref="Phytozome:Brara.H00651" /translation="MARERPNSYCQTNSKRKKRREKEMASTSAVSLFMPLTQNRSPSS TKTVALRKPLLPLKPSKASSSSLAMPSRRFQVNASNLKMEKAVSGLAAAALTVSMVIP EVAEAAGSGVSPSLKNFLLSIAAGGVVLTVIIGVVVGVSNFDPVKRG" gene 8544830..8545412 /locus_tag="BRARA_H00652" /db_xref="Phytozome:Brara.H00652" mRNA 8544830..8545412 /locus_tag="BRARA_H00652" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00652" CDS 8544878..8545258 /locus_tag="BRARA_H00652" /codon_start=1 /product="hypothetical protein" /protein_id="RID49886.1" /db_xref="Phytozome:Brara.H00652" /translation="MASTSAVSLFMPLTQNRSPSSTKTVALRKPLLPLKPSKASSSSL AMPSRRFQVNASNLKMEKAVSGLAAAALTVSMVIPEVAEAAGSGISPSLKNFLLSIAA GGVVLTVIIGVVVGVSNFDPVKRG" gene <8550776..>8551722 /locus_tag="BRARA_H00653" /db_xref="Phytozome:Brara.H00653" mRNA join(<8550776..8550917,8551007..8551136,8551212..>8551722) /locus_tag="BRARA_H00653" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00653" CDS join(8550776..8550917,8551007..8551136,8551212..8551722) /locus_tag="BRARA_H00653" /codon_start=1 /product="hypothetical protein" /protein_id="RID49887.1" /db_xref="Phytozome:Brara.H00653" /translation="MMRKRESSKVKKEELNRGAWTDQEDKILKDYIMFHGEGKWSTLP NQAGLKRCGKSCRLRWKNYLRPGIKRGNISSDEEELIIRLHNLLGNRWSLIAGRLPGR TDNEIKNHWNSNLRKRLPKSQTNQQKSRKHSNNNNMNKVCVIRPKAIRFPKALTFQNQ SSIGSTSLLTVKENVIDHQAGSPSLLGDLKIDFDKIQSEYLFSDLMDFDGLGCGNVMS LVSSDEVLGDYVSADTSCLGNLDLNRPFTSCLQEDCLWDFNC" gene complement(8560972..8562388) /locus_tag="BRARA_H00654" /db_xref="Phytozome:Brara.H00654" mRNA complement(join(8560972..8561195,8561279..8561344, 8561668..8561793,8561870..8562044,8562117..8562388)) /locus_tag="BRARA_H00654" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00654" CDS complement(join(8561163..8561195,8561279..8561344, 8561668..8561793,8561870..8562044,8562117..8562319)) /locus_tag="BRARA_H00654" /codon_start=1 /product="hypothetical protein" /protein_id="RID49888.1" /db_xref="Phytozome:Brara.H00654" /translation="MATEDVNEALAAAEVTAIESTEKQQPPHKLERKWSFWFDNQSKP KQGAAWGASLRKACTFDTVEDFWGLHETIFVPSRLTPNADIHMFKAGVEPKWEDPECA NGGKWTYVVTNNRKQALDKAWLETLMALVGEQFDEADEICGVVASVRQKQDKLSLWTR TKSNEAVLMGIGKKWKEILDVTDKISFTNHDDARRSRFSV" gene <8579037..>8581252 /locus_tag="BRARA_H00655" /db_xref="Phytozome:Brara.H00655" mRNA join(<8579037..8579278,8579359..8579398,8579477..8579580, 8579673..8579721,8579801..8579887,8581006..8581018, 8581113..>8581252) /locus_tag="BRARA_H00655" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00655" CDS join(8579037..8579278,8579359..8579398,8579477..8579580, 8579673..8579721,8579801..8579887,8581006..8581018, 8581113..8581252) /locus_tag="BRARA_H00655" /codon_start=1 /product="hypothetical protein" /protein_id="RID49889.1" /db_xref="Phytozome:Brara.H00655" /translation="MVQILAASPTCQMKLTKPSPIASSKLWSSVMLKQKKQNSSKLRS FKVMALQSDNSTINRVESLLNLDTKPFTDRIIAEYIWIGGSGIDLRSKSRTLEKPVED PSELPKWNYDGSSTGQAPGEDSEVILYPQAIFRDPFRGGDNILVICDTYTPAGEPIPT NKRARAAEIFSNKKKKGKGYLEDRRPASNMDPYIVTSLLAETTLLWEPTLEAEALAAQ KLSLKV" gene 8611741..8613967 /locus_tag="BRARA_H00656" /db_xref="Phytozome:Brara.H00656" mRNA join(8611741..8611892,8611977..8612369,8612450..8612635, 8612719..8612832,8612924..8613253,8613350..8613967) /locus_tag="BRARA_H00656" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00656" CDS join(8611815..8611892,8611977..8612369,8612450..8612635, 8612719..8612832,8612924..8613253,8613350..8613472) /locus_tag="BRARA_H00656" /codon_start=1 /product="hypothetical protein" /protein_id="RID49890.1" /db_xref="Phytozome:Brara.H00656" /translation="MGVTGGLVRSIFFRNKSFGAHDYNNNGRSNLGEKKRWSSVRSYL CGDEFNSVLAVDDSGSIKDSLDPLLTMSKQLSSDSVLAIQDSASVKLHQEEEEEDSVS GKNSEVSVTQPLHKEEQSEATETHIPKRHQTTLISKLFLEEDAAVKIQSAFRTYLAKR GSNEVEETFDKEESEESQGKVSMGTSLEVQTCSSVKAPFLRRKRVRTLHKNNTQVLRI KEEWDDSTVSSTISKSRIQSRIEAMTKRERALAYAFSQQLRICTKKKQVERNSEDESN IGWSWLERWMATRVPEIEARTNIQENVTVKSQRLVRKNRSFSSSIGGELESCASNDIP LQFESISEEDSEELQREKSKSVPSYKNERRHNRLQARKKDMQQQTKKAKTAPTSCKMG NEHEETSRKINSSSS" gene complement(<8632333..8635950) /locus_tag="BRARA_H00657" /db_xref="Phytozome:Brara.H00657" mRNA complement(join(<8632333..8632526,8633728..8633813, 8633972..8634183,8634294..8634410,8634477..8634545, 8634628..8634666,8634739..8634910,8634993..8635234, 8635319..8635492,8635578..8635669,8635772..8635950)) /locus_tag="BRARA_H00657" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00657" CDS complement(join(8632333..8632526,8633728..8633813, 8633972..8634183,8634294..8634410,8634477..8634545, 8634628..8634666,8634739..8634910,8634993..8635234, 8635319..8635492,8635578..8635664)) /locus_tag="BRARA_H00657" /codon_start=1 /product="hypothetical protein" /protein_id="RID49891.1" /db_xref="Phytozome:Brara.H00657" /translation="MSSYVGVVVSDPWLHSQFTQVELRTLKSKFNSNKTLLDRFTVGD LPPVLAKLNAFSGTFDEDEIKSVLDKSYSNTSDQEVDFETFLRAYLSVQARGVEKSGG SKASSSSFLKTSTTTVHHAINESEKASYVSHVNSYLRDDPFLKSYLPIDPATNAFFDL VKDGVLLCKLINLAVPGTIDERAINTKKILNPWERNENLTLGLNSAKAIGCTVVNIGT QDIAEGRPYLVLGLISQIIKIQMLADLNFKKTPSLFQLVDDTQDAEELMGLAPEKVLL KWMNFHLKKAGYEKQVTNFSSDVKDGEAYAYLLNALAPEHSSHVALETKDPTERAKKV LEQAEKMDCKRYLSPKDIVDGSANLNLAFVAQIFQHRNGLTDDSSKSTSFAEMMTDDV ETSREERYTESNVSEDATDEGDANSVTGEISNLSLDEASESSPIVQDQELLTKADEDK DEVDGDGENNNDA" gene complement(8664843..8667179) /locus_tag="BRARA_H00658" /db_xref="Phytozome:Brara.H00658" mRNA complement(join(8664843..8665223,8665306..8665857, 8665949..8666304,8666384..8666498,8666587..8666827, 8666926..8667179)) /locus_tag="BRARA_H00658" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00658" CDS complement(join(8664977..8665223,8665306..8665857, 8665949..8666304,8666384..8666498,8666587..8666827, 8666926..8667127)) /locus_tag="BRARA_H00658" /codon_start=1 /product="hypothetical protein" /protein_id="RID49892.1" /db_xref="Phytozome:Brara.H00658" /translation="MATHTMIARSSSSALAASSPLTETLPLFTTRSLTFPRKSSFSRL RLRFFAEKLSQLDSSNGCASLQDSGEHLTEEHDTKEESSTLSITVVGASGDLAKKKIF PALFALFYEGCLPQDFSVFGYARTKLTHEELRVMISRTLTCRIDQRENCVDKMDQFLK RCFYHSGQYNSEDDFAELNTKLKEKEEGKLSNRLYYLSIPPNIFVEVVRCASLRASSV NGWTRVIVEKPFGRDSESSGELTRCLKQYLTEEQIFRIDHYLGKELVENLSVLRFSNL VFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDQYGIIRDIMQNHLLQILALFAMETPV SLDAEDIRSEKVKVLRSMKPLLLQDMIVGQYKGHSKGGKAYPGYTDDPTVPTNSLTPT FAAAAMFINNARWDGVPFLMKAGKALHTRGAEIRVQFRHVPGNLYKKNFATDLDKATN ELVIRVQPDEGIYLRINNKVPGLGMRLDRSDLNLLYRSRYPREIPDAYERLLLDAIEG ERRLFIRSDELDAAWDLFTPALKELEHKKIVPELYPYGSRGPVGAHYLASKYNVRWGD LEDA" assembly_gap 8668147..8678146 /estimated_length=unknown /gap_type="between scaffolds" gene complement(8678147..8679390) /locus_tag="BRARA_H00659" /db_xref="Phytozome:Brara.H00659" mRNA complement(join(8678147..8678295,8678389..8678442, 8678520..8679003,8679239..8679390)) /locus_tag="BRARA_H00659" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00659" CDS complement(join(8678178..8678295,8678389..8678442, 8678520..8678983)) /locus_tag="BRARA_H00659" /codon_start=1 /product="hypothetical protein" /protein_id="RID49893.1" /db_xref="Phytozome:Brara.H00659" /translation="MSSQSCHGNESNSVNKEGNVAPSSVSENQLVLYDPRGNETEEEG AEPNQSQTSSHKTQCPSIGAFTVQCATCLKWRLMPSMEKYEEIREQILEKPFYCETAC EWKANVTCDVAEDISQDGTRVWAIDRPSISRPPAGWQRQLRIRGEGGTKFADVYYVTP SGKKLRSNVEVQKYLNENPEYITQGVKLSQFSFQIPKPLRENYVRKCWGWI" assembly_gap 8680536..8690535 /estimated_length=unknown /gap_type="between scaffolds" gene 8690553..8691218 /locus_tag="BRARA_H00660" /db_xref="Phytozome:Brara.H00660" mRNA join(8690553..8690639,8690897..8691218) /locus_tag="BRARA_H00660" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00660" CDS join(8690606..8690639,8690897..8691006) /locus_tag="BRARA_H00660" /codon_start=1 /product="hypothetical protein" /protein_id="RID49894.1" /db_xref="Phytozome:Brara.H00660" /translation="MEPSDAPVPIEANPLALVSPDAQTPLQPTEPGLCTHLKKARRSE PSS" gene 8705383..8708871 /locus_tag="BRARA_H00661" /db_xref="Phytozome:Brara.H00661" mRNA join(8705383..8705598,8705794..8705901,8705982..8706051, 8706124..8706221,8706323..8706464,8706547..8706647, 8706736..8706819,8706902..8706952,8707042..8707107, 8707181..8707246,8707343..8707423,8707509..8707586, 8707671..8707829,8707922..8708000,8708083..8708195, 8708270..8708871) /locus_tag="BRARA_H00661" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00661" CDS join(8705809..8705901,8705982..8706051,8706124..8706221, 8706323..8706464,8706547..8706647,8706736..8706819, 8706902..8706952,8707042..8707107,8707181..8707246, 8707343..8707423,8707509..8707586,8707671..8707829, 8707922..8708000,8708083..8708195,8708270..8708653) /locus_tag="BRARA_H00661" /codon_start=1 /product="hypothetical protein" /protein_id="RID49895.1" /db_xref="Phytozome:Brara.H00661" /translation="MSGSQSSLRRYLGAIKDTTTVSLAKVNSGYKELDIAIVKATNHV ERPSKERYIRAIFMAISATRPRADVAYCIHALARRLSKTHNWAVALKTLIVIHRALRE VDQTFHEEVINYSRSRSHMLNMSHFKDDSSPNAWVYSTWVRFYALFLEERLECFRVLK YDVEIDPPRTKELDTPDLLEQLPALQELLFRVLDCQPEGAAVQNHIIQLALSMVVTES TKIYQALTDGIDNLVDKFFEMQRNDALKALDMYRRAVKQAERLSEFYEVCKSVYVGRG DSFVKIEQPPTSFLQAMEEYVKEAPLAAGAKKEQAMEKLAAPKEILAIEYEKPPEVVE EKPKSPEPPVKAEAEAEAEKPVEKQPDLLSMDDPAPVVSELDEKNALALAIVPVTVEQ PVSTIDFTNGNSSGWELALVTAPSSNEVAAANSKLAGGLDKLTLDSLYEDAIRVNQQQ NRSHNPWEQHHPVHNGPMMHHQPFFASNGVSAPPPVQMANQYHQPYGFQHQNSGMMMG PVQPYQQQQQQQQNMNPFGNPFVSNGNPHQPYGSVQGGYNPYSSLM" gene complement(8713341..8721466) /locus_tag="BRARA_H00662" /db_xref="Phytozome:Brara.H00662" mRNA complement(join(8713341..8713579,8713671..8713757, 8713857..8713958,8714031..8714126,8714200..8714343, 8714524..8714571,8714712..8714813,8715073..8715248, 8715399..8715465,8715833..8716026,8716397..8716567, 8717013..8717084,8717615..8717679,8717820..8717915, 8717983..8718083,8718747..8718781,8719198..8719326, 8719767..8719843,8720045..8720103,8720288..8720353, 8720598..8720651,8720795..8720869,8721070..8721466)) /locus_tag="BRARA_H00662" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00662" mRNA complement(join(8713341..8713579,8713671..8713757, 8713857..8713958,8714031..8714126,8714200..8714343, 8714524..8714571,8714712..8714813,8715073..8715248, 8715399..8715465,8715833..8716026,8716397..8716567, 8717013..8717084,8717615..8717691,8717820..8717915, 8717983..8718083,8718747..8718781,8719198..8719326, 8719767..8719843,8720045..8720103,8720288..8720353, 8720598..8720651,8720795..8720869,8721070..8721466)) /locus_tag="BRARA_H00662" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00662" CDS complement(join(8713574..8713579,8713671..8713757, 8713857..8713958,8714031..8714126,8714200..8714343, 8714524..8714571,8714712..8714813,8715073..8715248, 8715399..8715465,8715833..8716026,8716397..8716567, 8717013..8717084,8717615..8717679,8717820..8717915, 8717983..8718083,8718747..8718781,8719198..8719326, 8719767..8719843,8720045..8720103,8720288..8720353, 8720598..8720651,8720795..8720869,8721070..8721354)) /locus_tag="BRARA_H00662" /codon_start=1 /product="hypothetical protein" /protein_id="RID49896.1" /db_xref="Phytozome:Brara.H00662" /translation="MTSPGSKKVVPGDGSEKNHSGNLGRRGDLNESGSSSSGGGGGEG GGGGGTFEYFGQVYHLGSNKIGHDYCHLRFLFIRGKYVEMYKRDPHENPDIKPIRRGV IGPTMMVEELGRRKVSHGDVYVIRFSNRLDERKKGEIACATAGEAMKWVEAFDEAKQQ AEYALSRGGSTRTKLSMEANIDLEGHRPRVRRYAYGLKKLIRIGQGPETLLRQSSTLV NDVRGDSFYGGGDNGDAIEAHEWKCVRTINGVRIFEDVANFKAGRGFLVKAVAVVEAS ADAVFEVISKLDKHQRYEWDTVTGDSELIDSYEGHYDVIYCVYDPKYLSRWQSKRDFI FSRQWVRGQDGTYTILQFPAVHKKRPPKSGYRRTDITPSTWEIRNLKKRSDAEPPSCL VTHMLEIHSKRWCKWKRTSYSKFEKTIPYALLLQVAGLKEYIGANPAFKYEASSTVVQ SKLSDIPSGEYVDEEMEEQFYDATDSSSDEEESDEDDEEQDKKEIKVKLKNVSWAIAS LSLKQPKAPGASNELDTSVDPVDIDPSQIQGSLRKGNGDKDSNCWNSPSGMGFMIRGK TYLKDNAKVMGGQPLLTLVSVDWLKVDKAVDNIALHPKCLVQSEPGKKLPFILVINLQ VPAKPNYCLVMYYAADRPVSESSSLGKFVNGSDSYRDSRFKLIPSIVQGYWMVKRAVG TKACLLGKAVTCKYLRKDNFLEIDVDIGSSAVARSVIGLVLGYVTSLIVDLAILIEGK EETELPEYILGTVRLNRIELDSAVSFEE" CDS complement(join(8713574..8713579,8713671..8713757, 8713857..8713958,8714031..8714126,8714200..8714343, 8714524..8714571,8714712..8714813,8715073..8715248, 8715399..8715465,8715833..8716026,8716397..8716567, 8717013..8717084,8717615..8717691,8717820..8717915, 8717983..8718083,8718747..8718781,8719198..8719326, 8719767..8719843,8720045..8720103,8720288..8720353, 8720598..8720651,8720795..8720869,8721070..8721354)) /locus_tag="BRARA_H00662" /codon_start=1 /product="hypothetical protein" /protein_id="RID49897.1" /db_xref="Phytozome:Brara.H00662" /translation="MTSPGSKKVVPGDGSEKNHSGNLGRRGDLNESGSSSSGGGGGEG GGGGGTFEYFGQVYHLGSNKIGHDYCHLRFLFIRGKYVEMYKRDPHENPDIKPIRRGV IGPTMMVEELGRRKVSHGDVYVIRFSNRLDERKKGEIACATAGEAMKWVEAFDEAKQQ AEYALSRGGSTRTKLSMEANIDLEGHRPRVRRYAYGLKKLIRIGQGPETLLRQSSTLV NDVRGDSFYGGGDNGDAIEAHEWKCVRTINGVRIFEDVANFKAGRGFLVKAVAVVEAS ADAVFEVISKLDKHQRYEWDTVTGDSELIDSYEGHYDVIYCVYDPKYLSRIFFRWQSK RDFIFSRQWVRGQDGTYTILQFPAVHKKRPPKSGYRRTDITPSTWEIRNLKKRSDAEP PSCLVTHMLEIHSKRWCKWKRTSYSKFEKTIPYALLLQVAGLKEYIGANPAFKYEASS TVVQSKLSDIPSGEYVDEEMEEQFYDATDSSSDEEESDEDDEEQDKKEIKVKLKNVSW AIASLSLKQPKAPGASNELDTSVDPVDIDPSQIQGSLRKGNGDKDSNCWNSPSGMGFM IRGKTYLKDNAKVMGGQPLLTLVSVDWLKVDKAVDNIALHPKCLVQSEPGKKLPFILV INLQVPAKPNYCLVMYYAADRPVSESSSLGKFVNGSDSYRDSRFKLIPSIVQGYWMVK RAVGTKACLLGKAVTCKYLRKDNFLEIDVDIGSSAVARSVIGLVLGYVTSLIVDLAIL IEGKEETELPEYILGTVRLNRIELDSAVSFEE" gene 8722847..8723536 /locus_tag="BRARA_H00663" /db_xref="Phytozome:Brara.H00663" mRNA join(8722847..8722933,8723072..8723536) /locus_tag="BRARA_H00663" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00663" mRNA join(8722847..8722933,8723076..8723536) /locus_tag="BRARA_H00663" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00663" CDS 8723099..8723296 /locus_tag="BRARA_H00663" /codon_start=1 /product="hypothetical protein" /protein_id="RID49898.1" /db_xref="Phytozome:Brara.H00663" /translation="MVAERFTVDLDKPFSRIGLIVAFGVLTRTLLEYTLSWLPFQRRN QELLVSSISLINLKFFDCALW" CDS 8723099..8723296 /locus_tag="BRARA_H00663" /codon_start=1 /product="hypothetical protein" /protein_id="RID49899.1" /db_xref="Phytozome:Brara.H00663" /translation="MVAERFTVDLDKPFSRIGLIVAFGVLTRTLLEYTLSWLPFQRRN QELLVSSISLINLKFFDCALW" gene complement(8723826..8728205) /locus_tag="BRARA_H00664" /db_xref="Phytozome:Brara.H00664" mRNA complement(join(8723826..8724418,8724515..8724544, 8724620..8724757,8724837..8724946,8725023..8725052, 8725138..8725203,8725268..8725347,8725507..8725645, 8725716..8725796,8725880..8725915,8725996..8726124, 8726206..8726225,8726497..8726584,8726695..8726769, 8726846..8726992,8727086..8727220,8727309..8727437, 8727806..8727898,8727975..8728205)) /locus_tag="BRARA_H00664" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00664" CDS complement(join(8724391..8724418,8724515..8724544, 8724620..8724757,8724837..8724946,8725023..8725052, 8725138..8725203,8725268..8725347,8725507..8725645, 8725716..8725796,8725880..8725915,8725996..8726124, 8726206..8726225,8726497..8726584,8726695..8726769, 8726846..8726992,8727086..8727220,8727309..8727437, 8727806..8727898,8727975..8728163)) /locus_tag="BRARA_H00664" /codon_start=1 /product="hypothetical protein" /protein_id="RID49900.1" /db_xref="Phytozome:Brara.H00664" /translation="MASLSLCSAHLSSTPSRSSLPISSLLSPPLSLFQSPIIRRNSSI FFTVTPRQIPQPFPTCTSLVKCCVKEPLKVMISGAPASGKGTQCELIVQKFGLVHIST GDLLRAEVSSGTEIGKKAKEFMNSGSLVPDEIVIAMVAGRLSREDAKKNGWLLDGFPR TSAQAQSLDKLNVKPDIFLLLDVPDEILIERCVGRRLDPVTGKIYHIKSYPPESDELK ARLVTRPDDTEEKVKARLQIYKQNSEAIISAYSDVMITIDANRPREMVFEETQTLLSQ INLNRMIKTDKASPVQDNWRGIPTRLNNIPHSRDIRSYFYEDVLQATVRSIKDGNTRL RVDINIPELNPEMDVYRIGTLMELVRTLALSFADDGKRVKVCVQGSMGEGALAGMPLQ LAGTRKILEYMDWGDDETLGTFVKLGAIGGKEVDEEDDIFILVAPQNAVGNCIIDDLQ AMTTAAGKRPVVLINPRLKDLPASSGIMQTMGREKRLEYALTFDNCYVFRLLYYAGTQ YPIMGALRMSYPYRYELYKRVTEENGKEKYVLISTYSERPTPDQINDAFSGKSREESK KPSGIWGFLGSGFF" gene 8740228..8740818 /locus_tag="BRARA_H00665" /db_xref="Phytozome:Brara.H00665" mRNA 8740228..8740818 /locus_tag="BRARA_H00665" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00665" CDS 8740289..8740633 /locus_tag="BRARA_H00665" /codon_start=1 /product="hypothetical protein" /protein_id="RID49901.1" /db_xref="Phytozome:Brara.H00665" /translation="MMAAGSVDGIFRNIFEGCISSCDASIERRPYHKNCSCALHKRSC RHKRSEVAWFPITRSWSEGNSMALHLTSSSSSSNLHSLSSSSSISTLASLSSTASLTM SDIDSSIEGLKY" gene <8746005..8747079 /locus_tag="BRARA_H00666" /db_xref="Phytozome:Brara.H00666" mRNA join(<8746005..8746641,8746711..8747079) /locus_tag="BRARA_H00666" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00666" CDS join(8746005..8746641,8746711..8746919) /locus_tag="BRARA_H00666" /codon_start=1 /product="hypothetical protein" /protein_id="RID49902.1" /db_xref="Phytozome:Brara.H00666" /translation="MASSSSILIPPILSASISRRNLLLSTTIATVSPSPQIPSPDVTI TDRVFLDFSLCPTYFRSDPSATLSSTTPCPDSTPLGRVVLGLYGRHVPLTVSTFKLMC TSSSTSYKNTPIHKIFPGQFFLAGRQGLRRDTAEVGPLSLPRNTDVVNSKSFLLPHAR PGLVSLCLSENDDDDETRLDPEYRNVEFLITTGPGPCPQLDGGNIVFGTVLEGLDVVT SIAAVPTFKPSEKIRQFNDFAEFLGDERAQNARSLWNRPLKTVFISDCGELKVTKPSL SPSLP" gene complement(<8757118..>8757540) /locus_tag="BRARA_H00667" /db_xref="Phytozome:Brara.H00667" mRNA complement(<8757118..>8757540) /locus_tag="BRARA_H00667" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00667" CDS complement(8757118..8757540) /locus_tag="BRARA_H00667" /codon_start=1 /product="hypothetical protein" /protein_id="RID49903.1" /db_xref="Phytozome:Brara.H00667" /translation="MSFTKKLKSTFSIAGCFRTTNHPQSLPEQPPSPTTPNETSTQSP TKTKSPRLTRTLSKSHEKCKNLIHRIGEGGHGKHIRRHTTDFHYDPSSYALNFDRGDE DQNVNRFPRYNFSSRLPRSPPSSATATESSFTIHNLLR" gene complement(<8761419..>8766303) /locus_tag="BRARA_H00668" /db_xref="Phytozome:Brara.H00668" mRNA complement(join(<8761419..8761605,8762591..8763213, 8763300..8763392,8763426..8763889,8764116..8764379, 8765880..>8766303)) /locus_tag="BRARA_H00668" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00668" CDS complement(join(8761419..8761605,8762591..8763213, 8763300..8763392,8763426..8763889,8764116..8764379, 8765880..8766303)) /locus_tag="BRARA_H00668" /codon_start=1 /product="hypothetical protein" /protein_id="RID49904.1" /db_xref="Phytozome:Brara.H00668" /translation="MLVQGLWTKSAAGDWTFEEDSGFRGDTIMITGTDSFEGLVEMIR IRLCLGILTPVALTYQLPEWMRLPEALRTPPINLTCDKDVEILASVREYMTEPVVYVT SGPEPVAKYQFLCRYPFTIGEKTYLEEGVTEEQHRQAIRGNQTIGYDNLYQGKVFNSR DDFKHHMALYVLRHKFRFRHTRCSPNRMVLCCVSLKCMWRVHAIKLKNVENFEIRRVQ LQHTCSIDERAGYEEQATHGVIGELMRARFVGNGMGPRPNEIVQVMLGDHIVRISYWK AWRSREVAKENAKGSSGSSYNLLPDYLNRLVLANPGTLADIHTKNDPTIGNRFKYMFL AFGASISGFKHMRNVIIVDGAHLRGKFAGCLLTASAQDGNYQVFPLTIGIFFTMLLQF VPNSEILVFISDRHSSIYYEIAKVYPTAKHCACILHLKRNIRTYFKNKHLGFLVGKAA RAFRVAEFYSAFDKIKTINPSCADYLISIGFEHWDRSHFQGNRYNIMTRNVAESWNAV LREAREYPVLSMIEFIRSKLMTWFAERRNVISEGSGRLSPRVLQILEGNFEQSGGFFV SRITALEFDVKDKNEISFHVNLSTNLCSCFAFQSLKIPCSHAIAAVIKEKISVESLVF SVDSKAIIATLFPSSATVSGDKCLHASKPYTACLTTNNTAIKPASAGLSLWKTDFGLS NL" gene <8767052..>8767396 /locus_tag="BRARA_H00669" /db_xref="Phytozome:Brara.H00669" mRNA <8767052..>8767396 /locus_tag="BRARA_H00669" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00669" CDS 8767052..8767396 /locus_tag="BRARA_H00669" /codon_start=1 /product="hypothetical protein" /protein_id="RID49905.1" /db_xref="Phytozome:Brara.H00669" /translation="MITQEGEAYGFVVWIDKERQCLWQKRSLLEARDKMRRQTHDINA LREALDKANAQVTALEVSRITGRRIEFLKAVEELLKQNVNDSDKKFRRFVVSSWGGFL VAAAVLVYALKM" gene 8771285..8774591 /locus_tag="BRARA_H00670" /db_xref="Phytozome:Brara.H00670" mRNA join(8771285..8771549,8772172..8772208,8772294..8772477, 8772553..8772701,8772775..8773005,8773104..8773293, 8773385..8773510,8773839..8773938,8774027..8774591) /locus_tag="BRARA_H00670" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00670" CDS join(8771319..8771549,8772172..8772208,8772294..8772477, 8772553..8772701,8772775..8773005,8773104..8773293, 8773385..8773510,8773839..8773938,8774027..8774389) /locus_tag="BRARA_H00670" /codon_start=1 /product="hypothetical protein" /protein_id="RID49906.1" /db_xref="Phytozome:Brara.H00670" /translation="MGCRQISVIVLFLWVFQFADKTVVSSAVEEKGTVFVYGRAAVGT VDEDFICATLDWWPPQKCDYGTCAWDHASILNLDLNNTIFQNAIREFAPLKIRIGGTL QDLVIYETPDQKQPCLPFTQNTSLLFGYTQGCLPMRRWNQLNDFFSKTGAKVIFGLNA LSGRSIQSNGEAVGAWDYTNAESFIQYIVQNNHTVDGWELGNELCGSGVGTRVAASQY ATDTIALRDIVNRVYKDVSPMPLVIGPGGFFDAAWFTEYLNKTENSLNATTRHIYNLG PGVDQHLIEKILNPSYLDQEAITFRSLKNIINNSSTKAVAWVGEAGGAYNSGRNLVSN AFVYSFWYLDQLGMASVYDTKTYCRQSLIGGNYGLLNTTNFTPNPDYYSALIWRRLMG RNALFTTFSGTKKIRSYTHCARQSKGITVLLMNLDNTTTVVANVELNNTYKLRHRKTS QKIARTSQMPWVSNGETQREEYHLTAKDANLHSQTMLLNGHALQVNSIGDIPPLEPIH VNSTDPITIAPYSIVFVHMPNVVVPACA" gene complement(8774976..8777443) /locus_tag="BRARA_H00671" /db_xref="Phytozome:Brara.H00671" mRNA complement(join(8774976..8775231,8775316..8775477, 8775588..8775709,8775802..8776022,8776111..8776249, 8776331..8776557,8776633..8776744,8776837..8777037, 8777127..8777443)) /locus_tag="BRARA_H00671" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00671" CDS complement(join(8775156..8775231,8775316..8775477, 8775588..8775709,8775802..8776022,8776111..8776249, 8776331..8776557,8776633..8776744,8776837..8777037, 8777127..8777309)) /locus_tag="BRARA_H00671" /codon_start=1 /product="hypothetical protein" /protein_id="RID49907.1" /db_xref="Phytozome:Brara.H00671" /translation="MIRGMEIHRLLVVVVAVLLSLVLNGEGGITSSYVRSEWPAVDIP LDHKVFKVPKGYNAPQQVHITQGDYDGKAVIISWVTPDEPGSSTVHYGPMQGEYDFVA KGSYSNYTFYKYKSGYTHHCLLSGLEYNTKYYYKIESGESSREFWFVTPPHVHPDASY KFGIIGDLGQTFNSLSTLEHYMRSGAQAVLFLGDLSYADRYQYNDVGVRWDTWGRFVE PSTAYQPWLWSAGNHEVDYMPYMGEVTPFKNYLERYTTPYLASKSSNPLWYAVRRASA HIIVLSSYSPFVKYTPQWRWLDAEFKRVDREKTPWLIVLMHSPIYNSNEAHFKEGESM RAAFEEWFVEYRVDVVFAGHVHAYERSYRVSNVRYNVSSGDRFPVPDTSAPVYITVGD GGNQEGLAGRFMEPQPDYSAFREASYGHSTLDIKNRTHAVYHWNRNDDGKKVATDEFV LYNQYWGKNIRRRKLKKHYIKSVVVDWIAS" gene <8787050..>8787934 /locus_tag="BRARA_H00672" /db_xref="Phytozome:Brara.H00672" mRNA join(<8787050..8787190,8787276..8787473,8787881..>8787934) /locus_tag="BRARA_H00672" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00672" CDS join(8787050..8787190,8787276..8787473,8787881..>8787934) /locus_tag="BRARA_H00672" /codon_start=1 /product="hypothetical protein" /protein_id="RID49908.1" /db_xref="Phytozome:Brara.H00672" /translation="MELGSSSSRKSRNSGHKLCFCGLKASINQAWTDKNPARRFYGCP RFKFGNGCKYFSWFDEEEGTEWQKKALIEARDEIRKKDRIIEQLKVTIAEMRSDLEKK QMETVKDEDEITFSDAPSFHPILFRLKTQ" gene complement(8795064..8798692) /locus_tag="BRARA_H00673" /db_xref="Phytozome:Brara.H00673" mRNA complement(join(8795064..8797695,8797761..8798117, 8798546..8798692)) /locus_tag="BRARA_H00673" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00673" CDS complement(join(8795325..8797695,8797761..8798098)) /locus_tag="BRARA_H00673" /codon_start=1 /product="hypothetical protein" /protein_id="RID49909.1" /db_xref="Phytozome:Brara.H00673" /translation="MGKGKLILICQSGGKFVTDDDGRMTYTGGEAEAIDINHETSFHA FKLKLAKLWNLDFDSLSLKYFLPGNRTTLITMRQEKDMKRMYDFHLSSVSAEVFVTGQ EGFHSQAPANWSDNIAVRADTTLIAYGNVANVPIEVATEDNSLVDVSLISRKVVAPRF TSESSGLVEIPVTVSTGPVVPAKSTSKKPKRKGKTTSLASSNYKLTPRSSKQTVMGSK SSSPMSPASVSKRRRVMEEPSMLLQDENAGETRRRSLRNRGEIRKPVIETDEDEYLLS DEDDAHDNLDVDDDKDYVQDIDAYYPETEDVDSEPQMMNYSISGVKDGSVESLVASWK LCITGVGQGFESVVEFRDALQKYAVACRFGYRLRKNESNRACGVCLVGGCPWKIYASW VPSESMFRIKKFNRRHTCGGESWKSAHPKKNWVVSIIKERLQENPNQKTKNIADSIFQ DFGIELSYCTIRRGIDEAKGGLHTSFKDAYKHLPLFVNKVVETNPGSIVDLVVGEDKR FQRLFLSFRSCINGFLTGCRPLLFLDAIPFKSRYHEILLTASALDGDDGVLPVALALV DVETDETWRWFLEQVKVAVPSLRPLTFVSDREKGLVSSVLEIFENAHHGYSIHYLMED FMRSLRGPFLGDGKPSLSYYLLAAARADRLDGFKVYTEQIKRVSPKAYDWVMEVEGKH WANALFEGEPYSHITSDVGEIYSKWIDEIQETSIVLKLVMFVSRIVELVNSSQEKSRE WFSHLVPSKEESLVEECKKASTLKVFFCSDTLFEVHDGSVQLVDMSNQTCSCFGWKPT GLPCQHAIAVLNTKGRNVYEYCSSFFTVESFRSTYSEALGPVAIDLPSVENEGSSKEE EEQVLPPLFSRVQGVDKRIKDRKRGRSVCCTKCGGVGHNKATCKDD" gene 8807569..8811262 /locus_tag="BRARA_H00674" /db_xref="Phytozome:Brara.H00674" mRNA join(8807569..8807619,8807727..8807912,8807986..8808186, 8808258..8808435,8808837..8809369,8809449..8810574, 8810875..8811262) /locus_tag="BRARA_H00674" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00674" mRNA join(8807678..8807912,8807986..8808186,8808258..8808435, 8808837..8809369,8809449..8810574,8810875..8811262) /locus_tag="BRARA_H00674" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00674" CDS join(8807782..8807912,8807986..8808186,8808258..8808435, 8808837..8809369,8809449..8810574,8810875..8811000) /locus_tag="BRARA_H00674" /codon_start=1 /product="hypothetical protein" /protein_id="RID49910.1" /db_xref="Phytozome:Brara.H00674" /translation="MPDSTREDSVAVPLLPSLRHATNSSSQVAIVGSNLCPVESLDYE IAENDFFKQDWRARSKTQIFQYVFMKWLLCFFIGIIVSLIGFTNNLAVENLAGVKFVV TSNMMLAGRYSMGFFVFTVTNLILTLFASVITAFVAPAAAGSGIPEVKAYLNGVDAPE IFSLRTLLVKIIGNISAVSGSLLIGKAGPMVHTGACVASILGQGGSKRYGLTWRWLRY FNNDRDRRDLVTCGSAAGIAASFRAPVGGVLFALEEMSSWWRSALLWRIFFSTAVVAI VLRALIDVCLSGKCGLFGKGGLIVFDVYSENASYHLGDVLPVLLLGFVGGILGSIYNF LLEKVLRAYNYIYEKGVAWKIILACAISIFTSCLLFGLPFLASCQPCPADALEECPTI GRSGNFKKYQCPPGHYNDLASLIFNTNDDAIKNLFSKNTDFEFHYLSVLVFFITCFFL SILSYGIVAPAGLFVPVIVTGASYGRFVGMLLGSKNLNDGLYAVLGAASFLGGSMRMT VSLCVILLELTNNLLLLPMMMVVLLISKTVADAFNANIYNLIMKIKGFPYLHSHVEPY MRQLTVGDVVTGPLQVFKGIEKIETIVHVLKTTNHNGFPVVDGPPLAPASVLCGLILR DHILTLLKKRVFLSSPVAFDSNTLAQFKADEFAKKGSGRGDRIEDVELSEEELNMYLD LHPFSNASPYTVLETMSLAKALVLFREVGLRHILVIPKLSNRPPLVGILTRHDFMPEH ILGLRPSLSRSRWKRLRIRLPFLS" CDS join(8807782..8807912,8807986..8808186,8808258..8808435, 8808837..8809369,8809449..8810574,8810875..8811000) /locus_tag="BRARA_H00674" /codon_start=1 /product="hypothetical protein" /protein_id="RID49911.1" /db_xref="Phytozome:Brara.H00674" /translation="MPDSTREDSVAVPLLPSLRHATNSSSQVAIVGSNLCPVESLDYE IAENDFFKQDWRARSKTQIFQYVFMKWLLCFFIGIIVSLIGFTNNLAVENLAGVKFVV TSNMMLAGRYSMGFFVFTVTNLILTLFASVITAFVAPAAAGSGIPEVKAYLNGVDAPE IFSLRTLLVKIIGNISAVSGSLLIGKAGPMVHTGACVASILGQGGSKRYGLTWRWLRY FNNDRDRRDLVTCGSAAGIAASFRAPVGGVLFALEEMSSWWRSALLWRIFFSTAVVAI VLRALIDVCLSGKCGLFGKGGLIVFDVYSENASYHLGDVLPVLLLGFVGGILGSIYNF LLEKVLRAYNYIYEKGVAWKIILACAISIFTSCLLFGLPFLASCQPCPADALEECPTI GRSGNFKKYQCPPGHYNDLASLIFNTNDDAIKNLFSKNTDFEFHYLSVLVFFITCFFL SILSYGIVAPAGLFVPVIVTGASYGRFVGMLLGSKNLNDGLYAVLGAASFLGGSMRMT VSLCVILLELTNNLLLLPMMMVVLLISKTVADAFNANIYNLIMKIKGFPYLHSHVEPY MRQLTVGDVVTGPLQVFKGIEKIETIVHVLKTTNHNGFPVVDGPPLAPASVLCGLILR DHILTLLKKRVFLSSPVAFDSNTLAQFKADEFAKKGSGRGDRIEDVELSEEELNMYLD LHPFSNASPYTVLETMSLAKALVLFREVGLRHILVIPKLSNRPPLVGILTRHDFMPEH ILGLRPSLSRSRWKRLRIRLPFLS" gene 8816409..8820820 /locus_tag="BRARA_H00675" /db_xref="Phytozome:Brara.H00675" mRNA join(8816409..8816987,8817201..8817322,8818882..8819254, 8820011..8820820) /locus_tag="BRARA_H00675" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00675" CDS join(8816562..8816987,8817201..8817322,8818882..8819254, 8820011..8820673) /locus_tag="BRARA_H00675" /codon_start=1 /product="hypothetical protein" /protein_id="RID49912.1" /db_xref="Phytozome:Brara.H00675" /translation="MAAPRSRRCSLSLLTLFSISLILFSFSLFVYTKPSNKPFIDYKN QFSVSLSFLAPPLSLPEQNNSTNNTLDSVSSPPPMSLLRQNNTANTTLSPICPPPPPP LRQSNTTNSTLASVPFTSPVSDQDNQEKSSSPTSKTTFIRKRSGLNKLEADLAKARAA IKRAASTQNYSSSLYKNPAAFHQSHTEMMNRFKVWTYTEGEAPLFHDGPVNDIYGIEG QFMDEMCVEGPMRSHFRADHPDDAHVYFVPFSVAKVIHFVYKPITSVEGFSRARLHRL IEDYVDVVATKYPFWNRSRGGDHFMVSCHDWAPDVIDGNPKLFERFIRGLCNANTSEG FRPNVDVSIPEIYLPKGKLGPSFLGKSPRVRSILAFFAGRTHGDIRKILFKHWKEKDS QVQVYERLPPGKDYTKLMGMSKFCLCPSGWEVASPREVEAIYAGCVPVIISDNYSLPF SDVLNWDSFSIQIPVSRISEIKTILESVSLVRYLKMYKRVLEVKRHFVLNRPAQPYDV MHMMLHSIWLRRLNLRLIT" gene complement(8820965..8823741) /locus_tag="BRARA_H00676" /db_xref="Phytozome:Brara.H00676" mRNA complement(join(8820965..8821211,8821288..8821770, 8821848..8821992,8822069..8822146,8822241..8822314, 8822413..8822444,8822540..8822633,8822725..8822796, 8822894..8822974,8823052..8823168,8823232..8823336, 8823504..8823741)) /locus_tag="BRARA_H00676" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00676" mRNA complement(join(8820965..8821211,8821288..8821770, 8821848..8821992,8822069..8822146,8822241..8822314, 8822407..8822444,8822540..8822633,8822725..8822796, 8822894..8822974,8823052..8823168,8823232..8823336, 8823504..8823741)) /locus_tag="BRARA_H00676" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00676" CDS complement(join(8821155..8821211,8821288..8821770, 8821848..8821992,8822069..8822146,8822241..8822314, 8822413..8822444,8822540..8822633,8822725..8822796, 8822894..8822974,8823052..8823168,8823232..8823336, 8823504..8823611)) /locus_tag="BRARA_H00676" /codon_start=1 /product="hypothetical protein" /protein_id="RID49914.1" /db_xref="Phytozome:Brara.H00676" /translation="MTKRNLRSKETTIGEDAELLFQKKIRDLESVNESLKRDVEELRS KLADVSVTSSGQSSRDFSNKSIATKEKGMSSRSKSSPRSMCSTKKHITESCVKQVDGE VQKLKAQKVKLQCKIKLDSMQFRLSKASLEKETLQLKKELRKSEFEKHVLSALNSRQK LILQLKNTQALTALKRLKLLLQSKKTFSKKNNGPPKGTSSTNQESSNEVGLLLKLNKI HSGYERQMKEIAEEVKKFSLEASVLKAEFEGEQNSFSPSCDNGVNQSPMDSELKELKE EFSKLSTLVSQMEMAKSQFSLTDKVQTVPAEYSIPSKDNEESNLVLSQQKTSEGTIYG TSDESNLILSQERNSVYGTSDESSIEPSQVKETGETLSSEEAHCKKEPRKAEMCCSCT KKSLCKTKNCKCRANGSGCGISCGCIASKCSNRGESVRSDKAVQAVVDGKKPVDDKKD ANKQPLRDIGNIQEAGKVGKLRKVQKPVAKK" CDS complement(join(8821155..8821211,8821288..8821770, 8821848..8821992,8822069..8822146,8822241..8822314, 8822407..8822444,8822540..8822633,8822725..8822796, 8822894..8822974,8823052..8823168,8823232..8823336, 8823504..8823611)) /locus_tag="BRARA_H00676" /codon_start=1 /product="hypothetical protein" /protein_id="RID49913.1" /db_xref="Phytozome:Brara.H00676" /translation="MTKRNLRSKETTIGEDAELLFQKKIRDLESVNESLKRDVEELRS KLADVSVTSSGQSSRDFSNKSIATKEKGMSSRSKSSPRSMCSTKKHITESCVKQVDGE VQKLKAQKVKLQCKIKLDSMQFRLSKASLEKETLQLKKELRKSEFEKHVLSALNSRQK LILQLKNTQALTALKRLKLLLQSKKTFSKKNNGPPKGTSSTNQVWESSNEVGLLLKLN KIHSGYERQMKEIAEEVKKFSLEASVLKAEFEGEQNSFSPSCDNGVNQSPMDSELKEL KEEFSKLSTLVSQMEMAKSQFSLTDKVQTVPAEYSIPSKDNEESNLVLSQQKTSEGTI YGTSDESNLILSQERNSVYGTSDESSIEPSQVKETGETLSSEEAHCKKEPRKAEMCCS CTKKSLCKTKNCKCRANGSGCGISCGCIASKCSNRGESVRSDKAVQAVVDGKKPVDDK KDANKQPLRDIGNIQEAGKVGKLRKVQKPVAKK" gene 8839776..8842269 /locus_tag="BRARA_H00677" /db_xref="Phytozome:Brara.H00677" mRNA join(8839776..8840419,8840679..8840801,8840896..8841048, 8841118..8841203,8841279..8841363,8841447..8841566, 8841640..8841708,8841787..8841916,8842007..8842269) /locus_tag="BRARA_H00677" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00677" CDS join(8840039..8840419,8840679..8840801,8840896..8841048, 8841118..8841203,8841279..8841363,8841447..8841566, 8841640..8841708,8841787..8841916,8842007..8842083) /locus_tag="BRARA_H00677" /codon_start=1 /product="hypothetical protein" /protein_id="RID49915.1" /db_xref="Phytozome:Brara.H00677" /translation="MQSSAVFSLSPSLPLLKPRRLSLRHPVTVTASSNLNVSPPNVVS VPPLPRRSWRLASSDSPLRAWSGLPSVSSPSLDTNRFKTAATAVPENAEEGEGSGKMT KVLELGLLFAMWYLFNIYFNIYNKQVLKALHAPMTVTLVQFAVGSVLITFMWALNLYK RPKISAAQLAAILPLAVVHTLGNLFTNMSLGKVSVSFTHTIKAMEPFFSVVLSAMFLG EVPTPWVIGSIIPIVGGVALASVTEVSFNWAGFLSAMASNLTNQSRNVLSKKVMVKKD DSLDNITLFSIITLMSLFLMAPVTFFSEGIKFTPSYIQSAGVNVQQIYTKSLIAALCF HAYQQVSYMILARVSPVTHSVGNCVKRVVVIVSSVIFFKTPVSPVNAFGTGIALAGVF LYSRVKRIKPKPKTA" gene complement(<8850216..>8851626) /locus_tag="BRARA_H00678" /db_xref="Phytozome:Brara.H00678" mRNA complement(join(<8850216..8850590,8851345..>8851626)) /locus_tag="BRARA_H00678" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00678" CDS complement(join(8850216..8850590,8851345..8851626)) /locus_tag="BRARA_H00678" /codon_start=1 /product="hypothetical protein" /protein_id="RID49916.1" /db_xref="Phytozome:Brara.H00678" /translation="MASIFFSVLLPLSVLSSPFLLNANAHTKLGFSTDLIHRDSPKSP FYNPTETSAQRLRNAVHRSVNRVVHFNGKDASVNSPETEITSDGGEYLMNGNIIIDSG TTLTMFSTDFYSKLEDAVASSIDAERTNDPQNVLSLCYSATAKLNVPVITMHFDGADV KLDSSNAFIQISEDLVCFAFRGDDQLAIYGNIAQMNFLIGYDTVSKTVSFKPADCAKM " gene complement(8890485..8892734) /locus_tag="BRARA_H00679" /db_xref="Phytozome:Brara.H00679" mRNA complement(join(8890485..8890901,8891487..8891739, 8891866..8892108,8892203..8892330,8892408..8892734)) /locus_tag="BRARA_H00679" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00679" CDS complement(join(8890669..8890901,8891487..8891739, 8891866..8892108,8892203..8892330,8892408..8892651)) /locus_tag="BRARA_H00679" /codon_start=1 /product="hypothetical protein" /protein_id="RID49917.1" /db_xref="Phytozome:Brara.H00679" /translation="MTNSVANVALLVVCILQMMSLLVLQANARAFLVFGDSLVDNGNN DFLVTTARADNYPYGIDFPTHLPTGRFSNGLNIPDLISEHLGQASPLPYLSPMLKKDK LLIGANFASAGIGILNDTGIQFLNIIRITKQLEYLEQYKVRVSGLVGEEEMERLVNGA LVLITLGGNDFVNNYYLVPFSARSRQFSLPDYVVYVVSEYRKVLRKMYDLGARRVLVT GTGPMGCVPAELAQRSRTGECSTELQRAASLFNPQLVQMINDLNNEVGSSAFIAANTQ QMHMDFISDPQAYGFVTSKVACCGQGPYNGIGLCTPLSNLCPNRDLFAFWDPFHPSEK ASRIIAQQILNGSPEYMHPMNLSTILTLDSTT" gene 8908415..8911402 /locus_tag="BRARA_H00680" /db_xref="Phytozome:Brara.H00680" mRNA join(8908415..8908773,8909182..8909290,8909538..8909828, 8909922..8910321,8910398..8910664,8910753..8911402) /locus_tag="BRARA_H00680" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00680" CDS join(8908475..8908773,8909182..8909290,8909538..8909828, 8909922..8910321,8910398..8910664,8910753..8911159) /locus_tag="BRARA_H00680" /codon_start=1 /product="hypothetical protein" /protein_id="RID49918.1" /db_xref="Phytozome:Brara.H00680" /translation="MRILLNNSLALLRSPRAAPPIRSLLFGSKKWSSAMSIPPPSISA SEEALAGRLWIKFNRECLFSMYSPFAVSLAAGNLKIETFRQYIAQDVHFLKAFAHAYE LAAECADDDDDKLAISDLRKSVMDELKMHDSFVQDWDLDISKEVSVNSATLRYTEFLL ATASGKVEGLKAPGMLDTPFEKTKVAAYTLGAVTPCMKLYAFLGKEFGALLDSSEVNH PYKKWIENYSSDAFQASAKQTEDLLEKLSVCMTGEELDIIEKLYQQAMKLEVEFFHAQ PFAQPTIVPLLKNHSKDELMIFSDFDLTCTVVDSSAILAEIAIVTAPKDDQGQQINRM LSADLKNTWSLLSKQYTEHYEECIESILNKEKADKFDYEGLCEALEQLSEFEKKANDR VIESGVLKGLNLDDIKRAGERLILQDGCINVFQKILKTQDVNAKLHVLSYCWCGDLIR AAFSARGVDAVEVHANEFTFEESISTGEIERKVESPIDKAQQFKSILQNRKKDEEKSI LTVYIGDSVGDLLCLLEADIGIVVASSSSLRRVGSHFGVSFVPLFSGIVQKQKQEETW KGLSGTLYTVSSWAEIHSFALGWE" gene complement(<8923487..>8924082) /locus_tag="BRARA_H00681" /db_xref="Phytozome:Brara.H00681" mRNA complement(join(<8923487..8923668,8923764..8923794, 8923890..8923934,8924020..>8924082)) /locus_tag="BRARA_H00681" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00681" CDS complement(join(8923487..8923668,8923764..8923794, 8923890..8923934,8924020..8924082)) /locus_tag="BRARA_H00681" /codon_start=1 /product="hypothetical protein" /protein_id="RID49919.1" /db_xref="Phytozome:Brara.H00681" /translation="MMKLIVVLTVFSLLFATQFSNADEIESTSEAPAIHMKGGPGSLT PDECPKACDFRCSATSHQKQCLFFCNYCCNKCLCVPSGTYGHKEECPCYNNLKTKDGG PKCP" gene complement(8934123..8937822) /locus_tag="BRARA_H00682" /db_xref="Phytozome:Brara.H00682" mRNA complement(join(8934123..8934564,8934685..8934790, 8934929..8935020,8935098..8935206,8935534..8935623, 8935726..8935796,8935898..8935964,8936045..8936288, 8936359..8936486,8936563..8936622,8936697..8936753, 8936847..8936891,8936971..8937052,8937131..8937171, 8937309..8937353,8937642..8937822)) /locus_tag="BRARA_H00682" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00682" CDS complement(join(8934452..8934564,8934685..8934790, 8934929..8935020,8935098..8935206,8935534..8935623, 8935726..8935796,8935898..8935964,8936045..8936288, 8936359..8936486,8936563..8936622,8936697..8936753, 8936847..8936891,8936971..8937052,8937131..8937171, 8937309..8937353,8937642..8937767)) /locus_tag="BRARA_H00682" /codon_start=1 /product="hypothetical protein" /protein_id="RID49920.1" /db_xref="Phytozome:Brara.H00682" /translation="MSETGNDEQMDVGSVVEAVSADHSFGAPLYVVESMCMRCGENGT TRFLLTLIPHFRKVLSSAFECPHCGERNNEVQFAGEIQPRGCSYRLEVSAGDDKMFDR QVVKSESATIKIPELDFEIPPEAQRGSLSTVEGILARAADELSALQEERRTVDPKTAE AIDHFLSKLRACAKADSSFTFILDDPAGNSFIENPHAPSPDPCLHIKFYERTPEQQAA LGYLSNSSQTGQPERDHPPSTAAIPHGAIGAAAGHRAIAQSNSTDISDNLFRYTAPEE VMTFPSTCGACMKLCETRMFVTKIPYFQEVIVMASTCDDCGYRNSELKPGGAIPKMGK KIILSVRNIADLSRDVIKSDTAGVKIPELDLELAGGTLGGMVTTVEGLVTQIKESLAR VHGFTFGDSLDESKKNKWREFGSRLTKLLSLEEPWTLILDDELANSFISPVTDDIKDD HQLTYEEYERSWEQNEELGLNDIDTSSADAAYESTETTKLP" gene complement(8943324..8945214) /locus_tag="BRARA_H00683" /db_xref="Phytozome:Brara.H00683" mRNA complement(join(8943324..8944817,8945056..8945214)) /locus_tag="BRARA_H00683" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00683" CDS complement(8943627..8944784) /locus_tag="BRARA_H00683" /codon_start=1 /product="hypothetical protein" /protein_id="RID49921.1" /db_xref="Phytozome:Brara.H00683" /translation="MECNRDEALRAKEIAESKFKMRDFAGAKKFALKAQSLFPEMEGL SQMLSTFHVFIAAETKVNGEVDWYGILDADPRDDNETLKKKYRKLALMLHPDKNSSVG ADGAFKHVSEAWKFLSDKEKRAAFDRKKSLYTMYNKVSVSSSNSGFCNFANNASFASN VRPPPPPTQKKNNPPPTHKSNPQKPAQKTGQSDHHHTAAADTFWTVCRRCMTQYEYLR TYANCNLLCPNCLQSFSAVQVPKPGMLSHWSRLNSAKPKSADAAIPGVFNNSKWAFSR TSSAAHAACMVQKVYEKVKKDREEAEATDKRGRKNAKRKCITTDSSLKKRKVIGETET GGSGGRKVVYYAIAGENGRNMGKLHVTRERASPRLKKKISKEAVTREVKSR" gene 8945384..8946269 /locus_tag="BRARA_H00684" /db_xref="Phytozome:Brara.H00684" mRNA 8945384..8946269 /locus_tag="BRARA_H00684" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00684" CDS 8945579..8945821 /locus_tag="BRARA_H00684" /codon_start=1 /product="hypothetical protein" /protein_id="RID49922.1" /db_xref="Phytozome:Brara.H00684" /translation="MQKAVSMSASELLHRDFPMHFFLYLLSAAIPVPNTNLLSYAPLP SMIFSLFLGCVVLHKASIDLISVIVKTLVETSFDSP" gene <8949802..>8950263 /locus_tag="BRARA_H00685" /db_xref="Phytozome:Brara.H00685" mRNA join(<8949802..8949877,8950004..>8950263) /locus_tag="BRARA_H00685" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00685" CDS join(8949802..8949877,8950004..8950263) /locus_tag="BRARA_H00685" /codon_start=1 /product="hypothetical protein" /protein_id="RID49923.1" /db_xref="Phytozome:Brara.H00685" /translation="MQEAKVSASNEAEISQNILRGSCCSDESNDAVVKIRSAQLSPKA LNHLKRDNQNTDGKHRRESWGCVWRCSRVAYVFGLKSSSLRCTLHTTNLRKDNTSIII FLGNGNTFI" gene <8959557..>8961119 /locus_tag="BRARA_H00686" /db_xref="Phytozome:Brara.H00686" mRNA <8959557..>8961119 /locus_tag="BRARA_H00686" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00686" CDS 8959557..8961119 /locus_tag="BRARA_H00686" /codon_start=1 /product="hypothetical protein" /protein_id="RID49924.1" /db_xref="Phytozome:Brara.H00686" /translation="MKPKNYSPMEKPISVVTGKFRSSSSNNHSNVWFVVPLFILLCFI LLCFDYSALYITDTDEAASSFSDTTQNSVSRESTKDVNLSRFLDDPLPDSCSGRYIYL HDLPSRFNSDLLASCSLITRGTENNICPYLENFGFGPEIQDPDNILLKQSWFVTNQFM LEVIFHNKMKKYTCLTHNSSLASAVFIPFYAGIDMSRYLWGFNITVRDSSSHELMDWL VKQREWSRMSGRDHFFVAGRIAWDFRRQTDNESDWGSKLRFLPESQNMSMMSIESSSW NNDYAIPYPTCFHPSSVDEISEWQDRMRSQKRRYLFAFAGAPRPEYKDSVRGIIIDEC LESDDQCYLLDCNYGKVNCDNPVNVMKVFRNSVFCLQPPGDSYTRRSMFDSILAGCIP VFFHPGTAYAQYKWHLPKNHNSYSVYLPVKDVKEWDIKIKERLLEISEERVVKLREEV IKLIPSVVYANPSYGSEGSEDAFELAVKGMLEKIEEVREVMRQGKDGGEGFDDRDDYK YTFSPYEAQVLT" gene complement(8982448..8983104) /locus_tag="BRARA_H00687" /db_xref="Phytozome:Brara.H00687" mRNA complement(8982448..8983104) /locus_tag="BRARA_H00687" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00687" CDS complement(8982635..8982982) /locus_tag="BRARA_H00687" /codon_start=1 /product="hypothetical protein" /protein_id="RID49925.1" /db_xref="Phytozome:Brara.H00687" /translation="MEYYTFSQEFAENERAPGKHHVKKTWVKERYESDEEDGSREEEV NQMIIKEEEDEETKGMVMSSSTMLTSKVKYLNYGALKHDTPPAASSGGGRVMPPPSNR YHRGHPKYYRCRG" gene 8985924..8987838 /locus_tag="BRARA_H00688" /db_xref="Phytozome:Brara.H00688" mRNA join(8985924..8986598,8986815..8986855,8986929..8987033, 8987423..8987838) /locus_tag="BRARA_H00688" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00688" CDS 8986318..8986578 /locus_tag="BRARA_H00688" /codon_start=1 /product="hypothetical protein" /protein_id="RID49926.1" /db_xref="Phytozome:Brara.H00688" /translation="MGTPSQRDSATNVCFSVSGKHIRSALSRRHGFVKQNSAMIPEYS KAEGGGVVLDTSFGDEFGRDHFGHTHLRLSLRKVITKDYKLL" gene complement(8997117..8999674) /locus_tag="BRARA_H00689" /db_xref="Phytozome:Brara.H00689" mRNA complement(join(8997117..8997578,8997666..8997938, 8998021..8998128,8998213..8998444,8998549..8998776, 8998855..8999050,8999396..8999674)) /locus_tag="BRARA_H00689" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00689" CDS complement(join(8997297..8997578,8997666..8997938, 8998021..8998128,8998213..8998444,8998549..8998776, 8998855..8999050,8999396..8999540)) /locus_tag="BRARA_H00689" /codon_start=1 /product="hypothetical protein" /protein_id="RID49927.1" /db_xref="Phytozome:Brara.H00689" /translation="MVTNTEVVAPASNGVDGGCCKSGPGYATPLAAMSGPSEKLIYVT AVYSGTGREKPDYLATVDVDPNSPTYSTVIHRLPMPFLGDELHHSGWNSCSSCHGDAS ADRRYLVLPSLISGRIYAIDTKADPRAPSLYKYVDPKEIAEKTGLAYPHTAHCLASGE ILVSCLGDEEGNAKGNGFLLLDSDFNIKNRWEKEGHSPLFGYDFWYQPRHKTMISTSW GAPKAFTKGFDLQHVADGLYGSHLHVYSWPGGEMKQLIDLGETGMLPLEIRFLHDPSK DTGYVGGALSSNMIRFFKNSDETWGHEVVIQVKPLKVENWILPEMPGLITDFLISLDD RFLYFVNWLHGDIRQYNIEDPKNPVLTGQIWVGGLLQKGSPVKAVGEDGNTFQYDVPQ IKGKSLRGGPQMIQLSLDGKRLYATNSLFSAWDRQFYPELMDKGSHIIQLDVDTEKGG LSINPDLFVDFGDEPDGPALAHEMRYPGGDCTSDIWI" gene complement(<9000302..9001504) /locus_tag="BRARA_H00690" /db_xref="Phytozome:Brara.H00690" mRNA complement(join(<9000302..9000316,9001229..9001504)) /locus_tag="BRARA_H00690" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00690" CDS complement(join(9000302..9000316,9001229..9001414)) /locus_tag="BRARA_H00690" /codon_start=1 /product="hypothetical protein" /protein_id="RID49928.1" /db_xref="Phytozome:Brara.H00690" /translation="MIGFFYFVNWLHGDIRQYNIEDPKNSVLTGQIWVGGLLKKGSPV KAVREDGTTYQFDVPQIKVIRI" gene complement(<9004087..>9009549) /locus_tag="BRARA_H00691" /db_xref="Phytozome:Brara.H00691" mRNA complement(join(<9004087..9004368,9004461..9004733, 9005045..9005276,9007997..9008224,9008312..9008507, 9009408..>9009549)) /locus_tag="BRARA_H00691" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00691" CDS complement(join(9004087..9004368,9004461..9004733, 9005045..9005276,9007997..9008224,9008312..9008507, 9009408..9009549)) /locus_tag="BRARA_H00691" /codon_start=1 /product="hypothetical protein" /protein_id="RID49929.1" /db_xref="Phytozome:Brara.H00691" /translation="MATETKVIAPVGSGEKGCCKSGPGYATPLAAMSGPREKLIYVIA VYTGTGREKPDYLATVDVDPSSATYCSVIHRLPMPFLGDELHHSGWNSCSSCHGDASA DRRYLVLPSFISGRIYAIDTKADPRAPSLYKYVDPKEIAEKTGLAFPHTTHCLASGEI LVSCLGDKDGNAQGSGFLLLDSDFNIKNRWEKPGHSPLFGYDFWYQPRHKTMISTSLG APKAFSKGFDLQDVADGFYGSHLHVYSWPGGEMKQLIDLGDTGLIPLEVVISVKPIKV ENWVLPEMPGLITDFLISLDDRFFYFVNWLHGDIRQYNIEDPKNPVLTGQIWVGGLLQ KGGPVKAVREDGGTYQFDVPQIKGKSLRGGPQMIQLSLDGKRLYATNSLYSVWDRQFY PELMDKGSHIIQIDVDTEKGGLSINPDFFVDFGEEPDGPALAHEMRYPGGDCTSDIWI " gene complement(<9028878..9035275) /locus_tag="BRARA_H00692" /db_xref="Phytozome:Brara.H00692" mRNA complement(join(<9028878..9029060,9029322..9029594, 9029687..9029794,9034831..9035275)) /locus_tag="BRARA_H00692" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00692" CDS complement(join(9028878..9029060,9029322..9029594, 9029687..9029794,9034831..9035049)) /locus_tag="BRARA_H00692" /codon_start=1 /product="hypothetical protein" /protein_id="RID49930.1" /db_xref="Phytozome:Brara.H00692" /translation="MATETVLATAVSNGVGKACCKSGPGYATPLAAMSGPPEKLIYVT ALYSGTHFILFSSTSSFYSKFVNLLPTACIRFLHDPSKDTGYVGSALSSNMIRFFRNS DDTWSHEVVISVEPLKVENWILPEMPGLITDFLISLDDRFFYFVNWLHGDIRQYNIED PKNPVLTGQIWVGGLLQKGSPVKAVREDGTTYQFDVPQIKFYPELMDKGSHIIQIDVD TEKGGLSINPDFFVDFGEEPDGPALAHEMRYPGGDCTSDIWI" gene complement(<9036048..>9037877) /locus_tag="BRARA_H00693" /db_xref="Phytozome:Brara.H00693" mRNA complement(<9036048..>9037877) /locus_tag="BRARA_H00693" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00693" CDS complement(9036048..9037877) /locus_tag="BRARA_H00693" /codon_start=1 /product="hypothetical protein" /protein_id="RID49931.1" /db_xref="Phytozome:Brara.H00693" /translation="MLIHQYLHQLKLCARNRTLTTAKSLHAQIFKLGITQCIPLANTL VNVYGKCSAASHALQLFDEMPHRDHIAWASVLTALNQANLSVKTLSMFSSNSGLLPDD FVLSALVKACANLGSIHHGKQVHCRFLVSEYSHDDVVKSSLVDMYSKCDSPDSAKAVF DSIRVKSTISWTALVSGFAKSGRKEEALELFRNMPIKNLYSWTALISGFVQSGKGLEA FSVFTEMRRESVDILDPLVLSSIVGACANMAASIAGRQVHGLVISLGFDSCLFISNAL IDMYAKCSDVIAAKDIFSRMRHRDVVSWTSLIVGMAQHGQAEKALALYSDMVSHGVKP NEVTFVGLIYACSHVGFVAKGRELFQSMREEYGIRPSLQHYTCLLDLLGRSGLIDEAE KLIRTMPFPPDEPTWAALLSACKRQGKGQMGVRIADHLLSCFKPRDPSTYILLSNVYA SASLWGKVSEARRKLGDMEVRKDPGYSSVEVRKETEVFYAGETSHPLKEEIFGLLKKL EEDMRRRNGYVPDTSWILHDMDEQEKEKLLFWHSERSAVAYALLKGVPGSPIRIVKNL RVCGDCHVVMKHISEITEREIIVRDATRYHHFKGGKCSCNDFW" gene complement(9039390..9041370) /locus_tag="BRARA_H00694" /db_xref="Phytozome:Brara.H00694" mRNA complement(join(9039390..9039696,9039834..9041189, 9041284..9041370)) /locus_tag="BRARA_H00694" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00694" CDS complement(join(9039659..9039696,9039834..9041189, 9041284..9041329)) /locus_tag="BRARA_H00694" /codon_start=1 /product="hypothetical protein" /protein_id="RID49932.1" /db_xref="Phytozome:Brara.H00694" /translation="MSPVAFFLFTLIYFSSSCCSAARYQHRHRYMERKLMIALASKIG INYGRQGKDIPSPYQSINFIKSIKAGHVKLYDADPETLTLLSHTNLYVSITVHNHQIT SLGTNQTTAEDWVKTNILPYYPQTQIRFVLVGNEILSVQDRNITSNLVPAMRKIVNAL RAHGIHNIKVGTPLPMDSLRSTFPPSNSTFREDIAGPLMLPLLKFLNGTNSYFFINLQ PYYRWLRNPMNTSLDFALFQGNSTYTDPRTGLVYHNLVDQMLDSVILAMTKLGYPHIR IAISETGWPNSGDIDETGANILNAATYNRNLIKKMTAIPPIGTPARPGLPIPTFVFSL FNENQKSGSGTQRHWGILHPDGTPIYDIDFTGQKPLTGFNPLPKPTNNVPYKGQMWCV PVEGAKEAELEEALRMACGRSNTTCAALAPGKECYEPVSIYWHARYAVSSYWAQFRTQ NVGCYFNGLAHETTTNPGNDRCKFPSVTL" gene complement(9063773..9065347) /locus_tag="BRARA_H00695" /db_xref="Phytozome:Brara.H00695" mRNA complement(9063773..9065347) /locus_tag="BRARA_H00695" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00695" CDS complement(9063890..9065254) /locus_tag="BRARA_H00695" /codon_start=1 /product="hypothetical protein" /protein_id="RID49933.1" /db_xref="Phytozome:Brara.H00695" /translation="MANSVNGCSHRPHYLIVTFPAQGHINPALKLANRLIHHGATITY ATTISALRRMGEPPSTEGLSYAWFSDGFDEGPKSFEDQKNYMSEFKRRGSDALSDLIR ANLDGNAAKHPITGVIYSVLVPWASTVAREFHLPATLLWIEPATVLDIYYYYFNASHS HLFDKEPIKLPKLPPFSTRDLPSFLQPSNVLPSALVTLREHIEALDSESNPKVLVNTF SELEPDALTSVKKLRMIPVGPLVSSSDDGKADLFRSSDEDYIKWLDSKAEKSVIYVSL GSHGDGLWEKHMEALTSGVLATGRPFLWVVKETKAEEKKSCFVDLIRGDDKGLVVGWC SQTAVLAHPSVGCFVTHCGWNSTLESLENGVPVVAFPQFADQCTTAKLVEDVWGIGVR VKEGEEGHVDGDELRRCLEKVMGDGEDAEEMRRNAARWKTLAVDAAAEGGPSDLNLKG FMEG" gene complement(9065719..9067021) /locus_tag="BRARA_H00696" /db_xref="Phytozome:Brara.H00696" mRNA complement(join(9065719..9066086,9066239..9066346, 9066439..9066620,9066708..9066787,9066867..9067021)) /locus_tag="BRARA_H00696" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00696" CDS complement(join(9065947..9066086,9066239..9066346, 9066439..9066620,9066708..9066787,9066867..9066950)) /locus_tag="BRARA_H00696" /codon_start=1 /product="hypothetical protein" /protein_id="RID49934.1" /db_xref="Phytozome:Brara.H00696" /translation="MDLSPVTDALAVKSFDKIADICDTLMLQVAAEGISFHDDWPYAI HLLGYFYLDDCDSARFLWKTIPASVKESKPEVAAAWRIGQKLWTRDYAGVYEAIRGFD WSQDAKDMVAAFSDVYTKRMFQLLLSAYSTITIRYLALFLGMTEDDATTYVVEKGWTV DAASQMVTVKKQAINREPKVDSSKLQRLTEYVFHLEH" gene <9069443..>9069909 /locus_tag="BRARA_H00697" /db_xref="Phytozome:Brara.H00697" mRNA join(<9069443..9069497,9069644..>9069909) /locus_tag="BRARA_H00697" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00697" CDS join(9069443..9069497,9069644..9069909) /locus_tag="BRARA_H00697" /codon_start=1 /product="hypothetical protein" /protein_id="RID49935.1" /db_xref="Phytozome:Brara.H00697" /translation="MANMKTKFMDLWDGFSTDQLDEPIMRRLPQAFEIGMPERKEKAE ILKVTLKGERVEPDIDYDHLARLCRGYTGSDIFELCKKAAYFPIREILEEERKWRPCP LSFI" gene complement(<9075981..>9076634) /locus_tag="BRARA_H00698" /db_xref="Phytozome:Brara.H00698" mRNA complement(join(<9075981..9076243,9076280..>9076634)) /locus_tag="BRARA_H00698" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00698" CDS complement(join(9075981..9076243,9076280..9076634)) /locus_tag="BRARA_H00698" /codon_start=1 /product="hypothetical protein" /protein_id="RID49936.1" /db_xref="Phytozome:Brara.H00698" /translation="MDQRTFKDLCKMLTERYGLQESHNVYIEKFVVMFLEVVDQDKTM RDIGERYQRSLDIVKRKLDDVLSALLKFAEDTLKPQEGEFARANHVLKNDDQYWPYFK DCIGALDGTHVSVCPPNPTMNVLAIYNFDMNFIYAYLGVHGRAHDTKVFTHCVRNEAS FPHRPPGKYYLVDTGYLTRIGYLGTHRIMRYHLGQFARGEPPVSA" gene complement(9079912..9081183) /locus_tag="BRARA_H00699" /db_xref="Phytozome:Brara.H00699" mRNA complement(join(9079912..9080441,9080547..9080740, 9080812..9081183)) /locus_tag="BRARA_H00699" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00699" CDS complement(join(9080045..9080441,9080547..9080740, 9080812..9081087)) /locus_tag="BRARA_H00699" /codon_start=1 /product="hypothetical protein" /protein_id="RID49937.1" /db_xref="Phytozome:Brara.H00699" /translation="MGQSSSFTTIMVAVLLVMMFGSAYSGNFFNEFDLTWGDHRGKIF NGGNMLSLSLDRVSGSGFKSKKEYLFGRIDMQLKLVAGNSAGTVTTYYLSSQGATHDE IDFEFLGNETGKPYVLHTNVFAQGKGNREQQFYLWFDPTKNFHTYSIVWRPQHIIFLV DNLPIRVFNNAEKLGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTAYYRG FNAAACTVSSGCDPKFKTLSDSELQTANELNAYGRRRLRWVQKYFMIYNYCSDLKRFP RGFPPECRRSRV" gene complement(9085724..9090653) /locus_tag="BRARA_H00700" /db_xref="Phytozome:Brara.H00700" mRNA complement(join(9085724..9086007,9086599..9086775, 9086886..9086993,9087973..9090653)) /locus_tag="BRARA_H00700" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00700" CDS complement(9090196..9090477) /locus_tag="BRARA_H00700" /codon_start=1 /product="hypothetical protein" /protein_id="RID49938.1" /db_xref="Phytozome:Brara.H00700" /translation="MKPVSSQDSSDIVFFFRRRLALFFQSSGRSLRSSKTGSLRNVRI HPKNATANPESRQRRSRGSRRERRGFAPVFLREGDHQGVTSSRGFDQWA" gene complement(9094280..9095594) /locus_tag="BRARA_H00701" /db_xref="Phytozome:Brara.H00701" mRNA complement(join(9094280..9094588,9094683..9094809, 9094893..9095093,9095396..9095594)) /locus_tag="BRARA_H00701" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00701" CDS complement(join(9094511..9094588,9094683..9094809, 9094893..9095068)) /locus_tag="BRARA_H00701" /codon_start=1 /product="hypothetical protein" /protein_id="RID49939.1" /db_xref="Phytozome:Brara.H00701" /translation="MTTIETGPKSTQKPSPPSTLKQPSFKRWGRRHPFVRYGLPMISL TVFGALGLSQLLQGSKDIAKVKDDQEWEIIETRKALSRTGPVDAYKPKNTSIEDELKA MQGKVDINSYEYKKIPKLNEGKST" gene complement(9097807..9100122) /locus_tag="BRARA_H00702" /db_xref="Phytozome:Brara.H00702" mRNA complement(join(9097807..9098019,9098150..9098813, 9098907..9098991,9099076..9099486,9099589..9100122)) /locus_tag="BRARA_H00702" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00702" mRNA complement(join(9097807..9098019,9098128..9098813, 9098907..9098991,9099076..9099486,9099589..9100122)) /locus_tag="BRARA_H00702" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00702" CDS complement(join(9098016..9098019,9098150..9098813, 9098907..9098991,9099076..9099486,9099589..9100014)) /locus_tag="BRARA_H00702" /codon_start=1 /product="hypothetical protein" /protein_id="RID49940.1" /db_xref="Phytozome:Brara.H00702" /translation="MQPITKDTEVEVSYEEDGVKGAWYRAILEDSPTKSQRKLLRVRY RTLLKEDLSSHLTEIVDHSLIRPVPPKDDGAEEFEEGSVVDAYYKGGWWSGLVVKRKE EDGTYLVYFDSPPDINQFERKQLRAHLDWSGSKWVRPENKELGKSEFSSGTMVELRWS SAWRPAMIIKKVENEERFIVKYCDDTSFRCSKRSRISVIDSREVRPRQPLCSVGEYEL LDRVEVVVGSVWCEGVVRGIVFKGRYMVSFGETKVASVQVSCSDVRPPMEWEDGIWHK RPKTKSKFFGKFYCRKRKRGHVQHKSDLNDTVRTPTSNVEDTQATDTMRVLPFANKSP LWKIYETMEVFKKLPQRPHFSPLIESTSEDFREGSALGMMATFSGLLEKLKDMEADVT VSELDSLKDTFTKQEEHGFDVTRPLSRINKLLALKGRQLKILEGRRGLDKERMDESSK RHKAELEFGETERKMVEVKRKILELQRQETALKEKKEAAEEHKDQACRKIWKVESCAR DLGVKLEDVEFDFETIVSAPW" CDS complement(join(9098146..9098813,9098907..9098991, 9099076..9099486,9099589..9100014)) /locus_tag="BRARA_H00702" /codon_start=1 /product="hypothetical protein" /protein_id="RID49941.1" /db_xref="Phytozome:Brara.H00702" /translation="MQPITKDTEVEVSYEEDGVKGAWYRAILEDSPTKSQRKLLRVRY RTLLKEDLSSHLTEIVDHSLIRPVPPKDDGAEEFEEGSVVDAYYKGGWWSGLVVKRKE EDGTYLVYFDSPPDINQFERKQLRAHLDWSGSKWVRPENKELGKSEFSSGTMVELRWS SAWRPAMIIKKVENEERFIVKYCDDTSFRCSKRSRISVIDSREVRPRQPLCSVGEYEL LDRVEVVVGSVWCEGVVRGIVFKGRYMVSFGETKVASVQVSCSDVRPPMEWEDGIWHK RPKTKSKFFGKFYCRKRKRGHVQHKSDLNDTVRTPTSNVEDTQATDTMRVLPFANKSP LWKIYETMEVFKKLPQRPHFSPLIESTSEDFREGSALGMMATFSGLLEKLKDMEADVT VSELDSLKDTFTKQEEHGFDVTRPLSRINKLLALKGRQLKILEGRRGLDKERMDESSK RHKAELEFGETERKMVEVKRKILELQRQETALKEKKEAAEEHKDQACRKIWKVESCAR DLGVKLEDVEFDFETIVSAPW" gene 9110430..9111871 /locus_tag="BRARA_H00703" /db_xref="Phytozome:Brara.H00703" mRNA join(9110430..9110735,9111088..9111205,9111335..9111397, 9111541..9111871) /locus_tag="BRARA_H00703" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00703" CDS join(9110724..9110735,9111088..9111205,9111335..9111397, 9111541..9111626) /locus_tag="BRARA_H00703" /codon_start=1 /product="hypothetical protein" /protein_id="RID49942.1" /db_xref="Phytozome:Brara.H00703" /translation="MGLKEDFEEHADNVKKLTTSPSNEDLLILYGLYKQATVGPVTTS RPGMFSMKERAKWDAWKAVEGKSTDEAMSDYITKVKQLLEAEAAAAST" gene <9111935..>9116155 /locus_tag="BRARA_H00704" /db_xref="Phytozome:Brara.H00704" mRNA join(<9111935..9112106,9112168..9112241,9112561..9112614, 9112760..9112851,9113072..9113114,9113194..9113274, 9113356..9113406,9113489..9113570,9113655..9113749, 9113877..9113924,9114027..9114143,9114266..9114334, 9115648..9115687,9116016..>9116155) /locus_tag="BRARA_H00704" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00704" CDS join(9111935..9112106,9112168..9112241,9112561..9112614, 9112760..9112851,9113072..9113114,9113194..9113274, 9113356..9113406,9113489..9113570,9113655..9113749, 9113877..9113924,9114027..9114143,9114266..9114334, 9115648..9115687,9116016..9116155) /locus_tag="BRARA_H00704" /codon_start=1 /product="hypothetical protein" /protein_id="RID49943.1" /db_xref="Phytozome:Brara.H00704" /translation="MIINRIPSFVNAIHLKTLISKSIKLRFNVLIHVNYTFALQTYFI SQLSRIYITTNKSDDFLHFYKKSTGRRSSISKPEKVQLQITEIMLTKIKIPLPDMLSA VLALDSSVLDIDQVENLIKFCPTKEEMELIYTGDKEMLGKCEQFFLELMKVPRIEAKL RVFGFKITFASQAEDLKSCLNTINAATKEVKESAKLRQSMQTILTLGNALNQGTARGS AVGFKLDSLLKLSDTRARNNKMTLMHYLCKLVGEKKPELLDFANDLIELKTLAEEMQA ADKGLKKVVQELVASENDGAISLGFRKVLKEFLDIAEAEVRLLASLHKESYFGEDMVK LLCDKLTKILTLFMKTFIKSREENEKQAEADKKKLEKDATKEAPLAKKDNE" gene complement(9116208..9119754) /locus_tag="BRARA_H00705" /db_xref="Phytozome:Brara.H00705" mRNA complement(join(9116208..9116609,9116722..9116787, 9116873..9116933,9116988..9117119,9117217..9117299, 9117376..9117456,9117531..9117563,9118642..9118752, 9118833..9118904,9118982..9119198,9119372..9119754)) /locus_tag="BRARA_H00705" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00705" CDS complement(join(9116472..9116609,9116722..9116787, 9116873..9116933,9116988..9117119,9117217..9117299, 9117376..9117456,9117531..9117563,9118642..9118752, 9118833..9118904,9118982..9119198,9119372..9119607)) /locus_tag="BRARA_H00705" /codon_start=1 /product="hypothetical protein" /protein_id="RID49944.1" /db_xref="Phytozome:Brara.H00705" /translation="MAMAFPLSYTPVSVKPVTYSRRSKLVVFSSSSNGRDPSPSEEKS VPNGVKSIEKLQEEKRRAELSARIASGAFTVRKSSFPSTVKNGLSKLGVPSNVLDFMF DWTGANEDYLKVPEAKGSIQAVRNEAFFIPLYELFLTYGGIFRLTFGPKSFLIVSDPS IAKHILKDNAKAYSKGILAEILDFVMGKGLIPADGEIWRRRRRAIVPALHMKNPKVVA KLQEEVDSVIGDRFPTLEDMKKLKYTTRVMNESLRLYPQPPVLIRRSLENDKLGPYPI KRGEDIFISVWNLHRSPLHWDDAEEFNPERWPLDGPNPNETNQNFSYLPFGGGPRKCI GDMFASFENVVAIAMLIRRFNFQTAPGAPPVKMTTGATIHTTEGLKLTVTKRTPPLSV PILPMEAPRDEVSSALS" gene 9121425..9123203 /locus_tag="BRARA_H00706" /db_xref="Phytozome:Brara.H00706" mRNA join(9121425..9121555,9121669..9121913,9122769..9123203) /locus_tag="BRARA_H00706" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00706" CDS join(9121670..9121913,9122769..9123028) /locus_tag="BRARA_H00706" /codon_start=1 /product="hypothetical protein" /protein_id="RID49945.1" /db_xref="Phytozome:Brara.H00706" /translation="MGKDKHHEQDKGFFSHHNHPGHGYPPGAYPPPPPGAYPPPHGYP QQGYPPQGYPPQGYPPAAYPPPPGAYPPAGYPGPHRPGLGGGVGGLIAGAATAAAAAM GSHHAGHHGGYGHHHGGKYKKGFFGGGKYKRGKHSMFGGKHKRGKHGMFGGKRGKHGM FGRRKWK" gene complement(9163274..9164772) /locus_tag="BRARA_H00707" /db_xref="Phytozome:Brara.H00707" mRNA complement(join(9163274..9163509,9163885..9164083, 9164165..9164355,9164442..9164772)) /locus_tag="BRARA_H00707" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00707" CDS complement(join(9163486..9163509,9163885..9164083, 9164165..9164355,9164442..9164567)) /locus_tag="BRARA_H00707" /codon_start=1 /product="hypothetical protein" /protein_id="RID49946.1" /db_xref="Phytozome:Brara.H00707" /translation="MEVQEQDTRKKRPEFSPIFIFIVFLGLFAFFLCLASEFQKAKGK DLKWDGESCYLPESHAFKFGTAALVCVSVAQIIGNVVICRGFLKTHKTETTPFCLFLL LFSWVNFAVAVMLTTVGASMNREQIYGKGWLNGECYLVKDGLFASSGVLCVSALGAVL GAFASNVKSSSQPTHKALA" gene complement(9165179..9173729) /locus_tag="BRARA_H00708" /db_xref="Phytozome:Brara.H00708" mRNA complement(join(9165179..9165486,9165767..9166311, 9171418..9171531,9171636..9172020,9172892..9173729)) /locus_tag="BRARA_H00708" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00708" CDS complement(join(9165279..9165486,9165767..9166311, 9171418..9171531,9171636..9172020,9172892..9173682)) /locus_tag="BRARA_H00708" /codon_start=1 /product="hypothetical protein" /protein_id="RID49947.1" /db_xref="Phytozome:Brara.H00708" /translation="MAPLHFTIFIFFSFIFAISSSSFAPPRHPFDPLTETELKLVQTI INKTYPVGPSHKFTFQYVGLNEPDKSLVLSWYSSPKHNIKPPPRQAFVIARDSGKSRE IVVDFSSRAIVSDKIHVGNGYPMLTNDEQEAATELVLKFKPFLDSVKKRGLNVSEIVF TTSTVGWYGESEAETERVIRMMPFYLDGTVNMYLRPIEGMTILVNLDVMKVTEFTDRL TVIMPKANGTEYRISELKPPFGPTLQNAVFMQPDGPGFKIDGHVVRWANWEFHISFDA RAGIAISLASMFDMDVKKYRQVLYKGHLSEMFIPYMDPSDDWYFITYLDCGDFGCGQC AVSLEPYRDCPAGAVFMDGVFAGQDGTPAKIPNVMCIFEKYAGDIMWRHTEAEIPNLE ITEVRPDVSLVARIVTTVGNYDYIVDYEFKPSGSIKMGVGLTGVLEVKPVEYTHTSEI KEDDIHGTIVADNTIGVNHDHFVTFRLDLDIDGSPNSFVRNELVTKRTAKSVNTPRKS YWTTSPKTAKTETEARVKLGLKAEELVVVNPNRKTKHGNEVGYRLLSSPPSGPLLVQD DYPQIRAAFTNYNVWITPYNRSEVWAGGLYADRSHGDDTLAVWSQRNREIENKDIVMW YTIGFHHVPSQEDFPTMPTLSGGFELRPTNFFEQNPVLKSKPIKIASAKNCIPKND" gene complement(<9184121..>9194296) /locus_tag="BRARA_H00709" /db_xref="Phytozome:Brara.H00709" mRNA complement(join(<9184121..9184331,9187507..9188051, 9188989..9189102,9189212..9189602,9193467..>9194296)) /locus_tag="BRARA_H00709" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00709" CDS complement(join(9184121..9184331,9187507..9188051, 9188989..9189102,9189212..9189602,9193467..9194296)) /locus_tag="BRARA_H00709" /codon_start=1 /product="hypothetical protein" /protein_id="RID49948.1" /db_xref="Phytozome:Brara.H00709" /translation="MAQLHITILIISSCFLFFFFLNNILIFSSIFVVTSSSFIPLSHP FDPLTETELKLVGKIIKETYPIGPSHRFTFQYVGLNEPDKSRVLSWHSEPDQNVIPLP RQAFVIARDKGKTREIIVDFSSRAIIWDKIHVGNGYPMLTIDEQQAATALILKFKPFR DAIKRRGLDVLEVVVTSSTLGWFGEKQTERLIKAMPFYLNGSVNTYLRPIEGMRIIVN LDKMKVATFKDRFMGPLPKANWTEYRFSKLKPPFGTSLQNAVVFQPDGPGFKIDGHIV RWANWEFHMSFDVRAGLIISLASIFDMDVNKYRQVLYKGHLSEMFVPYMDPVSDDWYS ITYLDCGGDFGCGQSAVSLEPYTDCPANAAFMDGIFASQDGTPTKVSNVMCIFEKYAG NIMWRHTEAEIPWLKITEVRPDVSLVVRMVTTVGNYDYIVDYEFKPSGSIKVGVGLTG VLEVKPVEYVHTSEIKEDDIYGTIVADNTVAVNHDHFVTFRLDLDVDGKDNSFVRTKL VTKRTQKSVGTPRKSYWTTNRKVAKTEAEARVKLGLRAEELMVVNPNRRTKHGNEVGY RLLPGSVSGPLLTQDDYPQIRAAFTNYNVWITPYNKSEVWASGLYADRSQGDDTLAVW SQRNRKIENKDIVMWYTVGFHHVPCQEDFPTMPTLSSGFELRPVNFFEQNPVLKTKLI KITTTPKCTPSKNN" gene complement(<9206875..>9207153) /locus_tag="BRARA_H00710" /db_xref="Phytozome:Brara.H00710" mRNA complement(<9206875..>9207153) /locus_tag="BRARA_H00710" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00710" CDS complement(9206875..9207153) /locus_tag="BRARA_H00710" /codon_start=1 /product="hypothetical protein" /protein_id="RID49949.1" /db_xref="Phytozome:Brara.H00710" /translation="MVNRRSSIASLTLFMFFSLGLHLHFRTIAAARKSVKVFSPPIPL EWSPPSPPKEDFAWFKINIYKNIEQTAFRPTGPGPSQGIGHKDPPGAP" gene complement(<9220217..>9220513) /locus_tag="BRARA_H00711" /db_xref="Phytozome:Brara.H00711" mRNA complement(<9220217..>9220513) /locus_tag="BRARA_H00711" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00711" CDS complement(9220217..9220513) /locus_tag="BRARA_H00711" /codon_start=1 /product="hypothetical protein" /protein_id="RID49950.1" /db_xref="Phytozome:Brara.H00711" /translation="MKGVVESQFTVEWESIIRLLKEGSNWSKVKLFVSRYILQSTVHA IWMERNRRKHNELPSPSVVLIKRLDKNMRNRFTTLRRRGEKDLAEGMNFWFGSR" gene <9237308..9239215 /locus_tag="BRARA_H00712" /db_xref="Phytozome:Brara.H00712" mRNA join(<9237308..9237745,9237965..9238066,9238160..9238375, 9238447..9238728,9238823..9238888,9238967..9239215) /locus_tag="BRARA_H00712" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00712" CDS join(9237308..9237745,9237965..9238066,9238160..9238375, 9238447..9238728,9238823..9238888,9238967..9239029) /locus_tag="BRARA_H00712" /codon_start=1 /product="hypothetical protein" /protein_id="RID49951.1" /db_xref="Phytozome:Brara.H00712" /translation="MGPRWPIIQPTAKSRRRKTKTPSRSEGVSNHKEQSLATKMVQPR FVRPSPSSPSSGTGEPNSSNLYVANCGPAVGLSHDAIAAVFSAFGEVKGVYPADESGV RVIVSFADPFSAKSALEALSGRPCPDLDGRTLHIRYSVLQLPSQTQVNECVPVSLSDS DLNIPGLFLLPDFVTAEEEQQLLAAVDTQPWIDLAKRRVQHYGYEFCYGTRNVDTKNR LGELPPFVSPILQRISLFPNLDYDPASLNLDQLTVNEYPCGVGLSPHIDTHSAFEDCI FSLSLGGPCIMEFRRYSASTWKAASTTTDDDEKQDSSTCIKKALYLPPRSMLLLSGEA RYAWNHYIPHHKIDKVKDKVIRRSPRRVSFTLRKVRNHPCRCEFPQYCDSQQQT" gene 9239341..9242023 /locus_tag="BRARA_H00713" /db_xref="Phytozome:Brara.H00713" mRNA join(9239341..9239444,9239649..9239764,9239881..9239921, 9240127..9240176,9240489..9240558,9240704..9240828, 9240914..9241017,9241113..9241248,9241320..9241467, 9241539..9242023) /locus_tag="BRARA_H00713" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00713" CDS join(9239386..9239444,9239649..9239764,9239881..9239921, 9240127..9240176,9240489..9240558,9240704..9240828, 9240914..9241017,9241113..9241248,9241320..9241467, 9241539..9241844) /locus_tag="BRARA_H00713" /codon_start=1 /product="hypothetical protein" /protein_id="RID49952.1" /db_xref="Phytozome:Brara.H00713" /translation="MFNNTLLLHLPRPILPSFTSCRASRRILSKRMTTAMDPKVGKFE SVEGADLNSISKPDGIRFRLVSYNILAQVYVKSSFFPHSPPACLKWKARSHAILSVLK KLQADFFCLQEVDEYDSFYRKNMESLGYSGIYIQRTGQRKRDGCAIFYKPSCAELVTK ERIEYNDLLDEQKIETSNEAKGDEKEAKDSQKDSRDLNDPQVRLKRDCVGIMAAFRIN KPFHHIVIVANTHLYWDPELADVKLAQAKYLLSRLAQFKTLISDEFECTPSLLLAGDF NSIPGDMVYSYLVSGYKKPADIEEEEVAPIPMCSVYEVTRGEPKFTNCTPGFTNTLDY IFFSPSDFIKPVSILQLPEPESPDVVGFLPNDHHPSDHLPIGAEFEISRE" gene complement(9242827..9243647) /locus_tag="BRARA_H00714" /db_xref="Phytozome:Brara.H00714" mRNA complement(join(9242827..9243314,9243397..9243443, 9243561..9243647)) /locus_tag="BRARA_H00714" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00714" CDS complement(join(9243062..9243314,9243397..9243443, 9243561..9243614)) /locus_tag="BRARA_H00714" /codon_start=1 /product="hypothetical protein" /protein_id="RID49953.1" /db_xref="Phytozome:Brara.H00714" /translation="MMERLTGTQDGRIDHMLQEKTFKHPYLQAIGAHTNYWRDNDTAL FIIKHLYRELPDEPNSPMESREGDDSPKDSSRPHSWIDRGETDDADEELPLTFSNKEI ARSFSAEAKKYLKKP" gene 9255154..9257854 /locus_tag="BRARA_H00715" /db_xref="Phytozome:Brara.H00715" mRNA join(9255154..9255480,9255770..9255883,9255965..9256034, 9256112..9256260,9256342..9256443,9256524..9256724, 9256810..9256872,9256962..9257300,9257375..9257449, 9257516..9257854) /locus_tag="BRARA_H00715" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00715" CDS join(9255421..9255480,9255770..9255883,9255965..9256034, 9256112..9256260,9256342..9256443,9256524..9256724, 9256810..9256872,9256962..9257300,9257375..9257449, 9257516..9257644) /locus_tag="BRARA_H00715" /codon_start=1 /product="hypothetical protein" /protein_id="RID49954.1" /db_xref="Phytozome:Brara.H00715" /translation="MEAIRKQAAKLREQVARQQQAVLKHLGHVNADAVVVDEEELHCH QKLQELYSSTKAAKRLQRNIVRGLEGFIATGTKVVEIGLKFAEDFKKYGDENPDANTP LSRVSHHFGTSYKSVEDGRETLLGVLSEQVCEPIRTMIYSAPLEDARHLVNHYDRLRQ EVEAQATDVLRRRSKLKESDVSEEAYMKLKNSESRLADLKSSMKTLGKEATKAMLEVD NQQQSVTYQRLRTLVEAEISYHRNALDILDKLHSEMIAEEEAIESSPKSLPLPLHLED TVSHPQASHSGEIKSNHQGETKHTTPHEEVTKPNPKEDMESSPEVEIKSKPQKEIKSS SPQEDIKTSNGSDDHHSHQQLLSQNDSYFLAKVVHPFDAQAPGELSLAVDDYVIVRQV AGTGWSEGEYKGKAGWFPSAYVEKQEKAPASKIVEANLNQQ" gene 9258350..9260326 /locus_tag="BRARA_H00716" /db_xref="Phytozome:Brara.H00716" mRNA 9258350..9260326 /locus_tag="BRARA_H00716" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00716" CDS 9258472..9260160 /locus_tag="BRARA_H00716" /codon_start=1 /product="hypothetical protein" /protein_id="RID49955.1" /db_xref="Phytozome:Brara.H00716" /translation="MQMGPVQTPSLIAYNKMLKSLADTKTFTKVLALFSELRRNALYP DNFTLPIVLKSIGRLRNVLEGEKLHGYALKSGLKLDPYVCNSLMGMYAALGKMEITHK LFDEMPERDVVSWNGLISSYVGHGRFEDAVAVFKRMSKESSLKPDESTIVSTLSACSS LKNLEVGEGIHRYVVGTEFETSVKIGNALVDMFCKCGCLDKARAVFDSMRGKNVKCWT SMVSGYVSNGRIDEGRELFEISPAKDVVLWTAMMNGYVQFNRFDEALELFRCMQSEGV RPDNFVLVSLLKGCAQTGALEQGKWIHGYICENRVRVDKVVGTALVDMYAKCGCIETA LEVFYETKERDAASWTSLIYGLAMNGMSRRAMDLYYEMERVGVRLDDITFVAVLTACN HGGFVGEGRRVFYSMIQPKTEHYSCMIDLLCRAGSLDEAEELIDKMRSESNETLVPVY CSLLSAARNYGNLEVAERVAEKLKEVEVSDSSAHTLLASVYASANRWQDVTNVRRRMK DLGIRKFPGCSSVEIDGVPHEFIVGDKSSSSHPKVDEINTMLASNYKLDVEFGT" gene complement(9261095..9265540) /locus_tag="BRARA_H00717" /db_xref="Phytozome:Brara.H00717" mRNA complement(join(9261095..9261462,9261560..9261765, 9261943..9262021,9262119..9262183,9262277..9262384, 9262565..9262618,9262705..9262771,9262968..9263033, 9263120..9263197,9263272..9263324,9263421..9263454, 9263532..9263568,9263676..9263764,9263882..9264004, 9264097..9264201,9264336..9264440,9264516..9264731, 9264818..9264964,9265065..9265294,9265370..9265540)) /locus_tag="BRARA_H00717" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00717" CDS complement(join(9261271..9261462,9261560..9261765, 9261943..9262021,9262119..9262183,9262277..9262384, 9262565..9262618,9262705..9262771,9262968..9263033, 9263120..9263197,9263272..9263324,9263421..9263454, 9263532..9263568,9263676..9263764,9263882..9264004, 9264097..9264201,9264336..9264440,9264516..9264731, 9264818..9264964,9265065..9265294,9265370..9265433)) /locus_tag="BRARA_H00717" /codon_start=1 /product="hypothetical protein" /protein_id="RID49956.1" /db_xref="Phytozome:Brara.H00717" /translation="MESEAIQVELQSLELEINDVQGQISALIEHQDRLYERKSELKTL LKAVAASVTPVASSCPDGSSAVENWSEPFEWDSRADDIRFNIFGISKYRANQREIVNA IMAGRDVLVIMAAGGGKSLCYQLPAILRGGTTLVVSPLLSLIQDQVMGLAALGISAYM LTSTSGKENEKFVYKALEKGEDDLKILYVTPEKVSKSKKFMSKLEKCHNAGRLSLISI DEAHCCSQWGHDFRPDYKNLSILKTQFPKVPMVALTATATQKVQNDLIEMLHIPKCVK FVSSVNRPNLFYSVREKSLVGKAVVDEIAEFIRESYSNNESGIVYCFSRKECEQIAGE LRERGISADYYHAEMDVNMREKVHMRWSKNKLQVIVGTVAFGMGINKPDVRFVIHHSL SKSMETYYQESGRAGRDGLPSECVLFFRSGDVPRQSSMVFYEYSGLQNLYDIVRYCQS KTKCRRSAFFRHFGEPSQDCNGMCDNCALSSEVKEVDVSDLAKLVVSMVQEMQTKDQR VTMLQLGDKLRTKHKDLSAELKREDIEHLVIKLIVDSVLKEEFQHTPYSTNAYVTTGP LANQLLQGRKTIKTETSSRQINKKSKRSSSFSGLESKLDELRKEISAAHGSMLPHTVL STQQIGLISSQKPDSLQELESIIGKLKTDKYGDKILEVMRHDAVSEQLVEDGTKDDTC KSRSSKRAKTQKDVVLLESSEEE" gene <9277721..>9279697 /locus_tag="BRARA_H00718" /db_xref="Phytozome:Brara.H00718" mRNA join(<9277721..9277724,9278514..>9279697) /locus_tag="BRARA_H00718" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00718" CDS join(9277721..9277724,9278514..9279697) /locus_tag="BRARA_H00718" /codon_start=1 /product="hypothetical protein" /protein_id="RID49957.1" /db_xref="Phytozome:Brara.H00718" /translation="MQKKLKRSGSMATVAAVTPPAKRRRTDGGKDIAIIEGLPDHVSE ICLSLVCRPSLLAAVCTRWRRLVYSPEFPPFSSLYALFVDSNSDPARVNPAIRFMCFD PVSSKWDPLPPPPPDPPLHRILYRHPSYISFNFPIQCVSAAGKLVLIAASNREFSPAI SHPLIFDPVSSTWTSGPPLESPRRWCATGACGGVVYVASGLGSQFSPIVARSIEKLDL TDHNRSNWQKLREMRDSRFSREAIDAVGWRRKLLMVNVKGDAIKEGAIYDVVNDDWEA MPEEMAAGWRGPVAAMEEETLYSVDERNGTVRIYNEEERVWREVTVVEGGEEMLKGAQ QVTAFAGKLCVVNVDGSIVVVDVMAEPAKIWTVENPEGLEPVSVHVLPRMSRPDFLHF RKI" assembly_gap 9298848..9308847 /estimated_length=unknown /gap_type="between scaffolds" assembly_gap 9335133..9345132 /estimated_length=unknown /gap_type="between scaffolds" assembly_gap 9345614..9355613 /estimated_length=unknown /gap_type="between scaffolds" gene complement(<9361448..>9362620) /locus_tag="BRARA_H00719" /db_xref="Phytozome:Brara.H00719" mRNA complement(join(<9361448..9362310,9362455..>9362620)) /locus_tag="BRARA_H00719" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00719" CDS complement(join(<9361448..9362310,9362455..9362620)) /locus_tag="BRARA_H00719" /codon_start=1 /product="hypothetical protein" /protein_id="RID49958.1" /db_xref="Phytozome:Brara.H00719" /translation="MRILRRDFVRDGPGSAKLMAEDSDDLWFTYNLIAPGDSVMAVTS RKVLRERGNSKLSERLTLKLEVQVEEVNYDKDGGVLRILGTNILENEHVPLGAYHSLE LVLKRRFLLRKKIWDSMAIDTLNQAKHHNSSSDLAVVLMQEGHAQIFLVGKSVGAPIE TSIPNRKHAGYEAALKKFFENVVRAFVKHVDFNVVRCVVIASPGFTKDQFHRHLLLEA ERRQLKPITENKSRILLVHANSGYRHSLGEVLSDPKVMKMIQDTKASKEVNALRDFFT MFEKDPYRACYGPKQVEFAHEQKAIQTLLITDELFKNSDVKERKKYVDFVESVKKLGG EAFIFSSMH" assembly_gap 9363038..9373037 /estimated_length=unknown /gap_type="between scaffolds" gene <9373040..>9377029 /locus_tag="BRARA_H00720" /db_xref="Phytozome:Brara.H00720" mRNA join(<9373040..9373108,9373203..9373385,9373496..9373651, 9373733..9373804,9373896..9374048,9374199..9374249, 9374363..9374533,9374623..9374772,9374917..9374982, 9375093..9375617,9375784..9375844,9375930..9376033, 9376125..9376197,9376299..9376401,9376485..9376578, 9376652..9376714,9376799..9376847,9376965..>9377029) /locus_tag="BRARA_H00720" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00720" CDS join(<9373040..9373108,9373203..9373385,9373496..9373651, 9373733..9373804,9373896..9374048,9374199..9374249, 9374363..9374533,9374623..9374772,9374917..9374982, 9375093..9375617,9375784..9375844,9375930..9376033, 9376125..9376197,9376299..9376401,9376485..9376578, 9376652..9376714,9376799..9376847,9376965..>9377029) /locus_tag="BRARA_H00720" /codon_start=1 /product="hypothetical protein" /protein_id="RID49959.1" /db_xref="Phytozome:Brara.H00720" /translation="VGKSEYFRFGMRDSLAIEASFLQREDELLSLWWKEYAECSQGPI PQLNPKNKSNKQPTETLSEASLYAVEEERVGVPVKGGLYEVDLVRRHCFPVYWNGDNR RVLRGHWFALKGGLDWLPIPETVAEQLEVSYRNKVWHRRRFQPSGLFAARVDLQGSSL GLHALFTGEDNTWEAWLNVDPSGFSGIVGYTGNGIKLRRGYAGSYSPKPTQEELRQQK EEEMDDYCSQVPVRHLVFMVHGIGQKGEKSNLVDDVGNFRQITAGLGERHLTSHQLGT QRVLFIPCQWRKGLKLSGEAAVDKCTLDGVRRLREMLSATVHDVLYYMSPIYCQAIID SVSNQLNKLYLKFIKRNPDYDGKISIYGHSLGSVLSYDILCHQHNLSSPFPMDAVYKK FFPDEESPPVQASADEPCSSHQSSKIEPEKSNQLNNTEEITGEDNDMMDKKTTLLEHQ DVIQEGPSLVSDSVVDIVGLGKRESQEDDHHDDSSSAISSQDEPDGADCRTPVSSSCS PEQSWEKKCENSYNDEMIKLLREEVKSLRSKVAQLQSENARITNEKNKTSMIPEKAPT KDATAPSSFTPYIKYRKLEFKVDTFFAVGSPLGVFLALRNIRLGIGKGKDYWEEGNVI EEMPACRRMFNIFHPYDPVAYRVEPLVCKEYLPKRPVLVPYYRGGKRLHIGLQDFKED FAERSQRLMNHFASVRTRVLTICQSKSSDELEETEETDDEKDGRSYGSLMMERL" assembly_gap 9377031..9387030 /estimated_length=unknown /gap_type="between scaffolds" gene 9390505..9391584 /locus_tag="BRARA_H00721" /db_xref="Phytozome:Brara.H00721" mRNA join(9390505..9390655,9390811..9391070,9391266..9391584) /locus_tag="BRARA_H00721" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00721" CDS join(9390563..9390655,9390811..9391070,9391266..9391377) /locus_tag="BRARA_H00721" /codon_start=1 /product="hypothetical protein" /protein_id="RID49960.1" /db_xref="Phytozome:Brara.H00721" /translation="MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRI KERVEEKEGIPPVQQRLIYAGKQLADDKTAKDYNIEGGSVLHLVLALRGGSD" gene complement(9391961..9392883) /locus_tag="BRARA_H00722" /db_xref="Phytozome:Brara.H00722" mRNA complement(join(9391961..9392410,9392495..9392883)) /locus_tag="BRARA_H00722" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00722" CDS complement(join(9392101..9392410,9392495..9392826)) /locus_tag="BRARA_H00722" /codon_start=1 /product="hypothetical protein" /protein_id="RID49961.1" /db_xref="Phytozome:Brara.H00722" /translation="MSLTIPTNLVLNKKTLTQSLPKSTARFVCSTDDNKSLKAFSAAV ALSSILLSSPMPAAADISGLTPCKESKQFAKREKQQIKKLQSSLKLYAPESAPALALN AQIEKTKRRFDNYGKYGLLCGADGLPHLIVNGDQRHWGEFITPGLLFLYIAGWIGWVG RSYLIAISDEKKPAMKEIIIDVPLASRLIFRGFIWPVAAYRALLNGDLIAKDV" gene 9399931..9405131 /locus_tag="BRARA_H00723" /db_xref="Phytozome:Brara.H00723" mRNA join(9399931..9400562,9404172..9404321,9404661..9405131) /locus_tag="BRARA_H00723" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00723" CDS join(9399945..9400562,9404172..9404321,9404661..9404966) /locus_tag="BRARA_H00723" /codon_start=1 /product="hypothetical protein" /protein_id="RID49962.1" /db_xref="Phytozome:Brara.H00723" /translation="MMPKANVIFSSTITIFLLLSISHFPGAFSQSDKECTPEYDNTCT DKNKAFNLKLVAVFTILITSLIGVCLPLFARSVSAFQPERSLFLIVKSFASGIILATG FIHVLPDSFEMLSSHCLNDNPWHKFPFTGFVALISAVFTLMVDSITTSLFSKSGRRDP CADVASAGSPDEEMGHVSHYGHGLHHSNGKELGSNLQLLRYRVIAIVLELGIVVHSIV IGLSVGATNNTCTIKGLIAALCFHQMFEGMGLGGCILQAEYGWAKKAVMAFFFSVTTP FGVVLGMALSKTYKENGPDSLITVGLLNASSSGLLIYMALVDLLAADFMGQKMQRSIK LQLKSYAAVLLGAGGMAVLAKWT" gene complement(9409769..9412666) /locus_tag="BRARA_H00724" /db_xref="Phytozome:Brara.H00724" mRNA complement(join(9409769..9410096,9410191..9410279, 9411251..9411320,9411427..9412127,9412216..9412303, 9412452..9412666)) /locus_tag="BRARA_H00724" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00724" mRNA complement(join(9409769..9410096,9410191..9410279, 9410499..9410755,9411251..9411320,9411427..9412127, 9412216..9412303,9412452..9412666)) /locus_tag="BRARA_H00724" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00724" CDS complement(join(9410063..9410096,9410191..9410279, 9411251..9411320,9411427..9412127,9412216..9412303, 9412452..9412462)) /locus_tag="BRARA_H00724" /codon_start=1 /product="hypothetical protein" /protein_id="RID49964.1" /db_xref="Phytozome:Brara.H00724" /translation="MSKRILCKFFAHGACLKGDNCEFSHDWKDPTNNVCTFYQRGLCS YGSRCRYEHVKLKPHPSPASSSSALPRSSASEKDLSPLPSSSSSPAWTLDSSDNTFSS STSSSKPQDQPICSYAAAGDCPRGDQCPHIHGDLCPTCGKRCLHPFRPEEREEHKRSC EKKQKQLEALKLSQEVECCVCLERVLSKPTPAERKFGLLTECDHAFCIGCIRNWRSSS PSTGMDVNSTLRACPICRKLSYFVVPSVIWFSAPEEKKEIMDNYRDKLRSIDCKHFNF GDGNCPFGTSCFYKHAFHDGRLEEVVLRHLDAEDGQTVIAKDIRLSDFLEGMRI" CDS complement(join(9410525..9410755,9411251..9411320, 9411427..9412127,9412216..9412303,9412452..9412462)) /locus_tag="BRARA_H00724" /codon_start=1 /product="hypothetical protein" /protein_id="RID49963.1" /db_xref="Phytozome:Brara.H00724" /translation="MSKRILCKFFAHGACLKGDNCEFSHDWKDPTNNVCTFYQRGLCS YGSRCRYEHVKLKPHPSPASSSSALPRSSASEKDLSPLPSSSSSPAWTLDSSDNTFSS STSSSKPQDQPICSYAAAGDCPRGDQCPHIHGDLCPTCGKRCLHPFRPEEREEHKRSC EKKQKQLEALKLSQEVECCVCLERVLSKPTPAERKFGLLTECDHAFCIGCIRNWRSSS PSTGMDVNSTLRACPICRKLSYFVVPSVIWFSAPEEKKEIMDNYRDKLRSIDCKHFNF GDGNCPFGTSCFYKHTVKPGSYAWKYHRPPPRRPSPSGSNLSDMDTFFSMMGGIMSEG EYDPFAFEDSDDDELTTTDMMMLLMNLDMESD" gene complement(9423861..>9430022) /locus_tag="BRARA_H00725" /db_xref="Phytozome:Brara.H00725" mRNA complement(join(9423861..9424533,9428088..9428333, 9429567..>9430022)) /locus_tag="BRARA_H00725" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00725" CDS complement(join(9423889..9424533,9428088..9428333, 9429567..9430022)) /locus_tag="BRARA_H00725" /codon_start=1 /product="hypothetical protein" /protein_id="RID49965.1" /db_xref="Phytozome:Brara.H00725" /translation="MPTQKSSSIPLMVKKKLTEMVKPPKLIPSHTLSLSTLDNAPYNE VMYKMCYVFKPRNVGYDDNQPDYLVREALSVLLGYYYPLSGTLKRRDTDRKLQLSCGS DGGGVAFTVATANVELSSLKYLENIDSDMALKFLPEVQVDKDGYPPFALQVTNFKCGG FILGVALPHSMCDGFGEGHIMCALTELAGGKNMPTVTPVWERERLVGRPKDNDQVPFV PEGDTATSPYLPTDDWVSEKISIRAESIRRLKEATLKEYDFSNEALTTFEVIGAYLWK SRVKALSLDRDGVTSLGLAVGIRNAVNPPLPDGYYGNAYIDMYVSLTVKEVEEFTISD IVKLLKQAKRKAHDKDYLQEELANTESIISMNLTIKGRFCLTDWRNIGIFGSMDFGWG EPVNIVPVVPPEIARILGIVMPASRLEPSMVGGVQVMITLPRAAMVKFKEEMNSVN" gene <9442290..>9443825 /locus_tag="BRARA_H00726" /db_xref="Phytozome:Brara.H00726" mRNA <9442290..>9443825 /locus_tag="BRARA_H00726" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00726" CDS 9442290..9443825 /locus_tag="BRARA_H00726" /codon_start=1 /product="hypothetical protein" /protein_id="RID49966.1" /db_xref="Phytozome:Brara.H00726" /translation="MISLLEITVSFLCFSFFFGYFFIMKKPHRSFPTNWPFLGMLPGL LVEIPRVYDYITEFLEASNLTFLFKGPCFVGVNMLFTVDPANIHHIMSSNFTNYPKGS EFKKIFDVLGDGIFNADFDLWMDLRKSAQSMMSRPEFQRFTLRTNMRKLEKGLVPILD HFAEKKLVLDLQDVFQRFTFDTTFVLATGIDPGCLSIEMPEIEFARALDEAMEVIFFR HIKPEIVCKIQRLLGFGDELKMKIAHSTLDRICSKCIASKRDEITRGVTSIDSSSKDL LMSYMDVDTTKYKSLNPDDDKFLRDMILSFMIAGRDTTGSALTWFFWLLTKNPEVTTK IRQEINTKISPRTNNDSDHFSSQELNKLVYLHGALCEALRLYPPVPFQHKSPTKPDVL PSGHKVEPSTKIVFCLYSLGRMKSVWGEDASDFKPERWNSETGSSIHVPSFKFLSFNA GPRTCLGKEVAMTQMKTVAVKIIQNYEIKIVEGHTIEPVPSVILRMKQGLKVMVTKRS NLV" gene complement(9472528..9473494) /locus_tag="BRARA_H00727" /db_xref="Phytozome:Brara.H00727" mRNA complement(join(9472528..9472743,9472808..9472901, 9473000..9473033,9473108..9473271,9473341..9473494)) /locus_tag="BRARA_H00727" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00727" CDS complement(join(9472728..9472743,9472808..9472901, 9473000..9473033,9473108..9473271,9473341..9473413)) /locus_tag="BRARA_H00727" /codon_start=1 /product="hypothetical protein" /protein_id="RID49967.1" /db_xref="Phytozome:Brara.H00727" /translation="MANLMMLRFPSSLRSFSVSASSSNGSPPVIGGSSGGVGTMIVEL PLEKIRRPLMRTRSNDQNKVKELMDSIRQIGLQVPIDVIEVDGAYYGFSGCHRYEAHQ KLGLPTIRCKIRKGTKETLRHHLR" gene <9475043..>9476013 /locus_tag="BRARA_H00728" /db_xref="Phytozome:Brara.H00728" mRNA join(<9475043..9475370,9475421..>9476013) /locus_tag="BRARA_H00728" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00728" CDS join(9475043..9475370,9475421..9476013) /locus_tag="BRARA_H00728" /codon_start=1 /product="hypothetical protein" /protein_id="RID49968.1" /db_xref="Phytozome:Brara.H00728" /translation="MDLQPEELQFLTIPQLLQESISIKKRSPRTFYLITLSLIFPLSF AILAHSLFTQPILAKLDSSDPPNSDRSRHDWTVLLTFQFSYLIFLFSFSLLSTAAVVF TVASLYTVFKRLMITFLWVALLMFAYNSVFLVFLAILILALYLNSVGLAIIAGVIVSV LYFGVHVYFTALWHLGSVVSVLEPVYGLAAMRKAYELLKGKTRMAMVLVFVYLLLCAV IGGAFGGIVVHGGGKFGTLTRTLVGGLLVGLLVMVNLVGLLVQSVFYYVCKSYHHQTI DKTVLYDHLGGYLGEYVPLKSNIQLENLEF" gene 9505064..9508525 /locus_tag="BRARA_H00729" /db_xref="Phytozome:Brara.H00729" mRNA join(9505064..9505871,9505965..9506436,9506515..9506826, 9506903..9507070,9507153..9508525) /locus_tag="BRARA_H00729" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00729" CDS join(9505725..9505871,9505965..9506436,9506515..9506826, 9506903..9507070,9507153..9508435) /locus_tag="BRARA_H00729" /codon_start=1 /product="hypothetical protein" /protein_id="RID49969.1" /db_xref="Phytozome:Brara.H00729" /translation="MAARGVEGSTGGEISEESDERGSMWDLDQQLDQPMDEEAGRLRN MYKEKKFSAFLLLQLSFQSLGVVYGDLGTSPLYVFYNTFPHGIKDPEDIIGALSLIIY SLTLIPLLKYVFVVCKANDNGQGGTFALYSLLCRHAKVNTIPNQHRTDEELTTYSRTT FHEQSFAAQTKRWLEKNASRKNSLLILVLVGTCMVIGDGILTPAISVLSAAGGLRVNL PHINNGVVVVVAVVILVSLFSVQHYGTDRVGWLFAPVVFLWFLFIASIGMYNVCKHDP SVLKAFSPVYIYRYFKRGGQDRWTSLGGIMLSITGIEALFADLSHFPVSAVQIAFTVI VFPCLLLAYSGQAAYLRKYPHHVEDAFYQSIPKSVYWPMFVIATFAAIVASQATISAT FSLIKQALAHGCFPRVKVVHTSRKFLGQIYVPDINWILMILCIAVTAGFKNQNQIGNA YGTAVVIVMLVTTLLMMLIMILVWRCHWVLVLLFTFLSLVVECTYFSAVLFKVNQGGW VPLVIAAAFLIIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGVGLVYTE LASGVPHIFSHFITNLPAIHSVVVFVCVKNLPVYTVPEEERFLVKRIGPKSFHMFRCV ARYGYRDLHKKDDNFEKRLFESLFLFIRLESMMEGCSDSDDYSICASQQPQPHRDGNE SRNLETFDTFDSVESVMAPLGVTKRTSHTVTGSSQMSGGGDELEFINGCRDAGVVHIM GNTVIRARREARFYKRIAIDYVCAFLRKICRENSAIFNVPQESLLNVGQIFYV" gene complement(9513215..>9515668) /locus_tag="BRARA_H00730" /db_xref="Phytozome:Brara.H00730" mRNA complement(join(9513215..9513387,9513470..9514201, 9514289..9514402,9514951..9515241,9515281..>9515668)) /locus_tag="BRARA_H00730" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00730" CDS complement(join(9513621..9514201,9514289..9514402, 9514951..9515241,9515281..>9515668)) /locus_tag="BRARA_H00730" /codon_start=1 /product="hypothetical protein" /protein_id="RID49970.1" /db_xref="Phytozome:Brara.H00730" /translation="RSLRDDRPDIAISSECDQDSNRQSDHEVSKLPELEQCKMDSSID TDEKSFPSETGVPCTRPDWDWRLDLQSQMPVSSKLDVEDNISSFDSQRHHHEEDVTHS RFLSSSSSSNSDANHLAARSSLPCEPSSSSNRLHLPNGFGEQSMFTVEHSLFANEGRN KVRSAEDEIISNILSLYFDPWDESLTSPHNLAELLGKVDQRSSPVKPSNLLKQHNSQS RFSFAQESTVTRPQVSAPPGFSAPSRLPPPGFSSHERVGLSSDTAPGTRFLDSAAMLR NTYQVQPPVGNPSGASDIEFADPAILAVGRGMVNADLDMRSSFSSQMNSYGNETGLQM LRHQSLSAAQQQVNGFHHDLRNLSPSLAETYGFSSRLMDHQAHGSNLSLFSQHPRQQP SANPVLSNGHWDKWNEGQSVNSLGMAELLRNERLGFNGSLYNNGYEEPNFRLPSPGDV YKRTYGM" gene <9523096..>9524879 /locus_tag="BRARA_H00731" /db_xref="Phytozome:Brara.H00731" mRNA join(<9523096..9523140,9523620..9523997,9524358..>9524879) /locus_tag="BRARA_H00731" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00731" CDS join(9523096..9523140,9523620..9523997,9524358..9524879) /locus_tag="BRARA_H00731" /codon_start=1 /product="hypothetical protein" /protein_id="RID49971.1" /db_xref="Phytozome:Brara.H00731" /translation="MASFRATINSLNLQQIEAEDMINLEGILKEASMKDKKTVIITLM NQAWAEPNSTFDVFLESFRIGNGTSKLLPHIVVMCLDDKAYSRCLDVLPRRCILLRTA GVDFSVENRYMVGDYVKMMWRRIKFLGSLLKLGYNFLFTDMDTIWLRDPFPRLVADVD FQAACNLFFNGNFSDRQHNEVNGGFKFVTANHRTIKLYKYWYKSRLRFPGKHDQDVLN YIRSDQYINKIGLDMRFIDTVDVGSFCQPNWDITKVSVLHGNCCIGQSNKVKDLRQFL EDWTIFFGNGNKKRSFRQPMNCRRSVGWRPPRKHKRRG" gene complement(9531421..9535280) /locus_tag="BRARA_H00732" /db_xref="Phytozome:Brara.H00732" mRNA complement(join(9531421..9531707,9531797..9531953, 9532029..9532143,9532218..9532285,9532374..9532517, 9532594..9532671,9532750..9532832,9532905..9532944, 9533036..9533110,9533200..9533312,9533413..9533505, 9533727..9533766,9534449..9534600,9534679..9534733, 9534820..9535280)) /locus_tag="BRARA_H00732" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00732" mRNA complement(join(9531421..9531707,9531797..9531953, 9532029..9532143,9532218..9532285,9532374..9532517, 9532594..9532671,9532750..9532832,9532905..9532944, 9533036..9533110,9533200..9533312,9533410..9533505, 9533727..9533766,9534449..9534600,9534679..9534733, 9534820..9535280)) /locus_tag="BRARA_H00732" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00732" CDS complement(join(9531610..9531707,9531797..9531953, 9532029..9532143,9532218..9532285,9532374..9532517, 9532594..9532671,9532750..9532832,9532905..9532944, 9533036..9533110,9533200..9533312,9533413..9533505, 9533727..9533766,9534449..9534600,9534679..9534733, 9534820..9535017)) /locus_tag="BRARA_H00732" /codon_start=1 /product="hypothetical protein" /protein_id="RID49973.1" /db_xref="Phytozome:Brara.H00732" /translation="MGAASMERDNGAAAAATTTEMVSPPRVNSPRQALVERLKDYGQE DVFALLDELSPDERDFLVRDIENLDLPRIDRIIRCSLHSQGLPVAAIEPVPENWVSTV DGRTMEDRQKWWKMGLKTIYEGKLGVVLLSGGQGTRLGISDPKGCFNIGLPSGKSLFQ IQAERILCVQRLAAQVVSEGPTRPVTIHWYIMTSPFTDEATRKYFSSHKYFGLEPDQI SFFQQGTLPCISKDGKFIMETPFSLAKAPDGNGGVYAALKSSRLLEDMASRGIKYVDC YGVDNVLVRVADPTFLGYFIDKGAASAAKVVRKAYPQEQVGVFVRRGKGGPLTVVEYS ELDQPMASAINQRTGRLQYCWSNVCLHMFTLDFLNQVATGLEKDSVYHLAEKKIPSMN GYTMGLKLEQFIFDSFPYAPSTALFEVLREEEFAPVKNANGSNFDTPESARLSVLRLH TRWVIAAGGFLTHSVPLYATGVEVSPLCSYAGENLEAICRGRTFHAPCEISL" CDS complement(join(9531610..9531707,9531797..9531953, 9532029..9532143,9532218..9532285,9532374..9532517, 9532594..9532671,9532750..9532832,9532905..9532944, 9533036..9533110,9533200..9533312,9533410..9533505, 9533727..9533766,9534449..9534600,9534679..9534733, 9534820..9535017)) /locus_tag="BRARA_H00732" /codon_start=1 /product="hypothetical protein" /protein_id="RID49972.1" /db_xref="Phytozome:Brara.H00732" /translation="MGAASMERDNGAAAAATTTEMVSPPRVNSPRQALVERLKDYGQE DVFALLDELSPDERDFLVRDIENLDLPRIDRIIRCSLHSQGLPVAAIEPVPENWVSTV DGRTMEDRQKWWKMGLKTIYEGKLGVVLLSGGQGTRLGISDPKGCFNIGLPSGKSLFQ IQAERILCVQRLAAQVVSEGGPTRPVTIHWYIMTSPFTDEATRKYFSSHKYFGLEPDQ ISFFQQGTLPCISKDGKFIMETPFSLAKAPDGNGGVYAALKSSRLLEDMASRGIKYVD CYGVDNVLVRVADPTFLGYFIDKGAASAAKVVRKAYPQEQVGVFVRRGKGGPLTVVEY SELDQPMASAINQRTGRLQYCWSNVCLHMFTLDFLNQVATGLEKDSVYHLAEKKIPSM NGYTMGLKLEQFIFDSFPYAPSTALFEVLREEEFAPVKNANGSNFDTPESARLSVLRL HTRWVIAAGGFLTHSVPLYATGVEVSPLCSYAGENLEAICRGRTFHAPCEISL" gene <9553867..>9559504 /locus_tag="BRARA_H00733" /db_xref="Phytozome:Brara.H00733" mRNA join(<9553867..9554168,9557746..9557873,9557903..9558249, 9558382..9558435,9558867..9558935,9559046..9559132, 9559352..>9559504) /locus_tag="BRARA_H00733" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00733" CDS join(9553867..9554168,9557746..9557873,9557903..9558249, 9558382..9558435,9558867..9558935,9559046..9559132, 9559352..9559504) /locus_tag="BRARA_H00733" /codon_start=1 /product="hypothetical protein" /protein_id="RID49974.1" /db_xref="Phytozome:Brara.H00733" /translation="MIREERTPNSSWWEDVQLHHHTDHANSISTSFYHNNNSKASCEG DDISISTVNASNRFDLMAESSNHHSLRAPNQPTSTSDELHRNHVVYSNNHHWGFLRMP GPGRSLGDQMGHHHHNHITSSKNKSSTAPELSFEPVCDNTNVRYDQSSGLSKSMDLVG KHRSLAPPNKDDMNNNRHHHFDQTNDDISLYRQALEVKNEEDLFYNNGLSCGPSLFHD AIESSRSFLDIRLSRPLTDTNPSFKPCFKALNLKEHQMASMATERLGTTNTGKKKRCD EELPKAKFRDKITTLQQIVSPFGKTDTASVLQEAITCINFLSRESIGKMLYMHDPWGA WDREDHNKRGPKHIDLKSRGLSLVSISCTPIAYRDNSATDYWSPS" gene <9569198..>9570436 /locus_tag="BRARA_H00734" /db_xref="Phytozome:Brara.H00734" mRNA join(<9569198..9569556,9569596..9569713,9569721..9570070, 9570220..>9570436) /locus_tag="BRARA_H00734" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00734" CDS join(9569198..9569556,9569596..9569713,9569721..9570070, 9570220..9570436) /locus_tag="BRARA_H00734" /artificial_location="low-quality sequence region" /codon_start=1 /product="hypothetical protein" /protein_id="RID49975.1" /db_xref="Phytozome:Brara.H00734" /translation="MSTELPKRIFKQGEEPQVTQINNNCRIDYIIRKFKAWLPKELDV VKKDPVFSKIFNLHENGLGYSARVIYSFLCRELVTYTLHELWFVFARRPLRFSLQEFH AVTGFECNTCISVKEFEERKDGTITLLNLWTKDKEAVKKWRNADRIRLIYLAIILCVR EIRRLIFPSPLKYIMVVMDLERVQKYLWGVAAYDLLCNSVAKNHDKLKDKTTSYVLDG FSYVLQIWTMEAVPKIGKLCGKKLDKGFKNGPRCINWMGAANVSYEEIIWLEEIITLR ADEVEDVRIQVLMELIKNKHDWSEHIWETEETAVVSLSLDDESVVKDEGSVNVEAAES DEDFQTSKGSKNV" gene <9571592..>9572231 /locus_tag="BRARA_H00735" /db_xref="Phytozome:Brara.H00735" mRNA join(<9571592..9571754,9571918..9572113,9572147..>9572231) /locus_tag="BRARA_H00735" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00735" CDS join(9571592..9571754,9571918..9572113,9572147..9572231) /locus_tag="BRARA_H00735" /codon_start=1 /product="hypothetical protein" /protein_id="RID49976.1" /db_xref="Phytozome:Brara.H00735" /translation="MDAVMFIWRVNTTLNRWAPSRIAFMSVMFYLQLDAAYNKFLPNK KSYQLPIFFWGNHWIGVCVNIIERKVEVFDCGRRMNRKYVENFTAMIPRIVKAVGPPE RQKQQLLSSYSIVDVPMNAYALKHLECSLLGLDLSLVDDEIIMDF" gene <9573253..>9573543 /locus_tag="BRARA_H00736" /db_xref="Phytozome:Brara.H00736" mRNA <9573253..>9573543 /locus_tag="BRARA_H00736" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00736" CDS 9573253..9573543 /locus_tag="BRARA_H00736" /codon_start=1 /product="hypothetical protein" /protein_id="RID49977.1" /db_xref="Phytozome:Brara.H00736" /translation="MKDKTHLFKWTDKSFVEEIEDFQDLFDVLLVDNSEFQKSVRAGE AMMKRQESIIKEMEDAIGHCEEKTSECIRELRSIKALFMCCLVMVFMFLTYT" gene 9580076..>9582298 /locus_tag="BRARA_H00737" /db_xref="Phytozome:Brara.H00737" mRNA join(9580076..9580355,9581038..9581112,9581193..9581330, 9582002..>9582298) /locus_tag="BRARA_H00737" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00737" CDS join(9580143..9580355,9581038..9581112,9581193..9581330, 9582002..9582298) /locus_tag="BRARA_H00737" /codon_start=1 /product="hypothetical protein" /protein_id="RID49978.1" /db_xref="Phytozome:Brara.H00737" /translation="MMREGHEEEDMMMMLPRKPAWLEGLMAETFFSSCGIHESRRKSE KNVFCLLCCLSVCPHCLTSHRSHPLLQVRRYVYHDVVRLSDLEKLIDCSYIQPYTING AKVIFINQRPQSRAKVSSNVCFTCDRILQEPFHFCSLSCKVDYLQYQGDDLSSILYRI DESDFTFSSLRMDGHDQLGEISTMEEDTDDIMVMSDQWEQGNNSNKKEKRKTKKESNY SPGMVLSLGSRRKGAPHRAPFS" gene <9621112..>9622983 /locus_tag="BRARA_H00738" /db_xref="Phytozome:Brara.H00738" mRNA join(<9621112..9621215,9622336..9622497,9622566..>9622983) /locus_tag="BRARA_H00738" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00738" CDS join(9621112..9621215,9622336..9622497,9622566..9622983) /locus_tag="BRARA_H00738" /codon_start=1 /product="hypothetical protein" /protein_id="RID49979.1" /db_xref="Phytozome:Brara.H00738" /translation="MVRGGGSISHHQRRLCYLNRWYEPNTSRSLDIWLRWDGELCLWD LAAGVSTRRFVGHTKDVLSVAFSLDNRQIISASRDRTIKLWNTWGPNTLQPTIVSASW DKTVKVWNLSNCKLRSTLSGHTGYASTVAVSPDGSLCASGGKDGVVLLWDLAEGKKLY SLEANSVIHALCFSPNRYWLCAATEQGIKIWDLESKSVVEDLKVDLKAEAEKSDGSGT AATKRKVQV" gene 9623760..9626448 /locus_tag="BRARA_H00739" /db_xref="Phytozome:Brara.H00739" mRNA join(9623760..9624026,9624268..9624368,9624447..9624570, 9624791..9625104,9625205..9625350,9625426..9625479, 9626015..9626448) /locus_tag="BRARA_H00739" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00739" CDS join(9623833..9624026,9624268..9624368,9624447..9624570, 9624791..9625104,9625205..9625350,9625426..9625479, 9626015..9626086) /locus_tag="BRARA_H00739" /codon_start=1 /product="hypothetical protein" /protein_id="RID49980.1" /db_xref="Phytozome:Brara.H00739" /translation="MGKKKKRATEKVWCYYCDREFEDEKILVQHQKAKHFKCHACHKK LSSATGMVIHVLQVHKETVTKVPNAKDGRDSTDIEIYGMQGIPPHLLAAHYGEEEEES LAKVAKVEIPSVPLGAAVPRPYGTVYQPQQVPGAVRPLYYPGASMRPPGHAWPMPPPP QQWYPHNPAVSVHPPAHLGYHPPQQLFPVHGMGMTVPTSSDVANGVTPSSSPAMPVSQ PLFPVVNSITPPQASVNAYPPNNSFPVGGTNPHSYASGPDTSGPSIGPPPVIANRAPT SQPNEVYLVWDDEAMSMEERRMSLPKYKVHDETSQMNSINAAIDRRISESRLAGRMAF " gene complement(<9627467..>9628804) /locus_tag="BRARA_H00740" /db_xref="Phytozome:Brara.H00740" mRNA complement(<9627467..>9628804) /locus_tag="BRARA_H00740" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00740" CDS complement(9627467..9628804) /locus_tag="BRARA_H00740" /codon_start=1 /product="hypothetical protein" /protein_id="RID49981.1" /db_xref="Phytozome:Brara.H00740" /translation="METNMFINNPSAHLPFSYTFTSSSNSSTTTSTTTDSTSGQWMDG RIWSKLPPSLLDRIIAFLPPPAFFRSRCVCKRFYGLLFSNTFLEIYLQLLPPRHNCFL FFKHKTLKSYIYKRGGGGGANDDGSNKAEGFLFDPNEIRWYRLSFPYIPSGFYPSGSS GGLVSWVSEEAGLKTILLCNPLVGSVSQLPPMSRPRLFPSIGLSVTPTSIDVTVAGDD LISPYAVKNLSSESFHVDAGGFFSLWAMASSLPRLCSLESGKMVYVGGKFYCMNYSPF SVLCYEVTGNRWIKIQAPMRRFLRSPSLLESRGRLVLVAAVEKSKLNVPKSLRLWCLQ QDNATWVEIERMPQPLYTQFAVEEGGKGFECVGNQEFVMIVLRRASLQLLFDMVRKSW LWVPPCPYGGSSSGAGSGGSEGEVLQGFAYDPVLTTPVVSLLDQLALPFPGAC" gene complement(9644707..9646341) /locus_tag="BRARA_H00741" /db_xref="Phytozome:Brara.H00741" mRNA complement(join(9644707..9645199,9645284..9645435, 9645502..9645600,9645677..9645860,9646169..9646341)) /locus_tag="BRARA_H00741" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00741" CDS complement(join(9644812..9645199,9645284..9645435, 9645502..9645600,9645677..9645850)) /locus_tag="BRARA_H00741" /codon_start=1 /product="hypothetical protein" /protein_id="RID49982.1" /db_xref="Phytozome:Brara.H00741" /translation="MYNNNMGPQPGMPRPPGNPQPGPFGNPFTGPASGFIRGGLGAYG ERILGSSSEYVQSNITRYFSDPQYYFQVNDQYVRNKLKVVLFPFLHRGHWARISEPVG GRLSYKPPIYDINAPDLYIPFMAFGTYVVLAGLSLGLNGKFSPEALNWLFVKGLVGWF LQVMLLKVTLLSLGSAEAPLLDIVAYGGYAFAGLCLAGFAKIMWGYSYYALMPWTCLC TGVFLVKTMKRVLFAEVRSYDSSRHHYLLLFLALVQFPLLIWLGNISVNWLF" gene 9646500..9647329 /locus_tag="BRARA_H00742" /db_xref="Phytozome:Brara.H00742" mRNA join(9646500..9646748,9647010..9647329) /locus_tag="BRARA_H00742" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00742" CDS join(9646514..9646748,9647010..9647137) /locus_tag="BRARA_H00742" /codon_start=1 /product="hypothetical protein" /protein_id="RID49983.1" /db_xref="Phytozome:Brara.H00742" /translation="MAKSMRCKRVKRLRAIRREIVEKESFTLTREDAKSAAIEAALAA PKLPVRLPQPSPFMEVATPTSESASVSGPVANAMDVEMDDEKHNKSLKPIGRKLKKKF KLGMKNRRSKGFLRGKRV" gene complement(<9647649..>9649058) /locus_tag="BRARA_H00743" /db_xref="Phytozome:Brara.H00743" mRNA complement(join(<9647649..9648410,9648792..>9649058)) /locus_tag="BRARA_H00743" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00743" CDS complement(join(9647649..9648410,9648792..9649058)) /locus_tag="BRARA_H00743" /codon_start=1 /product="hypothetical protein" /protein_id="RID49984.1" /db_xref="Phytozome:Brara.H00743" /translation="MKLAVVSAVILVVVFVAWPVSAGGYGHEEEEDDTKSWFPLDNLL SLNYYDKSCPNFEKIVDTKVRQWTKSDPSLGPALLRLLFHDCGVTGCDASVLLDHEGS ERRSPASKTLRGFELIDDIKSEMEKSCPKLVSCADILAAATRSATYQLGGPYWPNAYG RRDSKSSYARDVEKVPSGRRDITGLLETFQSYGLNVLDLVVLSGAHTIGKAYCGTIQS RLYNFNATHGTDPSIDPKFADYLRRKCRWASETVYLDVETPVVFDNQYYINLQKNMGV LTTDQELVKDPRTAPLVKAFAEQPAQIFRHQFAVSMAKLVNVGVITAEDRIGEIRNVC SKSNSRPY" gene 9651240..9654103 /locus_tag="BRARA_H00744" /db_xref="Phytozome:Brara.H00744" mRNA join(9651240..9652207,9652307..9652431,9652517..9653119, 9653204..9653543,9653645..9654103) /locus_tag="BRARA_H00744" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00744" CDS join(9651464..9652207,9652307..9652431,9652517..9653119, 9653204..9653543,9653645..9653950) /locus_tag="BRARA_H00744" /codon_start=1 /product="hypothetical protein" /protein_id="RID49985.1" /db_xref="Phytozome:Brara.H00744" /translation="MASSQVEIPSPSPSQRFGFILRDRNQTPIVYKKAPNHHHHQPVS DENSQNLVDSWIQTLNKKKDNNNARSTEKPIALEKSDESNRNGASSLVQIWEARLLNR STSPSHNQSPVNSSRSDSGVSVQDSRSSESPSIESEAEISDVEIESRSHGGSVSDSCR VADLIRRLSNEAKVISGGGLSTIRTPRPCVSSWSSSEKSSFPMVTPRIRGRQAFTDLL MHMERDRHRELDSLLERNAVSRFTQRGRLQSMLRLRNLKRCQAVQEQNRSNSKSTGLN RIGSGGGSSVLHLRERFRADVDKRKDHHFMNKKTVEETEVTSDNKTMKNGGITLEAFF KERLSLPNPNLEKATLRKGEETVNGTVGSKNNCLQLQETIEVEEVCCDDDDSDKKEEE KTSPSACVDQETQPQSVVPESIEVDQCLEQQETSYLNGWEDEEEYEEDEQSYYYGETN NDWLHEISRPRSYWEELRKTRYLEVMNTRSEKEDIRRLLERGPVTDFLQSGLRDQIDR LMMSRVQTHSNKHSEKWELQHEEEEEEHRNENVEETEEEEEEEEEEPFTEEGEEQDDG DDSSSSPIFASSPAGSWSCQDTEVTSTPVLSVHIPPSPEMELISEMRTQIQQLQQEMS LLRDSVKTCLDTNASLVHQENPMKRKCCVCDETQVEAVLYRCGHMCTCLKCANELHWS GGKCPICRAQIMDVVRVFFDTRN" gene complement(<9656752..>9657456) /locus_tag="BRARA_H00745" /db_xref="Phytozome:Brara.H00745" mRNA complement(<9656752..>9657456) /locus_tag="BRARA_H00745" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00745" CDS complement(9656752..9657456) /locus_tag="BRARA_H00745" /codon_start=1 /product="hypothetical protein" /protein_id="RID49986.1" /db_xref="Phytozome:Brara.H00745" /translation="MLAHDDGLDNEWKGFTVFPEVNPSPNPNYSFNFLVKKATVESEK STGSISSRSSAKEDSFRMVLPPAMPPPRDSTVPLPVFPEPMRTRKKLSHQESILFMTK SLYSKKIFYKEDDFKCNAFCLSLPGLGKHKPVRSSKRKDSMEKKKMITASSFTSVEKY EWSHSWTSTTSLTQDNGRSYFDLPVELLKCCSRGGGKGGRYVQEPATSSFSFDRETEI MAVTSVFSRSSRSDHC" gene complement(<9663815..>9664042) /locus_tag="BRARA_H00746" /db_xref="Phytozome:Brara.H00746" mRNA complement(<9663815..>9664042) /locus_tag="BRARA_H00746" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00746" CDS complement(9663815..9664042) /locus_tag="BRARA_H00746" /codon_start=1 /product="hypothetical protein" /protein_id="RID49987.1" /db_xref="Phytozome:Brara.H00746" /translation="MSDNQTLIRALNSKLMEKEIFGVVADIKNLSALFESISFTYISR SENVEADRLAKTVLRNPSSSFTLMLSELGHL" gene 9682631..9686639 /locus_tag="BRARA_H00747" /db_xref="Phytozome:Brara.H00747" mRNA join(9682631..9682850,9683071..9683145,9683527..9683619, 9683703..9683780,9683852..9684526,9684606..9685130, 9685207..9685780,9685866..9685969,9686055..9686234, 9686315..9686639) /locus_tag="BRARA_H00747" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00747" CDS join(9683113..9683145,9683527..9683619,9683703..9683780, 9683852..9684526,9684606..9685130,9685207..9685780, 9685866..9685969,9686055..9686234,9686315..9686452) /locus_tag="BRARA_H00747" /codon_start=1 /product="hypothetical protein" /protein_id="RID49988.1" /db_xref="Phytozome:Brara.H00747" /translation="MEHLSESQINEDVSLKNNPPDKEDTDKDTNMEQPPTSPRHRKVI ARWLPNEAQRPIVDDAPVFSPSLEEFEDTLAYIEKIRPLAEPYGICRIIPPSTWKPPC RLKEKSIWEHTKFPTRIQTVDLLQNREPMMKKKPKSRKRKRRRNSRMGSSKRRSGSGS GSSPEAEEKFGFNSGSDFTLEEFERYALYFKDAYFEKKDSPSVEEIEGEYWRIVEQPT DEVEVYYGADLENRVLGSGFYKKVDSDKDQYAVSGWNLNNLPRLPGSVLSFEDCDISG VLVPWLYVGMCFSSFCWHVEDHHLYSLNYHHFGEPKVWYGVPGSNATSLEKAMRKHLP DLFEEQPDLLHSLVTQFNPSILKDEGVQVYRVVQNPGEYVLTFPRGYHAGFNCGFNCA EAVNVAPVDWLAHGQNAVELYSKETRKTSLSHDKLLLGAAYEAVKALWEPSASCVVKE NTWKSFCGKNGALTKAIQARLRMEEERLGDLGKDSSSRLVKMEKDFDSNSERECFSCF YDLHLSASGCKCSPEEYACLRHSDDLCSCEVKDRFVLVRYTVDELRSLIRALEGELED LKIWASKVLGTEHSDEDQSKTGSVINEEKKLEEGSFDLNIDLELNYHEDLKEEVSTSG ELNASENFDVSVEPINLGFLNYGKLWCNKHAIFPKGFTSRVKFYNVLDPTRMSNYISE VLDAGLMGPLFRVTLEESPDERFFNVSAQKCWEMVLQRVKDTSTNLGFPTLSRFESIN GLQMFGFLSPSIVQAIEALDPNHKLVEYWNHKNQSQSESKDHFISSNCTKGKLFGVDL M" gene complement(9686671..9687511) /locus_tag="BRARA_H00748" /db_xref="Phytozome:Brara.H00748" mRNA complement(9686671..9687511) /locus_tag="BRARA_H00748" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00748" CDS complement(9686801..9687493) /locus_tag="BRARA_H00748" /codon_start=1 /product="hypothetical protein" /protein_id="RID49989.1" /db_xref="Phytozome:Brara.H00748" /translation="MATSSHLLLIFLITTVSFLTPAAFPPSITPQDQQHADRIIQAMI GAGEFRDWAADFLSAVDDQFGIPLSATIFIPSDFDAAGITSSSGGGATNPGRLSVAYH IVPQRLSFADLRILQPLSRLPTLLPGNSIVITNNSVSDFTVDGVLVSEPDLFLSSSIA IHGVASPLDFSRYGDFENGGDTALADSLRPLSQNRRRRRPEFNSNRTSASVSIAHLST CSFLLPLALALF" gene 9693163..9695852 /locus_tag="BRARA_H00749" /db_xref="Phytozome:Brara.H00749" mRNA join(9693163..9693341,9693430..9693597,9693684..9693807, 9693895..9693986,9694066..9694164,9694235..9694348, 9694492..9694556,9694639..9694755,9694838..9694913, 9695078..9695170,9695249..9695299,9695491..9695852) /locus_tag="BRARA_H00749" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00749" CDS join(9693255..9693341,9693430..9693597,9693684..9693807, 9693895..9693986,9694066..9694164,9694235..9694348, 9694492..9694556,9694639..9694755,9694838..9694913, 9695078..9695170,9695249..9695299,9695491..9695589) /locus_tag="BRARA_H00749" /codon_start=1 /product="hypothetical protein" /protein_id="RID49990.1" /db_xref="Phytozome:Brara.H00749" /translation="MPPKAKAKDAGPVERPILGRFSSHLKIGIVGLPNVGKSTLFNTL TKLSIPAENFPFCTIEPNEARVNIPDERFDWLCQLYKPKSEIPAFLEIHDIAGLVRGA HEGQGLGNNFLSHIRAVDGIFHVLRAFEDPDIIHVDDIVDPVRDLETITEELRLKDIE FIKKKIEDVEKSMKRSNDKALKVELELLLKVKAWLEEGKDVRFGDWKAADIEILNTFQ LLSAKPVVYLINMNERDYQRKKNKFLPKIHAWVQEHGGDTMIPFSGVFERSLADMLPD EAAKYCEENKLQSALPRIIKTGFSAINLIYFFTAGPDEVKCWQIRRQSKAPQAAGAIH TDFERGFICAEVMKFEDLKELGNETAVKGAGKYRQEGKTYVVQDGDIIFFKFNVSGGG KK" gene <9707464..9709013 /locus_tag="BRARA_H00750" /db_xref="Phytozome:Brara.H00750" mRNA join(<9707464..9707922,9708037..9708198,9708293..9708502, 9708663..9709013) /locus_tag="BRARA_H00750" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00750" CDS join(9707464..9707922,9708037..9708198,9708293..9708502, 9708663..9708875) /locus_tag="BRARA_H00750" /codon_start=1 /product="hypothetical protein" /protein_id="RID49991.1" /db_xref="Phytozome:Brara.H00750" /translation="MAQQLFYSSPHSYSSTLKGCHIFLTSRNGLLLLVTLLIILGVFL PWAGSPLFLFPNRTSSSSLPTNWRNYSLAQAAKFVAKDGTVIVCTVSYPFLPFLNNWL ISVSRQKHQDKVLVIAEDYAPLYKINNKWPGHAVLVPPALNSQTAHKFGSKDFFNFTS RRPQHLLQLLELGYNVMYNDVDMVWLQDPFQYLQGSHDAYFTDDRTRIKPFNHSHGLP PPDRKGVTYICSCMIFLRPKYGAKLLMKKWIQELHAGSNAYEGNDQPAFNWALNKTAH QLDLYLLPQAAFPTGGLYFMNKTWVEETKGKHVIVHNNYIIGYNNKMKRFHDFGLWLV DDHAFESPLGKLE" gene complement(9712841..9714647) /locus_tag="BRARA_H00751" /db_xref="Phytozome:Brara.H00751" mRNA complement(join(9712841..9714517,9714592..9714647)) /locus_tag="BRARA_H00751" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00751" CDS complement(9712957..9714489) /locus_tag="BRARA_H00751" /codon_start=1 /product="hypothetical protein" /protein_id="RID49992.1" /db_xref="Phytozome:Brara.H00751" /translation="MASWTSSEFLYVEIKPPGIHFLERFKRSGKLSFKQYQWLVFGLT FVAYIAFHATRKPNSIVKGTLSKSPTGHFKTTDQGGWAPFDGPDGTALLGQIDLAFLS VYAVGMFVAGHLGDRLDLRTFLTIGMVGTGVFTALFGVAFWANIHSFYYFLAIQTLAG WFQSIGWPCVVAVLGNWFDKKRRGVIMGVWSAHTSIGNIVGSLIATGLLKFGWGWSFV GPALLITFLGLVVYLFLPVNPPAVEAERDGTEVDSTMRLGDTITESFLSSRMSTGFDR RAVGFLAAWKIPGVAIFAFCLFFTKLVSYTFLYWLPFYVSQTEIGGEHLSQETSGNLS TLFDVGGVVGGILAGYFSDQLDGRAITAGGFIYLTIPALFLYRTYGHISMTINIIFMF TAGVFVNGPYALITTAVAADLGTHKSLKGNARALATVTAIIDGTGSVGAAIGPVLTGY IAAISWDAVFYMLMTAALISGLLLTKLIITEVKTLLYGSSEEDEIVSAASEPRPPIDV LI" gene 9724330..9725996 /locus_tag="BRARA_H00752" /db_xref="Phytozome:Brara.H00752" mRNA join(9724330..9724683,9724810..9725044,9725129..9725264, 9725332..9725423,9725493..9725616,9725706..9725996) /locus_tag="BRARA_H00752" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00752" CDS join(9724647..9724683,9724810..9725044,9725129..9725264, 9725332..9725423,9725493..9725616,9725706..9725774) /locus_tag="BRARA_H00752" /codon_start=1 /product="hypothetical protein" /protein_id="RID49993.1" /db_xref="Phytozome:Brara.H00752" /translation="MARKRKRSLLEQVINSPAEKEQSTSPKLTKYWLQRYNLFSRYDE GIEMDEEGWYSVTPEEIAVKQAERCRGKVVIDCFSGVGGNTIQFAKVCSSVIAIDIDP VKVEMAINNALVYGVDDRVDYVVGDFIHLAPSLKGDVLFLSPPWGGPMYNKVETYTLD MLQPKDGYKLFQIAQTITPNIIMFLPRNVDLAQLEELAWLSSPPLTLEIEENCVGGRI KGITAYFSCCAV" gene complement(9728019..9729546) /locus_tag="BRARA_H00753" /db_xref="Phytozome:Brara.H00753" mRNA complement(join(9728019..9728302,9728399..9728497, 9728584..9728673,9728761..9728875,9729335..9729546)) /locus_tag="BRARA_H00753" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00753" CDS complement(join(9728198..9728302,9728399..9728497, 9728584..9728673,9728761..9728875,9729335..9729402)) /locus_tag="BRARA_H00753" /codon_start=1 /product="hypothetical protein" /protein_id="RID49994.1" /db_xref="Phytozome:Brara.H00753" /translation="MELASFVGRALFVSVFLLSAWQEFDGFGDDGGRAAKSLRPKFNA FVSHVTTHTGQQLPPVDMKILVAAAIALKGIGGLFFVFGSSLGAYLLLLHQAVATPIL YDFYNYDVDKKEFSQLFSKFTQSLALLGALLFFIGMKNSRRHGRQLRKKAPKAKAN" gene <9732286..>9734619 /locus_tag="BRARA_H00754" /db_xref="Phytozome:Brara.H00754" mRNA join(<9732286..9732763,9732872..9733668,9733675..9733887, 9733975..9734409,9734476..>9734619) /locus_tag="BRARA_H00754" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00754" CDS join(9732286..9732763,9732872..9733668,9733675..9733887, 9733975..9734409,9734476..9734619) /locus_tag="BRARA_H00754" /artificial_location="low-quality sequence region" /codon_start=1 /product="hypothetical protein" /protein_id="RID49995.1" /db_xref="Phytozome:Brara.H00754" /translation="MERYYNKTSASDSKRNLDKLDNSDDLDSLPWDPAERKKISQYLP NQKDEVRRKYLTRGPCQPYGHVFQKKKFGTAMRRFNPAWFEKYGNWLEYNVSKDKAFC LCCYLFRDDIPKKGGNHAFVTEGFSCWKNPEALSEHVGGINSFHNIAVKRCDDLMNQG LPFRGHDEGKDSANKGNFVELLKYNGEQNDAVSKVILENAPKNNQMVSQVIQKDIVHC FAQEVLKSIMEEIDHGVFGLMVEESADVSNKEQMAIVFRFVDKSGLVKERFVGVTHVK ETSSLSLKSAVDDLFAKHGLNLKQLRGQGYDGASNMKRQFNGLRALVARENSSAYYVH CFAHQLQLVVVAVAKKSFEVSNFFDMVSTLLYVVVASCKRKDTLLDMNRKRVEEGIDS GDINTGTGQNQEISLPRPGNIRWGSHYKTLLRLLFPSVIEILESVQDEGADDSKRCQA YGLLKYFHTFDCVFYLQLMLFILGVTENLSMALQMKNQDISNAMSLNKATMLVMDEEF VDLRRPRKKTGVTNLHHYKVNCFYTVMDLQLQELNDRFTEVNTDLLICMASLSPANFF REFDKSKLLRLVKLYPDDFSFGECLSMEHHLGIYIDNIKNDERFKNLKNLGDLSRLMV ETKKHLVHPLVYRLLKLTATRNRIGDEFLSDCLVCYIEKELFESVTNEAVVNRFQSMR ERRIHL" gene complement(<9736876..>9737598) /locus_tag="BRARA_H00755" /db_xref="Phytozome:Brara.H00755" mRNA complement(<9736876..>9737598) /locus_tag="BRARA_H00755" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00755" CDS complement(9736876..9737598) /locus_tag="BRARA_H00755" /codon_start=1 /product="hypothetical protein" /protein_id="RID49996.1" /db_xref="Phytozome:Brara.H00755" /translation="MCTLEKRGNLFLLTLTGDNEHRFNPDAIATILSLLGQAKSQAKR GSVLITTGQGKFFSNGFDLAWAQASGSLTGAAERLHQMVESFKPVVAALLDLPMPTIA ALNGHAAAAGLMLALSHDYVFMRKDRGVLYMSEVDIGLSMPDYFAAMVRSKIGTSAAR REVLLSGKKIRGEEAVALGIVDSAAHDSAEGVVEATVGLAEKLAAKKWNGDVYASIRK SLYPELCEILGLKATIFATPKL" gene 9738980..9740534 /locus_tag="BRARA_H00756" /db_xref="Phytozome:Brara.H00756" mRNA join(9738980..9739120,9739268..9739424,9739682..9739738, 9739839..9739898,9739969..9740054,9740176..9740534) /locus_tag="BRARA_H00756" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00756" CDS join(9739107..9739120,9739268..9739424,9739682..9739738, 9739839..9739898,9739969..9740054,9740176..9740200) /locus_tag="BRARA_H00756" /codon_start=1 /product="hypothetical protein" /protein_id="RID49997.1" /db_xref="Phytozome:Brara.H00756" /translation="MNYRRENRASRTSLFDGLDGLEEGRLRASSSYSHDTTERDNDEA LESLQDRVSFLKRVTGDIHEEVESHNRMLDKVGNKMDSARGIMSGTINRFKLVFEKKS NRKTCKLIGYFVLLFLVMYYLIRLLNCIKG" gene complement(9747764..9749393) /locus_tag="BRARA_H00757" /db_xref="Phytozome:Brara.H00757" mRNA complement(9747764..9749393) /locus_tag="BRARA_H00757" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00757" CDS complement(9747875..9749326) /locus_tag="BRARA_H00757" /codon_start=1 /product="hypothetical protein" /protein_id="RID49998.1" /db_xref="Phytozome:Brara.H00757" /translation="MARNKLEFPLDAEAYEIICKIGVGVSASVYKAVCIPMNSTVVAI KAIDLDQSRADFDSLRRETKTMSLLSHPNILNAYCSFTVDRCLWVVMPFMSCGSLHSI VSSSFPEGLPENCISVFLKETLNAISYLHDQGHLHRDIKAGNILVDSDGSVKLADFGV SASIYEPVTTSSGTTSSSLRLTDIAGTPYWMAPEVVHSHTGYGFKADIWSFGITALEL AHGRPPLSHLPPLKSLLMKITKRFHFADYEINKSGCGKKKFSKAFREMVGLCLEQDPA KRPSAEKLLKHPFFRNCKGVDFVVKNVLQGLSNTEQMFIESQVLIKGVEDEEEDDEEI VKNRRISGWNFREDDLQLSPVFPTTESDTSEFSPREVDPVQDKPEGDDNVVLTGSETG LGLSDRNEEAKEQEGEVCGFDRDLVLEKLNLLKKSLEHQRARVLVKIEALSGEKEERN REEELLEMVEKLKIELEAEKMKTLRAEKESVLS" gene 9754544..9756424 /locus_tag="BRARA_H00758" /db_xref="Phytozome:Brara.H00758" mRNA join(9754544..9755545,9755623..9755751,9755848..9756424) /locus_tag="BRARA_H00758" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00758" CDS join(9754676..9755545,9755623..9755751,9755848..9756135) /locus_tag="BRARA_H00758" /codon_start=1 /product="hypothetical protein" /protein_id="RID49999.1" /db_xref="Phytozome:Brara.H00758" /translation="MMDRISNLSDDLLLKIFSYLPTKYVVTTTLLSKRWKSVWTMVPR FDFDDGFELDPSRYETFTNHVGRTMSLRTSPVLESLRFDVGPCCSTQDMVTWIRTGMV QGVHELEIFHTEEHLKEHRPIKLPKSLYTYQKLEVLKLTYSIGLDVPVDVWLPSLKTL HLISVKYETKDCHSRLLSGCPVLEELVLDKSLNSHSLRTFYVEMPSLQRLSVVDVCEE PNYGLDGLHMTVINAPSLKYLNFVDYHDDLCLCENMPEVVVANVKVVYNSPEKLLGSI PLVKRLCLCLPASLLLSCFGFYHLVHLELCAASRGWWDLLTWMLESSPKLKVLKICVC NELPCTTKSIRGHWKGPSSVPECLMSHLHTFKWKNYNTKDEEKKIVAYILNHARQLKI VGISGSRYYSKEERLKKLNELVSLPRASSSCQLLMD" gene 9760972..9763470 /locus_tag="BRARA_H00759" /db_xref="Phytozome:Brara.H00759" mRNA join(9760972..9761467,9761781..9761943,9762247..9762423, 9762502..9762585,9762657..9762738,9762832..9763470) /locus_tag="BRARA_H00759" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00759" CDS join(9761094..9761467,9761781..9761943,9762247..9762423, 9762502..9762585,9762657..9762738,9762832..9763256) /locus_tag="BRARA_H00759" /codon_start=1 /product="hypothetical protein" /protein_id="RID50000.1" /db_xref="Phytozome:Brara.H00759" /translation="MDETYSYLMQILKKPSFTETFVDILLCAVPIWLAVMIGLLIGWS WRPRWTGLIYLGFRSKLRFLWTAPPGFGARRLWLAFTALSAFSVCRTVWSRRDTSANK SANGLAPTQQAPGEESPQSDETGASDNTTVREEIVTENDLEHLLQLLEVGNASREWQS MMDKTTPNMSYQAWRHEPETGPVIYRSRSVFEDATPDIVRDFFWDDEFRPKWDFMLAK FRTLEEDTQTGTMIVQWRKKFPFFCSDREYIIGRRIWESGNKYYCVTKGVPYPALPKR DKPRRVELYFSSWVIRAVESRKGDGQPTACEVSLVHYEDMGIPKDVAKLGVRHGMWGA VKKLHSGLRAYQTGRKSDSSLSRIAQMARITTVLDMDSTESSTSDEDRSRAMGYARRQ RDNLRVDWKWVVVGGVALACGLHTGVIGKALLAGAGQRLARR" gene complement(<9764644..>9766059) /locus_tag="BRARA_H00760" /db_xref="Phytozome:Brara.H00760" mRNA complement(join(<9764644..9765897,9765988..>9766059)) /locus_tag="BRARA_H00760" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00760" CDS complement(join(9764644..9765897,9765988..9766059)) /locus_tag="BRARA_H00760" /codon_start=1 /product="hypothetical protein" /protein_id="RID50001.1" /db_xref="Phytozome:Brara.H00760" /translation="MMVERWRQSKSQNQDYLRLMAPSLIILCHNLLSHRRVIASPENI INVNSPFTEEDALQILKIKIPQNGHDLDVWGFTKNGSYTTQSAYRMLSVLHETNSPAH RPLPPVEKQLWKSIWKLKTSPKIRHFLWRALSGALAVAERLQPRGLCSNTSCPSCDQA SETICHVLFSCTTAVEAWRLAGIQPPPAGFSQFSVFLNLHYLVAGYKQQRSDRDNLKA FPWILWNLWKGRNALVFEKTRFTPNSTVVKALEKADIWYQVQQPDHNTSMEKKSTNAS LGIWEKPPLDMVKCNVGMAWVDTGTMSGASWIARDCHGQPLHHSRQALIGSSTKRESD LRSLLWAVQAMGDLRHKNILFETSSVETRQALLNPTSFPDLSPLILKILELLNGFEKW TISHVSRHINRAAATIAESVTFGPYLQSYVASGGPRWLHKMLQEEMRNT" gene complement(9775319..9777820) /locus_tag="BRARA_H00761" /db_xref="Phytozome:Brara.H00761" mRNA complement(join(9775319..9775858,9775966..9776027, 9776680..9776815,9777036..9777265,9777466..9777820)) /locus_tag="BRARA_H00761" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00761" CDS complement(join(9775821..9775858,9775966..9776027, 9776680..9776815,9777036..9777265,9777466..9777698)) /locus_tag="BRARA_H00761" /codon_start=1 /product="hypothetical protein" /protein_id="RID50002.1" /db_xref="Phytozome:Brara.H00761" /translation="MEQLINLKETELCLGLPGGPDPVETPTKSCLRNKRGFSEIVELK LGLHSTKEGSVDLNVAGAPKEKTLHKDPSKPPAKAQVVGWPPVRNYRKSVMTHQKCSE VEEASSDRGGTVAFVKVSMDGAPYLRKVDLKMYKSYKELSDALAKMFSSFTMGSYGAQ GMIDFMNESKVMDLVNSSDYVPSYEDKDGDWMLVGDVPWPMFIESCKRLRIMKGSEAI GLAPRAMQKCKNRF" gene complement(9796712..9799436) /locus_tag="BRARA_H00762" /db_xref="Phytozome:Brara.H00762" mRNA complement(join(9796712..9797190,9797303..9797431, 9797536..9797680,9798987..9799072,9799260..9799436)) /locus_tag="BRARA_H00762" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00762" CDS complement(join(9796900..9797190,9797303..9797431, 9797536..9797680,9798987..9799072,9799260..9799313)) /locus_tag="BRARA_H00762" /codon_start=1 /product="hypothetical protein" /protein_id="RID50003.1" /db_xref="Phytozome:Brara.H00762" /translation="MENLIPTKGILKSEALQKYIFETTAYPREHEELKKLREATVHKY GNLSEMKVPVDEGHFLSMLIKMMNAKDTLELGVFTGYSLLTTALALPEDGRITAIDID KEAYELGLEFIKNAGVDHKINFIQSDGLQALDKMLNKNPRPEFDFAFVDANKTNYANA YERLMKLVKIGGIIAFDNTLWFGYVAEEEEDVPEHLRVCRKALMELNNQLASDPHIEI SQVSIGDGVTLCRRLM" gene 9810872..9811819 /locus_tag="BRARA_H00763" /db_xref="Phytozome:Brara.H00763" mRNA join(9810872..9811176,9811260..9811819) /locus_tag="BRARA_H00763" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00763" CDS join(9811001..9811176,9811260..9811599) /locus_tag="BRARA_H00763" /codon_start=1 /product="hypothetical protein" /protein_id="RID50004.1" /db_xref="Phytozome:Brara.H00763" /translation="MAYEEVINELNLKDTELRLGLPGTDEQAEVSCVKSNKRQFHQSN DEHNLKEEYPSPPAKTQIIGWPPVRSNRKNNKSVSYVKVSMDGAPYLRKVDLKMYKNY HEFLKALENMFKFTIGEYSEREGYKGSGFVPTYEDKDGDWMLVGDVPWDMFSLSCQKL RIMKPSEALAF" gene 9813781..9817946 /locus_tag="BRARA_H00764" /db_xref="Phytozome:Brara.H00764" mRNA join(9813781..9813961,9814154..9814329,9814396..9814711, 9814791..9815207,9815303..9815377,9815534..9815672, 9815802..9815953,9816047..9816129,9816222..9816343, 9816434..9816512,9816606..9816786,9816873..9817056, 9817126..9817317,9817398..9817466,9817553..9817629, 9817735..9817946) /locus_tag="BRARA_H00764" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00764" CDS join(9813807..9813961,9814154..9814329,9814396..9814711, 9814791..9815207,9815303..9815377,9815534..9815672, 9815802..9815953,9816047..9816129,9816222..9816343, 9816434..9816512,9816606..9816786,9816873..9817056, 9817126..9817317,9817398..9817466,9817553..9817629, 9817735..9817801) /locus_tag="BRARA_H00764" /codon_start=1 /product="hypothetical protein" /protein_id="RID50005.1" /db_xref="Phytozome:Brara.H00764" /translation="MARIYTHSALTCSTNLGFHFRSSSSHLHLHLHLRRLISPTSLTL PLAKTQSSWTGRRVVAMDSSGTDSAKELHVGLDSATEEEYATQSKLLQEFMSIPTIDK AWILSSDSGSQAMVAMSQANLLANKRRKFMLSALISKDETTSYQSSVNFHWAPFPVEM TGASAFVPSPSGLKLLVIRNPENESPTKFEIWSPSQLDKEFHIPQKVHGSVYLDGWFE GISWNSDETRVAYVAEEPSPPKPTFDHLGYYKKGTSSDKDIGSWKGQGDWEDEWGEAY AGKKQPALFVIDVDSGEVEHIKGVPRSISVGQVVWSPNSKGSAQYLVFAGWLGDKRKL GLKHCYNRPCAIYAVRCPEPKDDANEALPIHNLTKSISSGFCPRFSKDGKFLLFLSAK AAVDSGAHCSTESLHKISWPSDGKLPESTNIVDVIEVVNCPDDGCFPGLYVTGLLSDP WLSDGHTLILSSYWRSCRVILSLNLISGELSRVSPNDSDFSWSVLALDGDNVVAVSSS PVSVPEIKYGKKVLDPSGKPSWHWSTIRKPIFKCSEKVTSGLSSLQFKILKVPVSNVS ECLTEGAKRPFEAIYVSSSETKENGKCDPLVVVLHGGPHTVAPCSFSKQLAYLSSIGY SLLIVNYRGSLGFGEDALQSVPGKIGSQDVNDVLSAVDHAVEMGLADPSRITVLGGSH GGFLTTHLIGQAPDKFVAAAARNPVCNIASMVGITDIPDWCFFEAYGDQTHYTEAPSP EDMSLFHQISPISHISKVKTPTLFLLGTVDLRVPISNGFQYVRALKEKGADVKVLVFP NDNHSLDRPQTDYESFLNIAVWFNKYCKL" gene <9818226..>9822927 /locus_tag="BRARA_H00765" /db_xref="Phytozome:Brara.H00765" mRNA join(<9818226..9819203,9819313..9819390,9819543..9819820, 9822852..>9822927) /locus_tag="BRARA_H00765" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00765" CDS join(9818226..9819203,9819313..9819390,9819543..9819820, 9822852..9822927) /locus_tag="BRARA_H00765" /codon_start=1 /product="hypothetical protein" /protein_id="RID50006.1" /db_xref="Phytozome:Brara.H00765" /translation="MDRISGLPDEVLCHILSFLPTKLAALTSVLSTKWRNLLAFVPNL DITCHIKIVPVDSIYYGIPKEIMYGTMLSFRGFIDRVLALQGDSSIKKVSLNCINYVH PDHLDRWICNVLRRGVSDLETAIYDQDGDDDFNYLLPQEMFVSRTLVKLKLSDVEWWP GAEGTFLPKLKTLAVNGHWFCPDKLEMILPAVPVLEELYIKHIMWKDLGDSVSSASLK KLTIHANGCQSMVAHITTSMSISFDTPSLLYLEYSDEVASYYPKVNLTNLVDAVLDLN IRDFEYMKLYRERNDDGLRNYVVLRCGNLCKLMAGIGNVKTLTFSSKTLELFSICFES MPAFNNLKMLRISGSVHPYLCAWDCISLEEKGGWLISCPVEKIVEKILIEMFRGTKRE IGTVKHLLESLSCLKEMTLFAGRDYPTDIFDLVVKMVNLCNGSYRAVVKNASWILNTR TDVDPLRPYQVQQPFDIDF" gene 9831001..9831674 /locus_tag="BRARA_H00766" /db_xref="Phytozome:Brara.H00766" mRNA join(9831001..9831180,9831349..9831674) /locus_tag="BRARA_H00766" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00766" CDS join(9831052..9831180,9831349..9831465) /locus_tag="BRARA_H00766" /codon_start=1 /product="hypothetical protein" /protein_id="RID50007.1" /db_xref="Phytozome:Brara.H00766" /translation="MSAVGTSKGILEIAKFGFYVAVPIGLMYTFANNSTNIKKFMGNR SYVVYPEEAPRPPSPEELREMARELARKKNIHGVDDK" gene <9842909..>9843796 /locus_tag="BRARA_H00767" /db_xref="Phytozome:Brara.H00767" mRNA join(<9842909..9843094,9843255..9843449,9843509..>9843796) /locus_tag="BRARA_H00767" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00767" CDS join(9842909..9843094,9843255..9843449,9843509..9843796) /locus_tag="BRARA_H00767" /codon_start=1 /product="hypothetical protein" /protein_id="RID50008.1" /db_xref="Phytozome:Brara.H00767" /translation="MKGLKRKCPSTGGGVFSRTRARKAVSNGNEPVREESNPVRGTTV VSLSLDTETEGMSAVSSKCRVKKTVDVIKELKEEVGWFTSHSQFCHFFHMPDEEFLKL QGMWMLLLRTIRIEGEDAAWFAVNGYPSGHLKLGSTKIVDYYFGNKKKITIEDVKQKL QSTGTACNDRLKMAVLFFLGRVIRGKTKDSAALDPFILRIVDDLDVCRKFPWGRLTFE DAIT" gene complement(<9845524..>9846405) /locus_tag="BRARA_H00768" /db_xref="Phytozome:Brara.H00768" mRNA complement(join(<9845524..9846065,9846099..>9846405)) /locus_tag="BRARA_H00768" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00768" CDS complement(join(<9845524..9846065,9846099..9846405)) /locus_tag="BRARA_H00768" /codon_start=1 /product="hypothetical protein" /protein_id="RID50009.1" /db_xref="Phytozome:Brara.H00768" /translation="MGKLEKNQRVLKKKAKKIEDMLTSIESKRNEDEKYRQWNDFDYG RDHGKDREMVEAEKDKKKAETGKKNSENGEKDEENSGKDEEDEENSEKSEKDEENSEK DKENSDSVEKGEKNVEESDEKDSLLRLHERVRVQAEEFWRTTDDESNDEKKAEKEAEK EVQEEKEAEKEEFKGTPTSTGVVIITPCGRTKEADARKVNTTPPEIVVVRKEKTSEQE AMVTEQEAIQIEIVDLAEKEAKVEVIHTEQEVIQTEIVEKEAEVTEKDVEVAEKEDQD VDEEEEK" gene complement(<9847061..>9847573) /locus_tag="BRARA_H00769" /db_xref="Phytozome:Brara.H00769" mRNA complement(<9847061..>9847573) /locus_tag="BRARA_H00769" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00769" CDS complement(9847061..9847573) /locus_tag="BRARA_H00769" /codon_start=1 /product="hypothetical protein" /protein_id="RID50010.1" /db_xref="Phytozome:Brara.H00769" /translation="MWMLLLRTIRIEGEDAAWFAVNSVPIRYSIREHALISGLDSHEY PSGHLKLGGTKFVDYYFGNKKKITIEDVKQKLQSMGTACNDRLKMDVLFFLGRVIRGK TKDSAALDSFILRIMDDLDVCRKFSWGRLTFEDAIKEIKHVMELLKGEVHYATEFNGF IIPLEVKHTI" gene 9853845..9854793 /locus_tag="BRARA_H00770" /db_xref="Phytozome:Brara.H00770" mRNA join(9853845..9854048,9854128..9854793) /locus_tag="BRARA_H00770" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00770" CDS join(9853925..9854048,9854128..9854666) /locus_tag="BRARA_H00770" /codon_start=1 /product="hypothetical protein" /protein_id="RID50011.1" /db_xref="Phytozome:Brara.H00770" /translation="MKSLSCIAVLSLLALTLPLAIASDPSPLQDFCVGVNTPASGVFV NGKFCKDPRIVNADDFFSSVLNRPGNVNNAVGSNVTTVNVNNLGGLNTLGISLVRIDY APNGQNPPHTHPRATEILIVQQGTLLVGFVSSNQDGNRLFAKTLNVGDVFVFPEGLIH FQFNLGRTPAVAIAALSSQNAGVITIANTVFGSNPAIDPNVLARAFQMDANVIRDLQN RF" gene 9888237..9889436 /locus_tag="BRARA_H00771" /db_xref="Phytozome:Brara.H00771" mRNA join(9888237..9888377,9888627..9888826,9888928..9889436) /locus_tag="BRARA_H00771" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00771" CDS join(9888635..9888826,9888928..9889287) /locus_tag="BRARA_H00771" /codon_start=1 /product="hypothetical protein" /protein_id="RID50012.1" /db_xref="Phytozome:Brara.H00771" /translation="MGAFASRFWFMMFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVT THPTVGSNVEELVYKNIRFEVWDLGGQDRLRTSWATYYRGTNAVIVVIDSTDRARISL MKDELFRLLGHEDLQNSVILVFANKQDLKDAMSPAEITDALNLHSIKNHDWHIQASCA VTGEGLHDGLGWIAQKVTDKAPK" gene 9897956..9900412 /locus_tag="BRARA_H00772" /db_xref="Phytozome:Brara.H00772" mRNA join(9897956..9898155,9898241..9898404,9898849..9898929, 9900189..9900412) /locus_tag="BRARA_H00772" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00772" CDS join(9898077..9898155,9898241..9898404,9898849..9898929, 9900189..9900326) /locus_tag="BRARA_H00772" /codon_start=1 /product="hypothetical protein" /protein_id="RID50013.1" /db_xref="Phytozome:Brara.H00772" /translation="MEDTALTKDQIIEFKEVFCLLDKDGDVTGRITVEELLTVIRWLD QNPTEQELHDIITEIDSDGNATIEFAEFLNLMTNKLQENDAEEELKEVFKVFDKDQNG YISASELSHVMINLGEKLTDEEVEQMIKEADLDGDGQVNYDEFVKMMINIG" gene complement(9900636..9903154) /locus_tag="BRARA_H00773" /db_xref="Phytozome:Brara.H00773" mRNA complement(join(9900636..9901131,9901219..9902391, 9902495..9902638,9902754..9902897,9902975..9903154)) /locus_tag="BRARA_H00773" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00773" mRNA complement(join(9900636..9902391,9902495..9902638, 9902754..9902897,9902975..9903154)) /locus_tag="BRARA_H00773" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00773" CDS complement(join(9900815..9901131,9901219..9902391, 9902495..9902638,9902754..9902897,9902975..9903008)) /locus_tag="BRARA_H00773" /codon_start=1 /product="hypothetical protein" /protein_id="RID50014.1" /db_xref="Phytozome:Brara.H00773" /translation="MGNNNATANLTEEESSKLETNGMSPLENVPVETTQGEVFLVGEG KDGDKQRESFSDGVTGKETPETEPQSKELDNDPQVTKVEEDMSHNGLVMETDEQLHKN TSVGEGVETVCEPTKVEEFNETAGTEEKLVMNESLEETAPAGNVVRLDQEICMEQRTR FPEIEPQEILNLDNRVLDGEVINEGKADKEISKPGSQGSNAIGFEDYKEERDMEIARE ESLKRMSRCRSLPVSNNSRFKGDSPVQGLVSEVVYPSRNKTGFDKAKTCDILPVSCQT SNKVQETTETINESSKEAKLEMRSPIFSNDLRIEERSNEPTEETPLLSQDKTETYKAT LDVEEETVMLKRSETEKIRGFELSLGLSMNLSGRCDADDSFKETESSEDNLLEEKPNM SEILLVSCVGSKKAEDTNDVISESNKGDVLEMRSPSSGNDLRTKERSGKSTEETSLLS QDKTEAYNSTPNVEEKTVMLKRSETEKIRGFELSLGLSMNLSGRCDGDGSSSSKAQEA SLLCQDKTETYEATIDVEKKTVMLKRSESEKTRRFELSMKPGERSEADDSLKENKDSG DNLLDKKASWGSMRGRVRKRSKSSLFGTCLCCNIAMN" CDS complement(join(9900815..9902391,9902495..9902638, 9902754..9902897,9902975..9903008)) /locus_tag="BRARA_H00773" /codon_start=1 /product="hypothetical protein" /protein_id="RID50015.1" /db_xref="Phytozome:Brara.H00773" /translation="MGNNNATANLTEEESSKLETNGMSPLENVPVETTQGEVFLVGEG KDGDKQRESFSDGVTGKETPETEPQSKELDNDPQVTKVEEDMSHNGLVMETDEQLHKN TSVGEGVETVCEPTKVEEFNETAGTEEKLVMNESLEETAPAGNVVRLDQEICMEQRTR FPEIEPQEILNLDNRVLDGEVINEGKADKEISKPGSQGSNAIGFEDYKEERDMEIARE ESLKRMSRCRSLPVSNNSRFKGDSPVQGLVSEVVYPSRNKTGFDKAKTCDILPVSCQT SNKVQETTETINESSKEAKLEMRSPIFSNDLRIEERSNEPTEETPLLSQDKTETYKAT LDVEEETVMLKRSETEKIRGFELSLGLSMNLSGRCDADDSFKETESSEDNLLEEKPNM SEILLVSCVGSKKAEDTNDVISESNKGDVLEMRSPSSGNDLRTKERSGKSTEETSLLS QDKTEAYNSTPNVEEKTVMLKRSETEKIRGFELSLGLSMNLSGRCDGDGSFKEINSSE DNLGDKEANTSETLFVSCVGSSSSKAQEASLLCQDKTETYEATIDVEKKTVMLKRSES EKTRRFELSMKPGERSEADDSLKENKDSGDNLLDKKASWGSMRGRVRKRSKSSLFGTC LCCNIAMN" gene complement(9905882..9907309) /locus_tag="BRARA_H00774" /db_xref="Phytozome:Brara.H00774" mRNA complement(join(9905882..9906706,9907213..9907309)) /locus_tag="BRARA_H00774" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00774" CDS complement(9906161..9906697) /locus_tag="BRARA_H00774" /codon_start=1 /product="hypothetical protein" /protein_id="RID50016.1" /db_xref="Phytozome:Brara.H00774" /translation="MFLKVELPWNVMIPAENMDAKGLMLKRTILIQLLEAFASKRATK ELGYYLTVTSLDKIGEGKIREHTGEVMFPVVFSGMTFKIFKGEILEGVVHKVLRHGVF MRCGPVENVYLSHVKMPDYKYLPGENPIFMNEKMSRIQVDTLVRFVVIGTKWMEVEKE FQALASLEGDYLGPISEE" gene complement(9908680..9911267) /locus_tag="BRARA_H00775" /db_xref="Phytozome:Brara.H00775" mRNA complement(join(9908680..9909068,9909179..9909381, 9909471..9909534,9909644..9909709,9909941..9910043, 9910122..9910212,9910606..9910742,9910816..9910949, 9911015..9911267)) /locus_tag="BRARA_H00775" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00775" CDS complement(join(9909061..9909068,9909179..9909381, 9909471..9909534,9909644..9909709,9909941..9910043, 9910122..9910212,9910606..9910742,9910816..9910949, 9911015..9911129)) /locus_tag="BRARA_H00775" /codon_start=1 /product="hypothetical protein" /protein_id="RID50017.1" /db_xref="Phytozome:Brara.H00775" /translation="MDAVSSAKSILEKPLKLLTEDDISQLTREDCRKFLKDKGMRRPS WNKSQAIQQVLSLKALFEPGDDSGAGILRKILVSPNPTRVTATSTEPANEVGARIPFQ EDDRRDSPRSAEFSGSEKDSYNTLSPRSPAETSALVGQMTIFYSGKVSVYDGVPPEKA RSIMHFAANPIDLPEYGVSASARLTSRPMTMSKEKMVEPPHYGYGLEKANASRDSDAE GQANRKVSLQRYLDKRKDRRLFKNKKAPGVASSSLEMYLSRSQPVTNAYSQSLSGGGT GGEQHESPENQRRSPNLSVDLNSDLNSEDN" gene complement(9925144..9929425) /locus_tag="BRARA_H00776" /db_xref="Phytozome:Brara.H00776" mRNA complement(join(9925144..9925621,9926056..9926113, 9926484..9926533,9926623..9926865,9927022..9927463, 9928303..9928407,9929023..9929425)) /locus_tag="BRARA_H00776" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00776" mRNA complement(join(9925144..9925621,9926056..9926113, 9926484..9926533,9926623..9926865,9927022..9927466, 9928303..9928407,9929023..9929425)) /locus_tag="BRARA_H00776" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00776" CDS complement(join(9925413..9925621,9926056..9926113, 9926484..9926533,9926623..9926865,9927022..9927463, 9928303..9928407,9929023..9929310)) /locus_tag="BRARA_H00776" /codon_start=1 /product="hypothetical protein" /protein_id="RID50018.1" /db_xref="Phytozome:Brara.H00776" /translation="MERSMVPTWRPDPVYRPPETPLEPMEFLARSWSASALEVSKALT PSDPQILLSKTEEEPILRDGDTEESGLVSGNPFSFASSETSQMVMDRILSQSQEVSPR TSGRLSHSSSGPLNGSLTDSPPPESDDIKFIRTNNSSLNNINCQFRSTATTPGPITAT ATQSKTVGRWLKDRREKKKEETRAHNAQIHAAVSVAGVAAAVAAIAAATAASSSAGKD EQMAKTDMAVASAATLVAAQCVEAAEVMGAEREHLAYVVSSAVNVRSAGDIMTLTAGA ATALRGVATLKARAMKEVWNLASVIPMDKGLTTSGGCSNLNNGSNGSSSSSHSGELIH EDNFLGTCSREWLARGCELLKRTRKGDLHWKIVSVYINKTNQVMLKMKSKYVGKTFTK KKKNIVLEVIKNVPAWPGRHLLEGGDDLRYFGLKTVLRGDVEFECKSQREYDMWTQGV SRLLVIAAERRFRM" CDS complement(join(9925413..9925621,9926056..9926113, 9926484..9926533,9926623..9926865,9927022..9927466, 9928303..9928407,9929023..9929310)) /locus_tag="BRARA_H00776" /codon_start=1 /product="hypothetical protein" /protein_id="RID50019.1" /db_xref="Phytozome:Brara.H00776" /translation="MERSMVPTWRPDPVYRPPETPLEPMEFLARSWSASALEVSKALT PSDPQILLSKTEEEPILRDGDTEESGLVSGNPFSFASSETSQMVMDRILSQSQEVSPR TSGRLSHSSSGPLNGSLTDSPPPESDDIKQFIRTNNSSLNNINCQFRSTATTPGPITA TATQSKTVGRWLKDRREKKKEETRAHNAQIHAAVSVAGVAAAVAAIAAATAASSSAGK DEQMAKTDMAVASAATLVAAQCVEAAEVMGAEREHLAYVVSSAVNVRSAGDIMTLTAG AATALRGVATLKARAMKEVWNLASVIPMDKGLTTSGGCSNLNNGSNGSSSSSHSGELI HEDNFLGTCSREWLARGCELLKRTRKGDLHWKIVSVYINKTNQVMLKMKSKYVGKTFT KKKKNIVLEVIKNVPAWPGRHLLEGGDDLRYFGLKTVLRGDVEFECKSQREYDMWTQG VSRLLVIAAERRFRM" gene complement(<9959142..>9960241) /locus_tag="BRARA_H00777" /db_xref="Phytozome:Brara.H00777" mRNA complement(join(<9959142..9959227,9959322..9959438, 9959528..9959653,9959743..9959829,9959919..9960062, 9960148..>9960241)) /locus_tag="BRARA_H00777" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00777" CDS complement(join(9959142..9959227,9959322..9959438, 9959528..9959653,9959743..9959829,9959919..9960062, 9960148..9960241)) /locus_tag="BRARA_H00777" /codon_start=1 /product="hypothetical protein" /protein_id="RID50020.1" /db_xref="Phytozome:Brara.H00777" /translation="MACVAHTLCFVAALLLIYQKTATCDFLSPIFDNICKAVVCGKGK CKASSNATFKYECECDNGWKQFDHNLKFLPCVIPNCTFDLSCGEAGPPAQPPTPPKDN NSSFFDVCHWMNCGEGICKKKNLFLYSCECREGYSNFMNIPTSPCFKQCALGQDCLNP GTPSNSSSNASSNASSSSPPALPDGSKSQGLNVRGASLWLISSMICVSLAPWRLLCI" gene <9966612..>9968472 /locus_tag="BRARA_H00778" /db_xref="Phytozome:Brara.H00778" mRNA join(<9966612..9966658,9967530..9967721,9967757..9968139, 9968210..>9968472) /locus_tag="BRARA_H00778" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00778" CDS join(9966612..9966658,9967530..9967721,9967757..9968139, 9968210..9968472) /locus_tag="BRARA_H00778" /codon_start=1 /product="hypothetical protein" /protein_id="RID50021.1" /db_xref="Phytozome:Brara.H00778" /translation="MENMTNKQNHFSNTMIFWSYSFSEHPKEDIPTLIEKIHEIKTSE LRLDQPNVEEVLRYKLYVLSHNLGGAAILMYVINHPLIMISPAGFHEDSNLHFTLIEH TFLLLGPLLSRIVLAIYIPTRFFQMLLNKLALDFHNYPAVGGLVQTLMSYVIGGVMGL PHYNMNDMPHVFFRVVLHLAQMKHSSMFKMLDYDSVKANMEVYGSPKLIRPSMFRKHY KVMRETCVVEASYNEFEYAHLDFTFSHREELLAYVMWWLLLVEPTSTQPVHKKGMKLK NKLIHLNLIYELWKTRLL" gene 9995218..9996910 /locus_tag="BRARA_H00779" /db_xref="Phytozome:Brara.H00779" mRNA join(9995218..9995740,9995829..9996047,9996142..9996910) /locus_tag="BRARA_H00779" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00779" CDS join(9995399..9995740,9995829..9996047,9996142..9996693) /locus_tag="BRARA_H00779" /codon_start=1 /product="hypothetical protein" /protein_id="RID50022.1" /db_xref="Phytozome:Brara.H00779" /translation="MGKTSKWIRNFLTGKKERTKEKINQSERGFTSTTPGTPKEKRRW SFRRSSATAPPTCASTLKDSSPPPQPPPPPQPFVVDSEDEQSKNVSAPEIAVAVEDFA AVKIQACFRSHLARKALRALKGLVKLQALVRGHLVRKQATATLRCMQALITLQAKARE QRIRMIGDSPTNPRTSIHKTRIHNIYHENEENIKIVEMDTQSKFYSPAPSAITDMSPR AYSSHFEDCNSFNTAQSSPQCSRFREYYNGDSLSSYDYPLFPNYMANTQSSKAKARSQ SAPKQRPPEIYEKQPSGRRRSSMEAPRNGVPRAVRMQRSSSQLGKESQSHHHHHHPWM AIKLDRSNISLMESECGSTSTIMTNSNYGRHVDVSL" gene 9997921..9999363 /locus_tag="BRARA_H00780" /db_xref="Phytozome:Brara.H00780" mRNA join(9997921..9998164,9998247..9998370,9998939..9999363) /locus_tag="BRARA_H00780" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00780" CDS join(9998007..9998164,9998247..9998370,9998939..9999271) /locus_tag="BRARA_H00780" /codon_start=1 /product="hypothetical protein" /protein_id="RID50023.1" /db_xref="Phytozome:Brara.H00780" /translation="MECVFGLVGDGFAIVAADTSAVHSILVHKNNEDKIMVLDSHKLI AASGEPGDRVQFTEYVQKNVSLYQFRNGIPLTTAAAANFTRGELATALRKNPYSVNIL MAGYDKEAGASLYYIDYIATLHKVDKGAFGYGSYFSLSTMDRHYRSDMSVEEAIELVD KCILEIRSRLVIAPPNFVIKIVDKDGAREHAWRQSVQDVTTASL" gene complement(9999364..10000317) /locus_tag="BRARA_H00781" /db_xref="Phytozome:Brara.H00781" mRNA complement(join(9999364..9999661,9999729..9999785, 9999863..10000317)) /locus_tag="BRARA_H00781" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00781" CDS complement(join(9999456..9999661,9999729..9999785, 9999863..10000229)) /locus_tag="BRARA_H00781" /codon_start=1 /product="hypothetical protein" /protein_id="RID50024.1" /db_xref="Phytozome:Brara.H00781" /translation="MAATIVFILMLAITSSTAVAETQGPSSSPPAPTCSEELVMFSPC IPYVSAPPNNISKTPDALCCSVFSTSVHSTAGKCLCYLLRQPMILGFPLDRSRLLSLS QICNEFQSSDESFESLCSPSVSPELPPLQSIQFTSPFDYGDRDSASPQSLGLPPETAK DPPTSDQFSPDIDNVSPQLIINGSPMISNLLLFLTTIIMTLATCILTRI" gene <10005346..>10005603 /locus_tag="BRARA_H00782" /db_xref="Phytozome:Brara.H00782" mRNA join(<10005346..10005490,10005509..>10005603) /locus_tag="BRARA_H00782" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00782" CDS join(10005346..10005490,10005509..10005603) /locus_tag="BRARA_H00782" /codon_start=1 /product="hypothetical protein" /protein_id="RID50025.1" /db_xref="Phytozome:Brara.H00782" /translation="MTVSAQSDCTNVLISMAPYCLGYITGNSSTPSQQCCNQLANVVR PSHECGSSQVGINVNQTQALALPKACNVQTPLASR" gene <10010195..>10010512 /locus_tag="BRARA_H00783" /db_xref="Phytozome:Brara.H00783" mRNA <10010195..>10010512 /locus_tag="BRARA_H00783" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00783" CDS 10010195..10010512 /locus_tag="BRARA_H00783" /codon_start=1 /product="hypothetical protein" /protein_id="RID50026.1" /db_xref="Phytozome:Brara.H00783" /translation="MDVEPVMCECCGLTEDCTQHYISEVKANFAGKWLCGLCSKVVSD EVSRDLKQTTMEEALNAHVLFCGKFKANPAELVADGMKQMLRRRSGDMLPAKSKMFGR SKF" gene complement(10025295..10027721) /locus_tag="BRARA_H00784" /db_xref="Phytozome:Brara.H00784" mRNA complement(join(10025295..10025581,10025716..10025796, 10025950..10026009,10026134..10026213,10026298..10026349, 10026432..10026569,10026640..10026903,10026977..10027034, 10027132..10027241,10027335..10027388,10027628..10027721)) /locus_tag="BRARA_H00784" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00784" CDS complement(join(10025489..10025581,10025716..10025796, 10025950..10026009,10026134..10026213,10026298..10026349, 10026432..10026569,10026640..10026903,10026977..10027034, 10027132..10027241,10027335..10027367)) /locus_tag="BRARA_H00784" /codon_start=1 /product="hypothetical protein" /protein_id="RID50027.1" /db_xref="Phytozome:Brara.H00784" /translation="MASRIAKDVTELIGNTPLVYLNNVAEGCVGRVAAKLEMMEPCSS VKDRIGFSMISDAEQKGLIKPGESVLIEPTSGNTGVGLAFTAAAKGYKLIITMPASMS VERRIILLAFGVELVLTDPAKGMKGAIAKAEEILAKTPNGYMLQQFENPANPKIHYET TGPEIWKGTDGKIDGFVSGIGTGGTITGAGKYLKEQNPNVKLYGVEPIESAILSGGKP GPHKIQGIGAGFIPSVLEVDLIDEVVQVSSDESIDMARLLALKEGLLVGISSGAAAAA AIKLAKRPENAGKLFVAVFPSFGERYLSTVLFDATRKEAESMTFQA" gene 10033816..10036284 /locus_tag="BRARA_H00785" /db_xref="Phytozome:Brara.H00785" mRNA join(10033816..10033971,10034074..10034230, 10034348..10034442,10034541..10034605,10034788..10034831, 10035123..10035200,10035328..10035481,10035568..10035750, 10035830..10035886,10035972..10036284) /locus_tag="BRARA_H00785" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00785" mRNA join(10033987..10034230,10034348..10034442, 10034541..10034605,10034788..10034831,10035123..10035200, 10035328..10035481,10035568..10035750,10035830..10035886, 10035972..10036284) /locus_tag="BRARA_H00785" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00785" CDS join(10034079..10034230,10034348..10034442, 10034541..10034605,10034788..10034831,10035123..10035200, 10035328..10035481,10035568..10035750,10035830..10035886, 10035972..10036067) /locus_tag="BRARA_H00785" /codon_start=1 /product="hypothetical protein" /protein_id="RID50028.1" /db_xref="Phytozome:Brara.H00785" /translation="MAVNGEDRISGERPIIMVTNDDGIDAPGLRSLVRVLVSTNLYDV RVCAPDSEKSAVSHSIIWSRPLTAHRVEIEGAEAYAVSGTPADCTGLGLSEALFPSLP DLVLSGINVGSNCGYNIVYSGTVAGAREAFIYDVPSASISYDWKRGDINVNDFVLSAQ ACLPIINGMLSAIKNKTHPTNCFLNIDLPTDIANHKGYKLTTQGKSLCKMGWRQVEEE AQGAKMLSTMSMETDSGVVSDNNDTSAHHSNDSRLFKREVRTVVVEEGTDLHFLREGY ITVTPIGALSQVDVDCQNYYKEWLPKISSSL" CDS join(10034079..10034230,10034348..10034442, 10034541..10034605,10034788..10034831,10035123..10035200, 10035328..10035481,10035568..10035750,10035830..10035886, 10035972..10036067) /locus_tag="BRARA_H00785" /codon_start=1 /product="hypothetical protein" /protein_id="RID50029.1" /db_xref="Phytozome:Brara.H00785" /translation="MAVNGEDRISGERPIIMVTNDDGIDAPGLRSLVRVLVSTNLYDV RVCAPDSEKSAVSHSIIWSRPLTAHRVEIEGAEAYAVSGTPADCTGLGLSEALFPSLP DLVLSGINVGSNCGYNIVYSGTVAGAREAFIYDVPSASISYDWKRGDINVNDFVLSAQ ACLPIINGMLSAIKNKTHPTNCFLNIDLPTDIANHKGYKLTTQGKSLCKMGWRQVEEE AQGAKMLSTMSMETDSGVVSDNNDTSAHHSNDSRLFKREVRTVVVEEGTDLHFLREGY ITVTPIGALSQVDVDCQNYYKEWLPKISSSL" gene 10037530..10040035 /locus_tag="BRARA_H00786" /db_xref="Phytozome:Brara.H00786" mRNA join(10037530..10037815,10038039..10038250, 10038326..10038404,10038494..10038793,10038870..10039027, 10039099..10039215,10039302..10039481,10039559..10040035) /locus_tag="BRARA_H00786" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00786" CDS join(10037767..10037815,10038039..10038250, 10038326..10038404,10038494..10038793,10038870..10039027, 10039099..10039215,10039302..10039481,10039559..10039714) /locus_tag="BRARA_H00786" /codon_start=1 /product="hypothetical protein" /protein_id="RID50030.1" /db_xref="Phytozome:Brara.H00786" /translation="MGSAAVASSSSDAAISALREKHEKEVENLTLTSQPLYTLKLFFE ATFLYIKRSILYLLAHGGWFMLITTLLVAFGVLLVTVDGPHGKHVEELLEYVRYSLWW IALGVASSIGLGSGLHTFVLYLGPHIALFTLKATQCGRVDLKSAPYDTIQLKRVPSWL DKSCSEFGPPLMVSAAGSRVPLTSILPQVQLEAILWGIGTALGELPPYFISRAASISG STVDGMEELDASPSEDSGLMARFLNRIKRWLLTHTQHLNFFTVLVLASVPNPLFDLAG IMCGQFGIPFWEFFLATLVGKAIIKTHIQTIFIICVCNNQLLDWMENELIWILSHVPG LAAVLPGLTAKLHAMKEKYIDAPPPVPSHIKVKRWDFSFASIWNGIVWLMLLNFFVKI VTATAQRHLKKKQEKEIAALTHLD" gene <10047082..>10047864 /locus_tag="BRARA_H00787" /db_xref="Phytozome:Brara.H00787" mRNA <10047082..>10047864 /locus_tag="BRARA_H00787" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00787" CDS 10047082..>10047864 /locus_tag="BRARA_H00787" /codon_start=1 /product="hypothetical protein" /protein_id="RID50031.1" /db_xref="Phytozome:Brara.H00787" /translation="MNGEGTRKRIKISIPHFDNSDLIKSYSQTLIGRCLNPPKQETSS LLLMLPKIWKVEDRVTGADLGNGRFQFHFDVEEDLVAVLESQPYHFDFWMITLARWQP KMAKDFPFAIPFWIQLVGIPTEFWSTTIFQSIGDALGETTDVDLDYGKMRVVLDGRNE LCFDSMVDFKGGEYYEGEEVLVTLKYEKLFGHCSLCASLCHAMEVCPLNPNPVKPSEN KDLGVGKHEERARSYKGVVINGDNGQSEKDKEWRRPQGKGKSK" gene complement(10061037..10065164) /locus_tag="BRARA_H00788" /db_xref="Phytozome:Brara.H00788" mRNA complement(join(10061037..10061436,10061512..10061614, 10061720..10061837,10063154..10063188,10063298..10063518, 10063599..10063714,10063845..10064100,10064201..10064366, 10064447..10064522,10064633..10064694,10064780..10064840, 10064953..10065164)) /locus_tag="BRARA_H00788" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00788" CDS complement(join(10061187..10061436,10061512..10061614, 10061720..10061837,10063154..10063188,10063298..10063518, 10063599..10063714,10063845..10064100,10064201..10064366, 10064447..10064522,10064633..10064694,10064780..10064840, 10064953..10065126)) /locus_tag="BRARA_H00788" /codon_start=1 /product="hypothetical protein" /protein_id="RID50032.1" /db_xref="Phytozome:Brara.H00788" /translation="MKHLGLILAFLLALATCKADEEITCEENLPFKCSQPDRLNSSSF EKDFIFGVASSAYQIEGCLGRGLNVWDGFTHRYPNKSGPDHGNGDTTCDSFSYWQKDI DVLDELNATGYRFSIAWSRIIPRGKRSRGVNKDGINYYHGLIDGLIDKGITPFVTLFH WDLPQVLQDEYEGFLDPQIIHDFKDYANLCFQEFGHKVKNWLTINQLYTVPTRGYGAG SDAPGRCSPMVDPTCYAGNSSTEPYIVAHNQLLAHATVVDLYRKNYSHQGGKIGPVMI TRWFLPYNDTDPDSIAATERMKEFFLGWFMGPLTNGTYPQIMIDTVGDRLPSFSPEES NLVKDSYDFLGLNYYVTQYAQSSPNPVHWANHTAMMDAGATLTYINASNHSIGPLFEA NSDETKNSYYYPKGIYYVMDYFKTKYYNPLIYVTENGISTPGNETRDESMFDYKRIEY LCSHLCFLSKVIKEKRVNVKGYFAWSLGDNYEFDKGFTVRFGLSYIDWNNVTDRDLKL SGKWYQKFISPAIKNPPKKDFLRSSLTFEKNKKFADA" gene 10071151..10078960 /locus_tag="BRARA_H00789" /db_xref="Phytozome:Brara.H00789" mRNA join(10071151..10071677,10071760..10072183, 10072265..10072516,10072594..10072806,10072886..10073017, 10073104..10073233,10073321..10073367,10073443..10073544, 10073619..10073726,10073847..10073989,10074093..10074187, 10074286..10074364,10074457..10074505,10074581..10074661, 10074907..10075002,10075083..10075186,10075328..10075784, 10075969..10076010,10076096..10076300,10076381..10076514, 10076659..10076839,10076924..10077159,10077233..10077328, 10077442..10077537,10077623..10077655,10077764..10077892, 10077996..10078056,10078144..10078199,10078277..10078333, 10078405..10078525,10078660..10078960) /locus_tag="BRARA_H00789" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00789" CDS join(10071316..10071677,10071760..10072183, 10072265..10072516,10072594..10072806,10072886..10073017, 10073104..10073233,10073321..10073367,10073443..10073544, 10073619..10073726,10073847..10073989,10074093..10074187, 10074286..10074364,10074457..10074505,10074581..10074661, 10074907..10075002,10075083..10075186,10075328..10075784, 10075969..10076010,10076096..10076300,10076381..10076514, 10076659..10076839,10076924..10077159,10077233..10077328, 10077442..10077537,10077623..10077655,10077764..10077892, 10077996..10078056,10078144..10078199,10078277..10078333, 10078405..10078525,10078660..10078802) /locus_tag="BRARA_H00789" /codon_start=1 /product="hypothetical protein" /protein_id="RID50033.1" /db_xref="Phytozome:Brara.H00789" /translation="MVFLSRKKPPPPPPSSSSAPPPPSSSAAPPPKFPQAQKKSADEP DAVEKMTAILAEAGCTLNNPYGPPCLPSDLHAFRRHLTTRFSSCSASSAERDSVSDLR SVFVAGFSSYIQSPSNLRRVLSTCSSTKRDESLVRNLLLVSPIQLDLQETLLEKLPEY FDVVSGCSLEEDVARLIIKHFRWLDFIVNPDVFTDKLMEVLSICPLHLKKEIVGSLPE IIGDHISRAVVDSLEKMLQEDSSVVVPVLDSFSNLNLDDQLQEQALTVAISCIRTIDA EHMPYLLRFLLLAATQANVRRIISQIRQQLKFTGISQPCASQNKLKGKAPAYNSEGSI LHALRSSLRFKNILCQEIIKELNGLEKPRDFKVIDVWLLILMYMNGDPIRKSIEKIFK KKVVDGCIQEALLDQCICGNKEFVKDNFGSFISLAEHLLSSKEEKAREFGSHIYTRLF EGFADNYSRQEILGALVTHVGSDNKFEVSSVLEMMTVLAKKYAQQLLPFSSHINGILD YLEGFNVENLHKVYEVFSLLALSARASPDSFRSSISNELMMIVRKQISHPDLKYQKMG LVGTLRIVSSLGDATSVPDCSSSQVSDCGEILELLKTSVDSCRQSNLALIIFYDEFAA ILSQKLLQPEIMEWIGKHLGEFESLFLADLENGEMANKSSYSGLEGDLWMNLDGSISP ICLNILALASSSSESCCLQILPSNFLLLSSVERLTNGGSLAGIDALLGCPLHLPSSKY FAAAGWHSLTKKQREILSLSLYYSANWIRELLNAFSSQIDKRDGCISQATEKDVTTKL LMRLRNLIFLESLLSNLITLSPQSLPELHPYSATSQHTEHPERKNEKRKLQDDDASQR KGNMKNKLKKSKQSSVDEHLRQPTILDAFKKAGVVTSQTQLHENPSPSSLDGRIASGS MHETCSGDESLSVKIPQLSPALAAQRFKFRPLLPQCLSILKFPKVQSQNIRNPEYKAE LPLYLYLLQDLHTKLDSLVPPGKLLPFKRGSPPGYLGGFKLVELVSQIKGLFPSLRMH LNTAMSLLIKGEETPQTIWRDEFAKAGNPNTSNIVVSESLIYTMVCKEVLFCFSKMLT LPGFETDKSLLLDLLEAFQPTDIPVDSFADLQPFPSPGTKDYLFIGVYCFFEDILNNA CSFSFDLAFECLLTLQLVVTSAQKYIGKVSEEVTKNRYVGPIQGLVPSLHAKLGTSAE KLLRHEWIDETTDNKGLKNKGEMVQNILRIYLETSGSTSDLLDELACTILPQASLSKS TGEEDAHDHEFPTLCSATFRGWYKTLHEENLAILNKLVKVVSSEKRQNCQSEATEAHL RDIQKTVNVIVSLVNLCRSHDKVTIHGMAIKYGGKYVDSFLKVFDFLEAHIQDHNELV IQLVKDLQKATRTLQTLCSEAKGMKQTAITSKIPATKRSLERFLFHVKALLHTTSRGS NFWMGSLKHKDLRGQIVSSQAYVDNETDEVEEETMSGGEDPMEADELPLSP" gene 10084022..10084666 /locus_tag="BRARA_H00790" /db_xref="Phytozome:Brara.H00790" mRNA 10084022..10084666 /locus_tag="BRARA_H00790" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00790" CDS 10084105..10084512 /locus_tag="BRARA_H00790" /codon_start=1 /product="hypothetical protein" /protein_id="RID50034.1" /db_xref="Phytozome:Brara.H00790" /translation="MVKFLKQNKAVILLQGRYAGKKAVIIRSFDDGNRERPYGHCLVA GLKKYPSKVIRKDSAKKTAKKSRVKCFIKVVNYQHLMPTRYTLDVDLKEVATLEALSS KDKKVAALKEAKAKLEERFKTGKNRWFFTKLRF" gene complement(<10089380..>10096042) /locus_tag="BRARA_H00791" /db_xref="Phytozome:Brara.H00791" mRNA complement(join(<10089380..10089960,10090459..10091294, 10091388..10091489,10095803..10095918, 10095950..>10096042)) /locus_tag="BRARA_H00791" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00791" CDS complement(join(10089380..10089960,10090459..10091294, 10091388..10091489,10095803..10095918,10095950..10096042)) /locus_tag="BRARA_H00791" /codon_start=1 /product="hypothetical protein" /protein_id="RID50035.1" /db_xref="Phytozome:Brara.H00791" /translation="MMIPSYDPNDTEAGLQLLEDLTTNADAIQQQKPNISGRFLMENL AIFKKISDGDSSDILSAQPITELLTSSGTSGGKPKLMPSTAEELDRKTFFYNMLVPVM NKFVNGLDEGKGMYLLFIKPEIKTPSGLQARPVLTSYYKSEQFKNRPFNKYNVITSPN DAILCEDSQQSMYCQLLCGLVQRSDVLRLGAIFASAFLRAVKFLENHFTELCADIRTG TVASWITDSGCRDSVLSILNGPNQELADEIEALFNAKSREGILKRIWPKTKYIEVIVT GSMAQYIPTLEFYSGGLPLVSVMYASSECFFGLNINPLCKPSDVSYTLLPNMAYFEFL PVDDKSHEETQLESRSTDDVSLTDKDRIVDLVSVEIGRYYELIITTFAGLYRYRVGDI LKVTGFHNKASQFSFVERRNVVLSIDTDKTSEEDLMKAVTQAKLNHLQGLLLTEYTSY ADTSSIPGHYVLFWELKPRYDNDPPKLDKKMMEDCCSEVEDCLDYVYRRCRNKDRSIG ALEIRVVSLGTFDALMDFSISQGSSVNQYKTPRCVKSGGALQILDSRVIGRFFTKRVP QWEPLGLDS" gene <10105452..>10108293 /locus_tag="BRARA_H00792" /db_xref="Phytozome:Brara.H00792" mRNA join(<10105452..10106339,10107933..10108073, 10108162..>10108293) /locus_tag="BRARA_H00792" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00792" CDS join(10105452..10106339,10107933..10108073, 10108162..10108293) /locus_tag="BRARA_H00792" /codon_start=1 /product="hypothetical protein" /protein_id="RID50036.1" /db_xref="Phytozome:Brara.H00792" /translation="MDDKISQLPDDLLLKVLTFLPTKVAVSTSILSKRWEFLWMWFPK LEYDDSEYSPGERYKLYSFIDLSLPLHKAPVIERLRLNFDVYGRSYRAYSIEKLVLIA VTRCVRELSVTLVSMPYKFARLPSNLFTCKSLVILELTGPIFVNVPRMVYLPSLKFLI LGCMVYSNEKSLHQLLSHCPVLEDLVLERNDEDNNSPFTLSVIVPSLQRLTLKISRGY HFEGLVINTPSLMYFKILDYLEEYARDDNSNYSYYFEDTPKLEEADIESTYPGINKFV RSIRSVKRLSLCIRVNADEEALYHEGIVFDQLQHLKLCSCGPNWSKLLVRLLKDSPLL RDLEIYLNEDHTSSRVDAPVSWQNQLDCVPTCLLASLETFKWTVVYVDHKKR" gene <10129143..>10140889 /locus_tag="BRARA_H00793" /db_xref="Phytozome:Brara.H00793" mRNA join(<10129143..10129313,10129436..10129496, 10129580..10129618,10136917..10137049,10137134..10137389, 10137471..10137586,10139792..10140012,10140098..10140132, 10140228..10140348,10140460..10140562,10140646..>10140889) /locus_tag="BRARA_H00793" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00793" CDS join(10129143..10129313,10129436..10129496, 10129580..10129618,10136917..10137049,10137134..10137389, 10137471..10137586,10139792..10140012,10140098..10140132, 10140228..10140348,10140460..10140562,10140646..10140889) /locus_tag="BRARA_H00793" /codon_start=1 /product="hypothetical protein" /protein_id="RID50037.1" /db_xref="Phytozome:Brara.H00793" /translation="MKHIGLTLVFLLAVATCKADEEITCKETLSTCGHTDQFNSGSFE KDFIFGVASSAYQIEGGVGRGLNVWDGFTHRYPNKSGSDHGNGDTTCVNYYRGLINRL VEKGITPFVTLFHWDLPQALQDEYEGFLDPQIINDFKDYADLCFQEFGANVTNWITIN QLYTVPTRGYGFGSDAPGRCSRALDPTCYAGNSLTEPYIVAHHQLLAHATVVDLYRKN YKHQGGKIGPVMITRWFLPYDNNDPESKAATERMKEFFLGWFMGPLTNGAYPQIMIDT VGKRLPSFTPEESKLVKGSYDFLGLNYYVTQYVQPSPNHVDWANHTAMMDAGVTLTYR DINGHAIGPLFTEDKVDAAKNTYYYPEGISYVMDYFKTKYYNPLIYVTENGFSTPGDE PREAAKLDCKRIDYLCSHLYFLSKVIKEKHVNVKGYFAWSLGDNYEFCKGFTVRFGLS YIDWNNITDRDLKQSGKWYKKFIITKDLPKKDFLRSSLTFEKKKKFADA" gene 10148261..10149606 /locus_tag="BRARA_H00794" /db_xref="Phytozome:Brara.H00794" mRNA join(10148261..10148589,10148764..10149143, 10149221..10149606) /locus_tag="BRARA_H00794" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00794" CDS join(10148353..10148589,10148764..10149143, 10149221..10149413) /locus_tag="BRARA_H00794" /codon_start=1 /product="hypothetical protein" /protein_id="RID50038.1" /db_xref="Phytozome:Brara.H00794" /translation="MEKVNQTFYLSHGSPRLSIDDTLEARQFFKSWSEKVLQHKPKSI LIISAHWDTEFPTVNTVLRNSTIYDFNGFPDPIYKLKYEAPGAIELGKKVKELLMGAG GMKRVDEDTERGLDHGAWVPLMLMYPEADIPVCQLSVQSSQSGTYHYNMGKALAPLKE EGVLIIGSGSATHNLKKLEFSIPNGSPVPWALEFDHWLRDSLLQGRYGDVNEWEKKAP NAKMAHPWPEHFYPLHVAMGAAGDAAKAEQIHTSWQFGTVSYSSYSFTSSP" gene complement(10150525..10153522) /locus_tag="BRARA_H00795" /db_xref="Phytozome:Brara.H00795" mRNA complement(join(10150525..10150887,10151008..10151157, 10151243..10151304,10151379..10151470,10151546..10151634, 10151727..10151813,10151883..10152164,10152246..10152493, 10152562..10152646,10152715..10152822,10152898..10153075, 10153282..10153522)) /locus_tag="BRARA_H00795" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00795" CDS complement(join(10150669..10150887,10151008..10151157, 10151243..10151304,10151379..10151470,10151546..10151634, 10151727..10151813,10151883..10152164,10152246..10152493, 10152562..10152646,10152715..10152822,10152898..10153075, 10153282..10153418)) /locus_tag="BRARA_H00795" /codon_start=1 /product="hypothetical protein" /protein_id="RID50039.1" /db_xref="Phytozome:Brara.H00795" /translation="MAAMSFLSAAPSSSFHGGLHLGKSDPCLFGSYPQTGNTQRPSLS IRCQSTNTKEPKSSNILDNASNLFTNLLSGGSLGSMPTAEGAVSDLFGKPLFLSLYDW FMEHGGVYKLAFGPKAFVVISDPIVARHVLRENAFSYDKGVLAEILEPIMGKGLIPAD LDTWKLRRRAITPAFHALYLEAMVKVFSDCSEKMILKSEKLLREKEVSRGEGDTEIEL DLEAEFSSLALDIIGLSVFNYDFGSVTKESPVIKAVYGTLFEAEHRSTFYFPYWNFPP ARWIVPRQRKFQSDLKIINDCLDGLIQNAKETRQETDVEKLQQRDYSNLKDASLLRFL VDMRGVDIDDRQLRDDLMTMLIAGHETTAAVLTWAVYLLAQSPAKIRKAQAEIDAVLG DGAPTYESLKKLEYIRLIVVESLRLYPQPPLLIRRTLKPETLPGGYKGEKEGHKVPKG TDIFISVYNLHRSPYFWDNPQEFEPERFLRKKESNGIEGWAGFDPSRSPGALYPNEII ADFAFLPFGGGPRKCIGDQFALMESTVALAMLLQKFDVELRGPPESVELVSGATIHAK NGMWCKLKRRSK" gene complement(10153990..10154866) /locus_tag="BRARA_H00796" /db_xref="Phytozome:Brara.H00796" mRNA complement(join(10153990..10154343,10154417..10154866)) /locus_tag="BRARA_H00796" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00796" CDS complement(join(10154239..10154343,10154417..10154797)) /locus_tag="BRARA_H00796" /codon_start=1 /product="hypothetical protein" /protein_id="RID50040.1" /db_xref="Phytozome:Brara.H00796" /translation="MARRSQEEEEEKENFPLITTKTVEYLQPVMRRELLRKFPDNSAF GFDYAQSSLWSPLLPRNYASPSDLDSDTFVCRNLELGEFLESKKKMKISMKKKNKKNK LVKLDMSSIKSDDSPKVGCFSLPTKGWDGLLKVASKHFKKSKKKRDPVADVKLVNFCK C" gene <10162234..>10164177 /locus_tag="BRARA_H00797" /db_xref="Phytozome:Brara.H00797" mRNA join(<10162234..10162878,10162981..10163850, 10163935..>10164177) /locus_tag="BRARA_H00797" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00797" CDS join(10162234..10162878,10162981..10163850, 10163935..10164177) /locus_tag="BRARA_H00797" /codon_start=1 /product="hypothetical protein" /protein_id="RID50041.1" /db_xref="Phytozome:Brara.H00797" /translation="MKKKKPKNSQPKSPPNSRSTPPARSPSTENQNPPEDSRRLDASM VVSDAQIDPRADGDAQQAAEIKDSPLPPKIPSTEVPNSPGKDQPLVESKAVSDAPIVP QAEKDAQQPGADRLISDNLNPNPTKTVIDTLVSDPSTEGLGIQSADIVSYFDRVPSTE GLEAIVPPLLATADAKMISLSSQEANVAFVSAGAISNVEAEPALLIQNEALRPEERLS KKGEAFTLPSGEACINIPNSVIEKHRKSWEPFVMGQFYSDPPSQGTLHNIVNGIWSKN YRDIAVSKMEGFAFLFRIPNAATRQRVINQGLWQIEGQTMFVNKWEPGVTPSKPELTS APIWLELRKVPLQFFNEDGLERIASLVGHPMYLHPLTKNKSNLEVAKVYTIIDPRKPL PEAVNVKFDSGEICRVLVSSPWMPPVCDLCKEIGHSSKRCPSIAKVCSHCNSKEHVFA KCPLRLKGEQPGRKTRRGRSKVKVTENDKVKQQWREIVPSPTASDFVGDQAAEAPAIG ESSGTPYYLRSATPRKGSVSTKSSNSDMQPDSSDVDTTDSDLEEGELSLYDQGFETVR YRKNFSGRKGNRGKGPKPT" gene 10174037..10175088 /locus_tag="BRARA_H00798" /db_xref="Phytozome:Brara.H00798" mRNA 10174037..10175088 /locus_tag="BRARA_H00798" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00798" CDS 10174099..10174809 /locus_tag="BRARA_H00798" /codon_start=1 /product="hypothetical protein" /protein_id="RID50042.1" /db_xref="Phytozome:Brara.H00798" /translation="MGLLHKQNLSFVILLLGFLVVSYACDSGDPPKPSPHPVKPPKHP VKPPKPPTVKPPPHTPRPPTVKPPHTPSPPHSFPPYTPKPPTVKPPPQPTPTPSPPPP YVKPPPVPTPETPCLPPPPPSPPPTPCPPTPPAPTPEPETCSIDALKLGACVDVLGGL IHIGLGKSYAKATCCPVLGGLVGLDAAVCLCTTIRAKLLNIDLIIPIALELLVDCGKT PPRDFKCPAPQRKSPLLG" gene 10178719..10179700 /locus_tag="BRARA_H00799" /db_xref="Phytozome:Brara.H00799" mRNA join(10178719..10179264,10179353..10179700) /locus_tag="BRARA_H00799" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00799" CDS join(10179042..10179264,10179353..10179414) /locus_tag="BRARA_H00799" /codon_start=1 /product="hypothetical protein" /protein_id="RID50043.1" /db_xref="Phytozome:Brara.H00799" /translation="MLMSFSVGLSYATVVDLGVRRVEVSNNGFFSDVWWSVFILARWS SFSHSICFLFSLDLLSSRSTTVFEFRSWVSGLDLHSLCSGSPDIVFLGGF" gene complement(10180084..10185272) /locus_tag="BRARA_H00800" /db_xref="Phytozome:Brara.H00800" mRNA complement(join(10180084..10180478,10180565..10180774, 10181039..10181302,10181380..10181544,10181627..10182028, 10185163..10185272)) /locus_tag="BRARA_H00800" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00800" CDS complement(join(10180191..10180478,10180565..10180774, 10181039..10181302,10181380..10181544,10181627..10182028, 10185163..10185225)) /locus_tag="BRARA_H00800" /codon_start=1 /product="hypothetical protein" /protein_id="RID50044.1" /db_xref="Phytozome:Brara.H00800" /translation="MAANYNEKLLLNYVPVYVMLPLGVVNVENVFADPETLKTHLKRL KEEAGIDGVMVDVWWGIIESKGPKQYDWTAYKTLFQLIARLGLKIQAIMSFHQCGGNV GDVVTIPIPKWVREVGESDPDIYYTNRRGTRDIEYLSLGVDNLPLFAGRTPVQMYSDY MSSFKENMLELLEAGAIVDIEVGLGPAGELRYPAYPQSQGWVFPGIGEFQCYDKYLKS EFKEAAAKAGHPEWDLPDNAGEYNDKAEETGFFKTNGTYVSEEGKFFLTWYSNKLIFH GDQIIGEANKIFAGLKVNLAAKVSGIHWLYNHHSHAAELTAGYYNLYERDGYRPIARM LSKHYGTLNFTCLEMKDTDNTAEALSAPQELVQMVLSKAWKEGIEVAGENALETYGTK GYNQILLNARPNGVNHNGKPKLRMYGFTYLRLSDTVFQERVHYLMRHIFIFFDTLRHF MLERHFLPTAGFF" gene 10188072..10188739 /locus_tag="BRARA_H00801" /db_xref="Phytozome:Brara.H00801" mRNA join(10188072..10188351,10188423..10188739) /locus_tag="BRARA_H00801" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00801" CDS 10188139..10188345 /locus_tag="BRARA_H00801" /codon_start=1 /product="hypothetical protein" /protein_id="RID50045.1" /db_xref="Phytozome:Brara.H00801" /translation="MKKKQSKSGPSVPETNRGRDRVSFMISSSIGSFSVGDQNHAILN PSASQSEIKPCNSEAKNFTVGLFV" gene complement(<10203609..>10208521) /locus_tag="BRARA_H00802" /db_xref="Phytozome:Brara.H00802" mRNA complement(join(<10203609..10203812,10207409..>10208521)) /locus_tag="BRARA_H00802" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00802" CDS complement(join(10203609..10203812,10207409..10208521)) /locus_tag="BRARA_H00802" /codon_start=1 /product="hypothetical protein" /protein_id="RID50046.1" /db_xref="Phytozome:Brara.H00802" /translation="MKVEVVGREVIKPASPSPQDLLQLSLADVSGPAAYVSTIFFYKT VSGESLDITSGRLKTSLSDTLSRFYPLAGRMEGDKIICNDEGAVFTEARTDLLLSDFL KNNLDNTNSLPEFLPTIAPGESAGAWPLLSAKVSFFGSGSGFAVTVSISHRICDASSI LTFVSGWAATAKAESSDVVVMNIPTFASTTIYPPPPCSFQSSSMDGLYEPKSKCVANR FFFKSSKIAELKRRAMSETVPVPTRVEVIMSLIWRCATNSSRSNSAVQKSTLMIQALD LRLRIPPAVLSKDAVGNLQAPFFLKEGSESKMEIAEIVAEFRKAKEVVNEMIKENLAT TTLGPNLLSLLGKLASELTPDIDLFPMSSWCRKPFYEVDFGCGSPVWIGSTGHVIHNA VFVMLMDSKDGEDVEAWVSLPGQDMSVFVRDQEVLAYAVINPPVLI" gene 10210947..10212526 /locus_tag="BRARA_H00803" /db_xref="Phytozome:Brara.H00803" mRNA join(10210947..10211337,10211435..10211726, 10211796..10211891,10211970..10212526) /locus_tag="BRARA_H00803" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00803" CDS join(10210952..10211337,10211435..10211726, 10211796..10211891,10211970..10212350) /locus_tag="BRARA_H00803" /codon_start=1 /product="hypothetical protein" /protein_id="RID50047.1" /db_xref="Phytozome:Brara.H00803" /translation="MEEEKKTTTNTEMNENLITSFVEITSSTREEASFFLESHRWDLD AAVSAFLDSDAADLPAVPNPNVPPPSIPAAETSPENQEAENPSGSRNTMSRGNIRTFA DLNSSPAGGGDSDSDEGQEYYTGGHKSGMMVQDPNKAKDVDALFERARLSAVDRPVEP SRSASTSFTGASRMLSGEPVPSAPPPQQQDQPQVVMHTITFWRNGFTVDDGPLRRFDD PQNAAFMESIVKSECPRELEPVDRKIRVHVGLVRREDNYTEPPKPKNPFQGVGRTLGA SGSGSAAEAHAPPAQMNTAPGPSRGLVVDQAVPTTSVQLRLADGTRLVSRFNNHHTVR DVRGFIDASRPGGSREYQLLTMGFPPKQLSDLDLTIEQAGIANSVVIQKL" gene 10214374..10216805 /locus_tag="BRARA_H00804" /db_xref="Phytozome:Brara.H00804" mRNA join(10214374..10214648,10215086..10216354, 10216434..10216805) /locus_tag="BRARA_H00804" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00804" CDS join(10214466..10214648,10215086..10216354, 10216434..10216664) /locus_tag="BRARA_H00804" /codon_start=1 /product="hypothetical protein" /protein_id="RID50048.1" /db_xref="Phytozome:Brara.H00804" /translation="MDFELRSAREKLEREQRERKERAKLKLVREKKAKDAAIKQREAI EASQRARRLDAIEAQIKADEHMQESLIAGGGVVFERVFQAVPFQGIGDKIKLPPSCFT ELSDQGAFDKGPLYFELSVDYRDNKKTTHSGVLEFTAEDGTVGLPPHVWSNLFSAQDP MDVPLVQIRYIRLPKGTYAKLQPDNLGFSDLPNHKAILETILRQHATLSMDDILSVSY GQVSYKLQVLELKPASSVSVLETDIEVDIVSPEIVSDQPSQHVLRPLLFGKPESGTVE EGRYDYYKFTIDDSTLEKVMAGSVKVIVKIDVEKDGADTDLYVSKHPVLFPSLNQHEW SSHDVGSKTLILESKERVLSSGTYSIGVYGFKGTVKYQVSVLVQESSNGAKVGERAVS SSSDVDTVECRNCKHSIPSRSIALHEVYCSRHNVVCNHPGCGIVLRVEEAKNHLHCEK CGQALQPTEMEKHLKVFHEPLSCCCGVVLEKEQMVQHQARDCPLRLIACRFCGDMVEA GNDAADVRDRMRGMSEHESTCGSRTAPCDSCGRSVMLKDMDIHQIAVHGKSS" gene 10222450..10226317 /locus_tag="BRARA_H00805" /db_xref="Phytozome:Brara.H00805" mRNA join(10222450..10222684,10222915..10223275, 10223497..10223652,10223740..10223875,10223966..10224067, 10224143..10224262,10224338..10224418,10224501..10224841, 10224924..10225169,10225250..10225574,10225647..10225880, 10225978..10226317) /locus_tag="BRARA_H00805" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00805" CDS join(10222962..10223275,10223497..10223652, 10223740..10223875,10223966..10224067,10224143..10224262, 10224338..10224418,10224501..10224841,10224924..10225169, 10225250..10225574,10225647..10225880,10225978..10226190) /locus_tag="BRARA_H00805" /codon_start=1 /product="hypothetical protein" /protein_id="RID50049.1" /db_xref="Phytozome:Brara.H00805" /translation="MATINEIGVAAAINIVTSIAFLIAFAILRIQPVNDRVYFPKWYL KGLRTSSIQTGGFGSKFINLDFRSYVRFLNWMPEALKMPEPELVDHAGLDSVVYLRIY LLGLKIFFPIACVAFTTMVPVNWTNKGLDGLKHSNISYSDIDKLSLSNIPNGSDRFWV HLCMAYAITFWTCFMLKREYQNIALMRLQFLANDERRPNQFTVLVRNIPVDPHESICE LVEHFFKVNHPDHYLTFQAVHDATKLSELVQTRKQMQNLLDYNINKHMRTLTKRPVIK MGFLGCCGEEVDGIKYYTSMVESLTREITEEKHRLRTGTKSIVPAAFVSFKSRWGAAV CAQTQQSRDPTEWLTEWAAEPCDIYYDNLALPYVDLKIRRIIVAVAYFFLTFFFMIPI AFVQSLANIEGIEKNFPFLKPLIEVKFFKSIIQGFLPGIALKIFLMLLPRILMQMSKF EGFISTSSLERRAASRFYMFQFINVFLGSIVTGTAFQQLNSFLNQSANDIAKTIGVSI PIKATFFVTYIMVDGWAGVAGEILRLKPLIIYHLKNSFLVRTEKDREEATDPGTIGFN TGEPQIQLYFLLGLVYAAVSPILLPFIILFFALAYVVYRHQVINVYNQKYESAGKFWP DVHRRVVTALIVSQLLLMGLLSTKGAHKSTPLLLVLPVLTIGFHIHCKCRYQSAFVTY SLKEAMIKDTLERTREPNLNLKAFFRNAYAHPEFRVGENLDLEMAMEKPDKLPELVAT KRGSWRNTSLPSKHS" gene 10226728..10228045 /locus_tag="BRARA_H00806" /db_xref="Phytozome:Brara.H00806" mRNA join(10226728..10227069,10227245..10227358, 10227434..10227591,10227678..10228045) /locus_tag="BRARA_H00806" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00806" CDS join(10226784..10227069,10227245..10227358, 10227434..10227591,10227678..10227884) /locus_tag="BRARA_H00806" /codon_start=1 /product="hypothetical protein" /protein_id="RID50050.1" /db_xref="Phytozome:Brara.H00806" /translation="MEKPYGYASVSMSGVDRSAGKDIDLEMGEATLYPGLSYGENQLR WGFIRKVYGILSAQLLLTTLISAVVVLNPPVNDLLTGSPGLLLFLCIIPFVLIWPLHV YHQKHPVNLILLALFTISLSFTVGVSCAMTEGRIVLEALILTLSVVGSLTAYTFWAAK KGKDFSFLGPILFTSLIILVVTSFMQMFFPLGPTSVAIYGGVSALVFCGYIVYDTDNL IKRFTYDEYILASVALYLDILNLFLTILRILRQGDN" gene 10228453..10229830 /locus_tag="BRARA_H00807" /db_xref="Phytozome:Brara.H00807" mRNA join(10228453..10228625,10228816..10229247, 10229435..10229830) /locus_tag="BRARA_H00807" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00807" CDS join(10228470..10228625,10228816..10229247, 10229435..10229650) /locus_tag="BRARA_H00807" /codon_start=1 /product="hypothetical protein" /protein_id="RID50051.1" /db_xref="Phytozome:Brara.H00807" /translation="METLFSPRSLSPPLTIPTPSPLSLLRPISSQSAKLTKPESPVPQ NLSTNAKQACAVGRRRLMMGGLLMSGLIVSEANLPTSAFALTPVFREYIDTFDGYSFK YPQNWIQVRGAGADIFFRDPIVLDENLSVEFSSPSSSKYKSLEDLGSPEEAGKKVLRQ YLTEFMSTRLGVKRESNILTTSSTVADDGKLYYQVEVNIKSYANNNELAVMPQDRVAR LEWDRRYLAVLGVENNRLYSLRLQTPEKVFQEEEKDLRRVMDSFRVEKI" gene complement(<10232960..>10234390) /locus_tag="BRARA_H00808" /db_xref="Phytozome:Brara.H00808" mRNA complement(<10232960..>10234390) /locus_tag="BRARA_H00808" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00808" CDS complement(10232960..10234390) /locus_tag="BRARA_H00808" /codon_start=1 /product="hypothetical protein" /protein_id="RID50052.1" /db_xref="Phytozome:Brara.H00808" /translation="MDNNNDSPKSLTGQHFLFVTFPAQGHINPSLELAKRLAGTIAGV RVTFAAPISAYNRRMFSKENVPENLIFGTYSDGHDDGFKSSTSSDKARQDTAGRYMSE MKRRGRETLTELIEDNRLQNRPFTCVVYTILLTWVAELVREFHIPSALLWVQPVTVFS IFYHYFNGYADAISEMATNDPSGSIKLPALPQFCLRDLPTFIVPANTYSFLLPAFREQ IESLKQEENPKILVNSFQELEEEAFSSVLDNFKILPIGPLITSRTDSERGAEYIQWLD TKTDSTVLYISFGTLAVLSKKQIVELCKALIHCRRPFLWVITDKLYTSKEDGEENEEE STRSFREELDEIGMVVSWCDQFSVLKHRSIGCFVTHCGWNSSLESLVAGVPVVAFPQW TDQMTNAKLLEECWRTGVRVMEKKEDAEVVVESGEIRGCIEEVMEEKSEELRGNAARW RDLAAETVKEGGSSFKHLKAFVSEHM" gene complement(<10245875..>10247299) /locus_tag="BRARA_H00809" /db_xref="Phytozome:Brara.H00809" mRNA complement(<10245875..>10247299) /locus_tag="BRARA_H00809" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00809" CDS complement(10245875..10247299) /locus_tag="BRARA_H00809" /codon_start=1 /product="hypothetical protein" /protein_id="RID50053.1" /db_xref="Phytozome:Brara.H00809" /translation="MDNKNDSSKSLTGPHFLFVTFPAQGHINPSLELAKRLAGTITGV RVTFAATDSAYNRCMLSKENVPETLVFATYSEAHKDDIKSSTSTDRSREYMSATRRRG RETLTELIEDNRRQNRPFFFTCVVYTIFLPWVAELAREFHIPSALLWVQPVTVFSIFY HYFNGYADAISEIATNDPSGSIKLPSLPQFRLRDLPTIIFRPDAYSFLVPAYQDQIDS LKQEENPKILVNSFQELEEEALSAVLDNFMIVPVGPLITTRADDSGIDDKYKQWLDAK TDSSVIYISFGTLAVLSKKQLAEVCKALIESRRPFLWVIAEKSFRSKEDGEEKEEEGI KSFREELDEIGMVVSWCNQFSVLKHRSIGCFVTHCGWNSTLESLVAGVPVVAFPQRID QMTNAKLLEESWRTGVRVMEKKEDEEVVVESEEIRRCIEEVMEEKAEEFRRNAARWRD IADEVVREGGSSFNHLKAFVDEHM" gene 10267298..10269895 /locus_tag="BRARA_H00810" /db_xref="Phytozome:Brara.H00810" mRNA join(10267298..10267703,10269270..10269895) /locus_tag="BRARA_H00810" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00810" CDS join(10267462..10267703,10269270..10269609) /locus_tag="BRARA_H00810" /codon_start=1 /product="hypothetical protein" /protein_id="RID50054.1" /db_xref="Phytozome:Brara.H00810" /translation="MEHERKNNLNGMEMEKGNKESGSRKGLELTMRVLALVLSLTAAT VLGVAKQTKVVSITLIPTLPPLDVSATAKASYLSAFVFNISANAIACGYTAISIAILM VSKGRRSKGLIMAVLIGDLVMMALLFSSTGAAGAIGLMGVQGNKHVMWKKVCNVFGKF CHQTAASVAITLLAAIMFMVLVVLDAMKLPQCK" gene complement(<10274101..>10276435) /locus_tag="BRARA_H00811" /db_xref="Phytozome:Brara.H00811" mRNA complement(join(<10274101..10274274,10274409..10274468, 10274545..10274633,10274743..10274864,10275114..10275219, 10275505..10275579,10275658..10275706,10275779..10275883, 10276355..>10276435)) /locus_tag="BRARA_H00811" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00811" CDS complement(join(10274101..10274274,10274409..10274468, 10274545..10274633,10274743..10274864,10275114..10275219, 10275505..10275579,10275658..10275706,10275779..10275883, 10276355..10276435)) /locus_tag="BRARA_H00811" /codon_start=1 /product="hypothetical protein" /protein_id="RID50055.1" /db_xref="Phytozome:Brara.H00811" /translation="MQFLQNSRRIIARLLKPIIIPEANPRSRSMPPNAWLWSLIESCQ CQDDINLLFEVFQKLRRFRLSNLRIHVRVGAIDSGKKALWKHNVLGLTPSVASAQHLL VFICTRAQKSELMEEVMTLLKTNDLPLQPGTADLVFRICHNTDKWDLQGKYSKRFSKA GVKLRKTTFDVWMDFAANRGDTESLWKVDKLRSETYNQHTLSTAFSCAKGFLLESKPE EAAAVIQLICQKLVNEWPVEVIRPQAEEDKKIIRRQSVIPSMVNALLSSGLNVSVDLD ELYKKDDLLS" gene <10277319..>10279262 /locus_tag="BRARA_H00812" /db_xref="Phytozome:Brara.H00812" mRNA join(<10277319..10277712,10278211..>10279262) /locus_tag="BRARA_H00812" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00812" CDS join(10277319..10277712,10278211..10279262) /locus_tag="BRARA_H00812" /codon_start=1 /product="hypothetical protein" /protein_id="RID50056.1" /db_xref="Phytozome:Brara.H00812" /translation="MGCGQSKHDVVTGNTTTVRKPLEAESVKGQENETIKRQESCRCK KTNDISAVVSSNQPKTTVENNTKKLEEKKAGGDCGEKPEGETNDEQKHEEKEATTLPL VTAIVSENILTEETVNDVNESILPVDEQKEKVDSDTIVEEEKSTEDKTSGNVNTEILL PEVEEPKLDVETPITTESEVQEVLTKEDVEIATSENVETESKENDDTFILKDEDKVNF VENVAASKTVEITSTENDDTFVLKDEDEVDLIENVETPASENEDTLVINDKADLVETV QSVSAENDDTLVLKDEDKVDLLENVETPASETASTENDDTSVLKDEDEVDLVENVETA ASETVETEPTEIDDTPILKDEDEVDLVEKVVEHVSTENDDTLYLKKEDKVDLLANVET SASETVETVPTEKDNTHVLKDEVDLVENVETVKSASTEDDDILVLKDEDKVDHIENVE ITGTQNVDIVSTENDKTHVLEEEEKVDLVEV" gene 10294087..10294657 /locus_tag="BRARA_H00813" /db_xref="Phytozome:Brara.H00813" mRNA 10294087..10294657 /locus_tag="BRARA_H00813" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00813" CDS 10294247..10294552 /locus_tag="BRARA_H00813" /codon_start=1 /product="hypothetical protein" /protein_id="RID50057.1" /db_xref="Phytozome:Brara.H00813" /translation="MEKLQKMISGKSVVIFSNNSCCMSHTIKTLFLDFGVNPTIYELD EISRGKEIEKVLAQLGCSPTVPVVFIGGQLVGGANQVMSLHLNRSLVPMLKRVGALI" gene 10306946..10308432 /locus_tag="BRARA_H00814" /db_xref="Phytozome:Brara.H00814" mRNA 10306946..10308432 /locus_tag="BRARA_H00814" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00814" CDS 10307095..10307403 /locus_tag="BRARA_H00814" /codon_start=1 /product="hypothetical protein" /protein_id="RID50058.1" /db_xref="Phytozome:Brara.H00814" /translation="MEKLQKMISEKSVVIFSKNSCCMSHTIKTLFLDFGVNPTICELD EINRGKEIEQALAQLDCSPTVPVVFIVGQLVSGANQVMSLHLNRSLVPMLKRVGALWL " gene 10321746..10322393 /locus_tag="BRARA_H00815" /db_xref="Phytozome:Brara.H00815" mRNA 10321746..10322393 /locus_tag="BRARA_H00815" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00815" CDS 10321892..10322200 /locus_tag="BRARA_H00815" /codon_start=1 /product="hypothetical protein" /protein_id="RID50059.1" /db_xref="Phytozome:Brara.H00815" /translation="MEKLQKMISEKSVVIFSKNSCCMSHTIKTLFLDFGVNPTIYELD EINKGKEIEQALAQLGCSPTVPVVFIGGQLVGGANQVMSLHLNRSLVPMLKRVGALWL " gene complement(<10333257..>10334351) /locus_tag="BRARA_H00816" /db_xref="Phytozome:Brara.H00816" mRNA complement(<10333257..>10334351) /locus_tag="BRARA_H00816" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00816" CDS complement(10333257..10334351) /locus_tag="BRARA_H00816" /codon_start=1 /product="hypothetical protein" /protein_id="RID50060.1" /db_xref="Phytozome:Brara.H00816" /translation="MTSRRLQNAIENQTLEIKIISANDVRYIDGKDKMDVYAVVSMKD DYTQTKQAAKTPIDYDGGCNPTWNHTVKFSVNEKAAGEGLLTVNVKLYSYWLEGEDDL YLGEVSVSLQELLAYNPLPPFANGNVNKMKLVTYPIKTIEEAKRNAKLSFSYRFNSVK DIYPNPGPTGSCQPVIYSPQLHTTTVTKLTLELVIKCAKDIEKVTNILDEMDVYALVT IRDGKKSVKHKSSTPAVFCAYQNPKWDHAVEFSLDEPLARDGSLTLFLELMSLRPFLG DKQIGHVNVSIQELLRLKLPLTNGDSNDMMLVTESVSGSYGKKGTLSFAYRFLAEQVT LLKPSPSTNRLPVSYQTQQQQSQPPPQNSQ" gene complement(<10336368..>10337714) /locus_tag="BRARA_H00817" /db_xref="Phytozome:Brara.H00817" mRNA complement(<10336368..>10337714) /locus_tag="BRARA_H00817" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00817" CDS complement(10336368..10337714) /locus_tag="BRARA_H00817" /codon_start=1 /product="hypothetical protein" /protein_id="RID50061.1" /db_xref="Phytozome:Brara.H00817" /translation="MACSELQRAIENPVLELKIVSASDLSHVDATDKMDVYAVVSIHG EGTHKTQTAKTPIDYDGGLNPTWNHTVKFLCNEEEGREGRLTLKVELFSYLLERKEDL YLGEVNVSVQELFASDPRPFGNGNVHKMKSMTCPIKVTEEGSTNARLCLLYRFKPLPV DDSCPPVPQDHSLSIGQPVYPNPEPAIPGQPVVFSPRFQTTTTKLILEIVIKFAKDIE DVNAFSAMDVYASVAILKDRKVKDRINTPVAFSANTNPKWNQTIKFSLDEKLAQEGRL MLLVELMSHRPFLGDKEIGFVRLPMKQLLGSNPPASGDANGMKLETHALTGPYGKKGV VSFTYRFLAEQLRVSTVPTPSTTSQPYIMYLPVSPHSYSSSDPIQLTSSYVTVQQGKN AGQGNGLVPIYMSPQYQSHGYQQYSPRNPQPPPQHSQLKPLTREPFSQSMPDTQEA" gene complement(10345534..10348266) /locus_tag="BRARA_H00818" /db_xref="Phytozome:Brara.H00818" mRNA complement(join(10345534..10345790,10346072..10346229, 10346356..10346485,10346664..10346770,10346856..10346949, 10347045..10347241,10347476..10347547,10347648..10347762, 10348040..10348156,10348247..10348266)) /locus_tag="BRARA_H00818" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00818" mRNA complement(join(10345534..10346229,10346356..10346485, 10346664..10346770,10346856..10346949,10347045..10347241, 10347476..10347547,10347648..10347762,10348040..10348156, 10348247..10348266)) /locus_tag="BRARA_H00818" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00818" CDS complement(join(10346080..10346229,10346356..10346485, 10346664..10346770,10346856..10346949,10347045..10347241, 10347476..10347547,10347648..10347762,10348040..10348104)) /locus_tag="BRARA_H00818" /codon_start=1 /product="hypothetical protein" /protein_id="RID50062.1" /db_xref="Phytozome:Brara.H00818" /translation="MRGDLDKANEILPTIPKEQHNNVAHFLESRGMIEDALEIATDPD YRFELAIQLGRLEIAKEIAEEVQSESKWKQLGELAMSSGKLQLAEDCMKYATDLSGLL LLYSSLGDAEGMSKLASLAKEQGKNNVAFLCLFMLGRLEDCLQLLVESNRIPEAALMA RSYLPSKVSEIVALWRKDLSKVNSKAAESLADPEEYPNLFEDWQVARSVEANAVEARG VYAAAENYATQADQPFITLVEAFRNLQVEAEEPLENGDRDDEVAEENGEEENEGGEEE VNQEEGVVDEDSTDGSAEGEEEWGTNSKDNQSA" CDS complement(join(10346080..10346229,10346356..10346485, 10346664..10346770,10346856..10346949,10347045..10347241, 10347476..10347547,10347648..10347762,10348040..10348104)) /locus_tag="BRARA_H00818" /codon_start=1 /product="hypothetical protein" /protein_id="RID50063.1" /db_xref="Phytozome:Brara.H00818" /translation="MRGDLDKANEILPTIPKEQHNNVAHFLESRGMIEDALEIATDPD YRFELAIQLGRLEIAKEIAEEVQSESKWKQLGELAMSSGKLQLAEDCMKYATDLSGLL LLYSSLGDAEGMSKLASLAKEQGKNNVAFLCLFMLGRLEDCLQLLVESNRIPEAALMA RSYLPSKVSEIVALWRKDLSKVNSKAAESLADPEEYPNLFEDWQVARSVEANAVEARG VYAAAENYATQADQPFITLVEAFRNLQVEAEEPLENGDRDDEVAEENGEEENEGGEEE VNQEEGVVDEDSTDGSAEGEEEWGTNSKDNQSA" gene complement(<10350759..>10353362) /locus_tag="BRARA_H00819" /db_xref="Phytozome:Brara.H00819" mRNA complement(join(<10350759..10350872,10350976..10351126, 10351336..10351460,10351910..10352041,10352144..10352469, 10352559..10352595,10352732..10352786,10352878..10352939, 10353050..10353070,10353142..10353252, 10353360..>10353362)) /locus_tag="BRARA_H00819" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00819" CDS complement(join(10350759..10350872,10350976..10351126, 10351336..10351460,10351910..10352041,10352144..10352469, 10352559..10352595,10352732..10352786,10352878..10352939, 10353050..10353070,10353142..10353252,10353360..10353362)) /locus_tag="BRARA_H00819" /codon_start=1 /product="hypothetical protein" /protein_id="RID50064.1" /db_xref="Phytozome:Brara.H00819" /translation="MLSAIVESETIRILLCSYFHEIEYSVSHFWFMRLNMDLPLRLDI KKKFAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQTQTITKSFEVTELPVRSAKF IPRKQWVVAAADDMYIRVYNYNTMDKVKVFEAHSDYIRCVAVHPTLPYVLSSSDDMLI KLWDWENGWACTQIFEGHSHYVMQVVFNPKDTNTFASASLDRSIKIWNLGSPDPNFTL DAHQKGVNCVDYFTGGDKPYLITGSDDQTAKFLSRTRFILICYYYFYFFRLENTLNYA LERVWAIGYIKSSRRVVIGYDEGTIMVKLGREIPVASMDSSGKIIWAKHNEIQTANIK SIGASFEVTDGERLPLAVKDLGTCDLYPQVSVTIQHRENLTLLC" gene complement(<10358660..>10359550) /locus_tag="BRARA_H00820" /db_xref="Phytozome:Brara.H00820" mRNA complement(<10358660..>10359550) /locus_tag="BRARA_H00820" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00820" CDS complement(10358660..10359550) /locus_tag="BRARA_H00820" /codon_start=1 /product="hypothetical protein" /protein_id="RID50065.1" /db_xref="Phytozome:Brara.H00820" /translation="MANLSLDLNIISARNLVNVNLITRMDVYGVITIQGDATQNEKKV KTAVDRSGGSNPTWNHAVEFSVDERLARDSRLTLAMRLTCRRVLGNKNIGGVNVSLLE LLKSCTPSIKGDVNGQEMTFVTYQVKSPSGKRKGYLTFAYRFNKTPIKPEIPAVLNRS SVGTEGFSPTSYPPPSAPSEIEHLPSVPPERSTECRQVDSDHRKHLLVAGSSFDPLPV SYGGAGSSPYDKFGYAYHHRSPPQSSNAYFAPPETRHQGYGPYGSATPSPPKGIGLGI LGGLIMGDIIVSDVVNCFDL" gene complement(10372205..10377995) /locus_tag="BRARA_H00821" /db_xref="Phytozome:Brara.H00821" mRNA complement(join(10372205..10372825,10375959..10376187, 10377256..10377484,10377567..10377713,10377834..10377995)) /locus_tag="BRARA_H00821" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00821" CDS complement(join(10372305..10372825,10375959..10376187, 10377256..10377484,10377567..10377713,10377834..10377904)) /locus_tag="BRARA_H00821" /codon_start=1 /product="hypothetical protein" /protein_id="RID50066.1" /db_xref="Phytozome:Brara.H00821" /translation="MEELDIVIVGGGIAGLATSLALHRKGIKSIVLERSESVRSEGAA FGIQTNGWRALQQLGVADKLRLNSLPIHQIRDVMIEKNIKQRESVGPASHGEVRCVIR NDMVRALAHALPVGTLRLGSQIVSVKLDEATSFPIVHLRNGQDIKAKVLIGCDGSNSI VSKFLGLNPTKALGSRAVRGFTNYPNGHVFQQEFIRIKMDNVVSGRLPITRNLVFWFV VMLKCPQDSNNLKNQEDVARLTLASVCQFPEEWKEMVKKCDIDSLYISRLRYRSPWDV MSSKFRRGTVTVAGDSMHLMGPFIGQGCSAALEDGVVLARCLWSKLGQDGMNNVSSRK QIEEAIDEYVRERRGRLVGLSTQTYLTGRLIEASSPATKLLLIVLLMILFRDHIGHTR YDCGRL" mRNA complement(join(10373060..10373706,10375959..10376187, 10377256..10377484,10377567..10377713,10377834..10377995)) /locus_tag="BRARA_H00821" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00821" mRNA complement(join(10373060..10373709,10375959..10376187, 10377256..10377484,10377567..10377713,10377834..10377995)) /locus_tag="BRARA_H00821" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00821" CDS complement(join(10373456..10373706,10375959..10376187, 10377256..10377484,10377567..10377713,10377834..10377904)) /locus_tag="BRARA_H00821" /codon_start=1 /product="hypothetical protein" /protein_id="RID50068.1" /db_xref="Phytozome:Brara.H00821" /translation="MEELDIVIVGGGIAGLATSLALHRKGIKSIVLERSESVRSEGAA FGIQTNGWRALQQLGVADKLRLNSLPIHQIRDVMIEKNIKQRESVGPASHGEVRCVIR NDMVRALAHALPVGTLRLGSQIVSVKLDEATSFPIVHLRNGQDIKAKVLIGCDGSNSI VSKFLGLNPTKALGSRAVRGFTNYPNGHVFQQEFIRIKMDNVVSGRLPITRNLVFWFV VMLKCPQDKELLCANDSNFGLHQLLLLAMPLFDSSLQTVDEASFSALLFTINFSFFLT VDKKLLCANDRFFNLALHQSPISNDFTSTVSL" CDS complement(join(10373456..10373709,10375959..10376187, 10377256..10377484,10377567..10377713,10377834..10377904)) /locus_tag="BRARA_H00821" /codon_start=1 /product="hypothetical protein" /protein_id="RID50067.1" /db_xref="Phytozome:Brara.H00821" /translation="MEELDIVIVGGGIAGLATSLALHRKGIKSIVLERSESVRSEGAA FGIQTNGWRALQQLGVADKLRLNSLPIHQIRDVMIEKNIKQRESVGPASHGEVRCVIR NDMVRALAHALPVGTLRLGSQIVSVKLDEATSFPIVHLRNGQDIKAKVLIGCDGSNSI VSKFLGLNPTKALGSRAVRGFTNYPNGHVFQQEFIRIKMDNVVSGRLPITRNLVFWFV VMLKCPQVDKELLCANDSNFGLHQLLLLAMPLFDSSLQTVDEASFSALLFTINFSFFL TVDKKLLCANDRFFNLALHQSPISNDFTSTVSL" gene <10393616..>10394179 /locus_tag="BRARA_H00822" /db_xref="Phytozome:Brara.H00822" mRNA <10393616..>10394179 /locus_tag="BRARA_H00822" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00822" CDS 10393616..10394179 /locus_tag="BRARA_H00822" /codon_start=1 /product="hypothetical protein" /protein_id="RID50069.1" /db_xref="Phytozome:Brara.H00822" /translation="MRPLDENETTVVFEKIFKFVGNNLKNIVENPSHEGPEPEPGRYC FRLQKSRVYYVSESLVKRATNISRKSLVSLGTCIGKYTHAGSFHLTIMSLNLLAANAK HKVWLKPTSEMSFLYGNHVLKGGLGRITDSIVPGDGVVVFSMSDVPLGFGIAAKSTQD CRKLDPNGIVVLHQADIGEYLRDEDEL" gene complement(<10394893..>10395688) /locus_tag="BRARA_H00823" /db_xref="Phytozome:Brara.H00823" mRNA complement(join(<10394893..10395042,10395146..10395208, 10395404..10395524,10395606..>10395688)) /locus_tag="BRARA_H00823" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00823" CDS complement(join(10394893..10395042,10395146..10395208, 10395404..10395524,10395606..>10395688)) /locus_tag="BRARA_H00823" /codon_start=1 /product="hypothetical protein" /protein_id="RID50070.1" /db_xref="Phytozome:Brara.H00823" /translation="RVKADFKKRYGGGKASAAIAKSLNKEFMPVMKEHMKYIVDHAEE IEKLIKVKAQVSEVKNIMLENIDKAIDRGENLTVLSDKTENLRSQAQEYKKQGTQVRR KLWYQNMKIKLVVLGILLLLVLIIWLSICHGFDCTD" gene <10402463..10403117 /locus_tag="BRARA_H00824" /db_xref="Phytozome:Brara.H00824" mRNA join(<10402463..10402467,10402568..10402601, 10402679..10402750,10402839..10403117) /locus_tag="BRARA_H00824" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00824" CDS join(10402463..10402467,10402568..10402601, 10402679..10402750,10402839..10402937) /locus_tag="BRARA_H00824" /codon_start=1 /product="hypothetical protein" /protein_id="RID50071.1" /db_xref="Phytozome:Brara.H00824" /translation="MRRLNLEVEQLREEFKDLKTTLNQQQDDVSASLKTPGLRDDSKD SKEQMVTEERVEARLTDENAKEAEH" gene complement(10403601..10404722) /locus_tag="BRARA_H00825" /db_xref="Phytozome:Brara.H00825" mRNA complement(join(10403601..10403901,10404044..10404086, 10404190..10404213,10404295..10404351,10404574..10404722)) /locus_tag="BRARA_H00825" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00825" CDS complement(join(10403765..10403901,10404044..10404086, 10404190..10404213,10404295..10404351,10404574..10404576)) /locus_tag="BRARA_H00825" /codon_start=1 /product="hypothetical protein" /protein_id="RID50072.1" /db_xref="Phytozome:Brara.H00825" /translation="MDGHDSEDSSKQSTADMTAFVQNLLQQMQTRFQTMSDSIITKID DMGGRINELEQSINDLRAEMGVEGTPPPPSKSGDEPKTPADST" gene complement(10405501..10406948) /locus_tag="BRARA_H00826" /db_xref="Phytozome:Brara.H00826" mRNA complement(join(10405501..10405934,10406016..10406210, 10406300..10406438,10406510..10406616,10406701..10406948)) /locus_tag="BRARA_H00826" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00826" CDS complement(join(10405683..10405934,10406016..10406210, 10406300..10406438,10406510..10406616,10406701..10406895)) /locus_tag="BRARA_H00826" /codon_start=1 /product="hypothetical protein" /protein_id="RID50073.1" /db_xref="Phytozome:Brara.H00826" /translation="MALRNIENALPISQERPKKLPKLSKNPEICLNDENNNIVPPPES TIDYVASENLKPFPDPESSVQRLLEELASKDWIKVCESLNNTRRFAVHHSFLLLPILE KLMVVMVKAMKNPRSALCKTSIMACSDIFIAFGEKLTLLKTMDDLLLQLLMKASQDKK FVCEEADKALNTMVNSVSRLSLLRKLKTYVRHSNPRVRAKAAVSTSNCVSKMEVNEME EFGMVVIAQMAADLLNDKLPEAREAARSMVNSVYEKFTWNEEEEGEEGNKQEAWQRFC EKNLTGLNAQAMIKIVSSQ" gene 10418807..>10419450 /locus_tag="BRARA_H00827" /db_xref="Phytozome:Brara.H00827" mRNA 10418807..>10419450 /locus_tag="BRARA_H00827" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00827" CDS 10419112..10419450 /locus_tag="BRARA_H00827" /codon_start=1 /product="hypothetical protein" /protein_id="RID50074.1" /db_xref="Phytozome:Brara.H00827" /translation="MADGESRDRGLTTGSFTFSETEISCPTTFDDEGRGASIPDSMEI TGERLVERRREDAGTSLSTTIHRGLAEFQVGDLLGFAFFVASWGRVGFTCVQKFVQLI VGFNYKPTNL" gene 10419932..10423791 /locus_tag="BRARA_H00828" /db_xref="Phytozome:Brara.H00828" mRNA join(10419932..10420856,10421283..10421362, 10421441..10421534,10421620..10421718,10421997..10422086, 10422186..10422305,10422613..10422716,10423178..10423250, 10423418..10423791) /locus_tag="BRARA_H00828" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00828" CDS join(10420086..10420856,10421283..10421362, 10421441..10421534,10421620..10421718,10421997..10422086, 10422186..10422305,10422613..10422716,10423178..10423250, 10423418..10423480) /locus_tag="BRARA_H00828" /codon_start=1 /product="hypothetical protein" /protein_id="RID50075.1" /db_xref="Phytozome:Brara.H00828" /translation="MSAVAINRKRSEDSLYSNHPSTAQFRRHSPYFQAPKKRRFSFGT MSQDPNKPSSRISRYPDAKTPLRRAIHAPSRANLIYGGSPTAKPNDCCEKGEFFTREY DNAKRSALDAFRFLNKDKEFIDLEEEEEEAVSQDSAIEVIDCDEEKLVKDDDNNKKKV EVVDCDDDDDDQEENIQTPSDVNKFGVGETSTMLDSLSLGREETTDASSLEAYRKLMH SAERRNSKLEALGFEILFNEKRLSQLRKSRPKPLEKPLKKVPHEPFIPLTKEEEAEVY CAFSGRNRRKVLVTHESSNIDITGEVLQCLTPSAWLNDEVINVYLELLKERETREPKN YLKCHFFNTFFYKKLVSDSGYNFKAVRRWTTQKRLGYALIDCDMIFVPIHRGVHWTLA VINNRDRKFLYLDSLNGVDSKILSVLSKYLGDEAKEKSGKDIDVSSWEMEFVEGIPQQ QNGYDCGMFMLKYIDFFSRGLGLCFSQEHMPYFRLRTAKEILKLRAD" gene <10427072..>10427447 /locus_tag="BRARA_H00829" /db_xref="Phytozome:Brara.H00829" mRNA join(<10427072..10427154,10427232..10427286, 10427325..>10427447) /locus_tag="BRARA_H00829" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00829" CDS join(10427072..10427154,10427232..10427286, 10427325..10427447) /locus_tag="BRARA_H00829" /codon_start=1 /product="hypothetical protein" /protein_id="RID50076.1" /db_xref="Phytozome:Brara.H00829" /translation="MAARSLPGAVKSLFSTASGSVSRSIVLRSNYVATSPGLLSKGCS TRAESAWAPDPVTGYYRPSNCADEIDPAELRKMLLENKAKPF" gene complement(10435991..10439882) /locus_tag="BRARA_H00830" /db_xref="Phytozome:Brara.H00830" mRNA complement(join(10435991..10436329,10437139..10437258, 10438773..10438937,10439237..10439447,10439560..10439596, 10439717..10439882)) /locus_tag="BRARA_H00830" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00830" CDS complement(join(10436174..10436329,10437139..10437258, 10438773..10438937,10439237..10439447,10439560..10439596, 10439717..10439750)) /locus_tag="BRARA_H00830" /codon_start=1 /product="hypothetical protein" /protein_id="RID50077.1" /db_xref="Phytozome:Brara.H00830" /translation="MAEASFYIGVVGNVISVLVFLSPVETFWKIVKRKSTEEYKSLPY ICTLLGSSLWTYYGIVTPGEYLVSTVNGFGALVEIIYVSLFVLYAPRHLKLHTIVVVS MLNVLFPIAAIEATRSAFKDEKTRSQSMGFICAGLNIIMYGSPLSAMKTVVTTKSVKY MPFWLSFFLFLNGAIWAVYASLQHDVFLLVPNGVGFVFGTMQLILYGIYRNAKPVGSR KGSSDIVADEENSLTSRVPLLT" gene 10450993..10452507 /locus_tag="BRARA_H00831" /db_xref="Phytozome:Brara.H00831" mRNA join(10450993..10451299,10451587..10451692, 10452223..10452507) /locus_tag="BRARA_H00831" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00831" CDS join(10451120..10451299,10451587..10451692, 10452223..10452305) /locus_tag="BRARA_H00831" /codon_start=1 /product="hypothetical protein" /protein_id="RID50078.1" /db_xref="Phytozome:Brara.H00831" /translation="MSEGRIKKSVNGGAPAQTNRDDRRSSVEASSQGAGKQRAVIKSA DMKEDMQKEAIDIAITAFEKNSVEKDIAENIKKEFDKKHGATWHCIVGRNFGSYVTHE TNHFVYFYLDQKAVLLFKSG" gene 10452696..10454426 /locus_tag="BRARA_H00832" /db_xref="Phytozome:Brara.H00832" mRNA join(10452696..10452843,10452930..10453095, 10453183..10453235,10453446..10453508,10453808..10453858, 10453955..10454072,10454152..10454426) /locus_tag="BRARA_H00832" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00832" CDS join(10452730..10452843,10452930..10453095, 10453183..10453235,10453446..10453508,10453808..10453858, 10453955..10454072,10454152..10454264) /locus_tag="BRARA_H00832" /codon_start=1 /product="hypothetical protein" /protein_id="RID50079.1" /db_xref="Phytozome:Brara.H00832" /translation="MTMTTSMIQRLFTQGTKIVCVGRNYAAHAKELGNAVPKEPVIFL KPTSSYLENGGTIEIPHPLESLHHEVELAVVMGEKARDVPEATAMDYIGGYAVALDMT ARELQASAKASGLPWTLAKGQDTFTPISSVLPKAMVHDPDNLELWLKVDGETRQKGLT KDMIFKVPYLISYISSIMTLYEGDVILTGTPEGVGPVKIGQKITAGITGLSEVQFDVD RRVKPLS" gene 10454851..10456810 /locus_tag="BRARA_H00833" /db_xref="Phytozome:Brara.H00833" mRNA join(10454851..10455058,10455136..10455301, 10455386..10455438,10455909..10455971,10456174..10456224, 10456311..10456428,10456499..10456810) /locus_tag="BRARA_H00833" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00833" CDS join(10454963..10455058,10455136..10455301, 10455386..10455438,10455909..10455971,10456174..10456224, 10456311..10456428,10456499..10456611) /locus_tag="BRARA_H00833" /codon_start=1 /product="hypothetical protein" /protein_id="RID50080.1" /db_xref="Phytozome:Brara.H00833" /translation="MATSMIERQFKQGTKIVGVSRNYAAHVNALPKEPVIFLKPTSSY LENGGTIEIPHPMDSLYHEVELAVVIAKKARDVPESTAMEYVGGYAVALDMSAREIQA SAKASGLPWTLGKGQDTFTPIGSVLPKAMVHDPDNLELWLKVDGETRQKGLTKDMIFK VPCLISYISSFMTLYEGDVILTGTPEGVGPVKIGQKITAGITGLSEVQFDVDRRVKPL S" gene 10460604..10463668 /locus_tag="BRARA_H00834" /db_xref="Phytozome:Brara.H00834" mRNA join(10460604..10460858,10460934..10460999, 10461084..10461224,10461383..10461460,10462767..10462817, 10462928..10462962,10463059..10463170,10463248..10463668) /locus_tag="BRARA_H00834" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00834" CDS join(10460724..10460858,10460934..10460999, 10461084..10461224,10461383..10461460,10462767..10462817, 10462928..10462962,10463059..10463170,10463248..10463469) /locus_tag="BRARA_H00834" /codon_start=1 /product="hypothetical protein" /protein_id="RID50081.1" /db_xref="Phytozome:Brara.H00834" /translation="MDARAVLLIGEPDNYDGVTVTMEEPMDAEVFTARLRASLSQWRQ EGKRGIWIKLPLGLANLVEPAVSEGFRYHHAEPEYLMLVSWISNTPDTIPANASHIVG VGALVLNKNTREVLVVQEKSGYFKDKNVWKLPTGVVNEGEDICTGVAREVEEETGIVA DFVEVLSFRQSHKAFLKQKTDLFFLCVLSPRAYEITEQKSEILQAKWMPIKEYVDQPW NQKKEMFKIMANICQKKCDEDYVGFSTVETKTGTGKKSFVYCNADHAKSLNATRGQAS SSL" gene complement(<10463756..>10464867) /locus_tag="BRARA_H00835" /db_xref="Phytozome:Brara.H00835" mRNA complement(join(<10463756..10463990,10464074..10464206, 10464744..>10464867)) /locus_tag="BRARA_H00835" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00835" CDS complement(join(10463756..10463990,10464074..10464206, 10464744..>10464867)) /locus_tag="BRARA_H00835" /codon_start=1 /product="hypothetical protein" /protein_id="RID50082.1" /db_xref="Phytozome:Brara.H00835" /translation="KPGEIEAEFKELGTEKVLKEFFKHKKAGPLYLPKGKLSRAIALK RSEDAASATKQWLTQEDLDYYVSKYEKKGFTGTINYYRNIDRNWELTAPWTGAKIGEP VKFIVGDQDLTYNSPGIKEYIHGGGFKRDVPLLDETVVLNGVGHFLHEINQRIHSVFQ KFL" gene 10468374..10469488 /locus_tag="BRARA_H00836" /db_xref="Phytozome:Brara.H00836" mRNA 10468374..10469488 /locus_tag="BRARA_H00836" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00836" CDS 10468562..10469215 /locus_tag="BRARA_H00836" /codon_start=1 /product="hypothetical protein" /protein_id="RID50083.1" /db_xref="Phytozome:Brara.H00836" /translation="MSLRDPNPVTNRPGSFSDPAGFAINSRIMFTAIIIIVFFVILML SLHLYSRCYLHRSRRFHIRRLNRSRRAAAAMTFFADPSSSTSEVTTRGLDPSVVKSLP TFTFSAATATDAIECAVCLSEFEESEPGRVLPNCKHAFHVECIDMWFLSHSSCPLCRS LVEPFAGGVKTAAEEVAISISDPVSGDTNDVIGAGTSDHEDSRGKPAAIEVPTRNRD" gene complement(10473139..>10476581) /locus_tag="BRARA_H00837" /db_xref="Phytozome:Brara.H00837" mRNA complement(join(10473139..10473576,10473671..10474055, 10474873..10475728,10475940..>10476581)) /locus_tag="BRARA_H00837" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00837" CDS complement(join(10473276..10473576,10473671..10474055, 10474873..10475728,10475940..10476581)) /locus_tag="BRARA_H00837" /codon_start=1 /product="hypothetical protein" /protein_id="RID50084.1" /db_xref="Phytozome:Brara.H00837" /translation="MKRFAFLGITSLFMTMALCAEADEGSSPSRKTEEIHRGSKLMIT KTAVSIICSSTDYKQECIASLETVKSPDPRNLIRAAFDLAIISIRSGINRGMTDIESR ADADVRTRDALNSCWELMDFAIDDLLKTRDTFKGFLFTRLSDFIDDLLVWLSGSVTYQ QTCIDGFEGIDSIAAEIMEMVMRKGQHLTSNGLAIASNLDALLKIFRIPIPFLRPGSG GLGIFRSDSPEEQPLDSPEGSPQMGDSSDNQQLDSSEVSPQMGDSSENQRLDSSKDSP LQNLNGPKKRPLDSSENQPMDSYKNQPLDSSENQSLDSSKYSPHNLDPSKNQQPDLSE SRPSDSYTTQQLDSSRNQPLDSSENTRQKLHSSENRPMDPLRALNPFGRLEDRHLSEE GGFPRWVTTHSRRLLAARGRRIRANVVVAKDGSGKCKTIKQALAMVPMKNRRKFVIYI KQGVYKEKIEVTKKMKNVMFVGDGPTKTIITGNVAFLPDRIGTYRTSTVAVNGDYFMA KDIGFENTAGAARHQAVALRVSADFAVFFNCHMNGYQDTLYVHTHRQFYRDCRISGTI DFVFGDAKAVFQNCEFVIRRPMDNQQCIVTAQGRKDRRETTGIVIHNSRITGDATYRP VKTKNRAFLGRPWKEYSRTIIMNTNIDDVIDPEGWLKWNETFALKTLFYSEYRNRGRG SGQARRVRWRGIRRISARQARGFAPGEFLRGNAWITKRRIPYNAY" gene complement(<10479953..>10480363) /locus_tag="BRARA_H00838" /db_xref="Phytozome:Brara.H00838" mRNA complement(<10479953..>10480363) /locus_tag="BRARA_H00838" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00838" CDS complement(10479953..10480363) /locus_tag="BRARA_H00838" /codon_start=1 /product="hypothetical protein" /protein_id="RID50085.1" /db_xref="Phytozome:Brara.H00838" /translation="MNQKDRNTRITQKAFEMVDKVYGKSQKVTPPVLNVPRDEFARNF YQNFHEYGGPKVYTVREATSTASCRRVIYQYSNESTTKEPVVSHPKEHIQYFGGASPL MGHGNRLEGPKGRAISCDEAVQLYGGVLIKEYRH" gene 10492603..10494943 /locus_tag="BRARA_H00839" /db_xref="Phytozome:Brara.H00839" mRNA 10492603..10494943 /locus_tag="BRARA_H00839" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00839" CDS 10492604..10494661 /locus_tag="BRARA_H00839" /codon_start=1 /product="hypothetical protein" /protein_id="RID50086.1" /db_xref="Phytozome:Brara.H00839" /translation="MDSTSSHGNLVEEREELMVLPSENNTRPIIKKSHLLKPHVTTTL DGSEPSPGVKSSPLSVSFSGWRLPNQKFKSWFRKMSALHKPIWIQSGIFQAIKASTHK IRRNPSLILSLSQKWNPETKTFVFPWGEATITLEDVTLLLGFSLLGSSVYTPLESSEI KESVLKLEKRRKESGKQVSWISSFEDDQMEHEAFLAFWLSNFVFPEKQGCSVSKHVFS VAVRLARGERIALAPAVLACLYRDLGKVNALSSSTQNVDVRSLFKLVQVWIWERFKSV GPRPGVIPNGEPRIARWSGLRQGAESVRPFLLDDFDWRPYTKPLRNWNPPRFYNEKAK RVSDDDDDEFACCVRSSTLDGFGFTEGYYPNRVALQFGLAQDLTGLATLDGSKQHIPS RLTTASVSAAKYRDWWMRSVKEPAETFNASNTGDDDDDDVPLKVLPLSQVFQKLGDGM KKAEQVTNKKRKQASEDENEIAMDCCQTQDEEDDDDNITIAQRIKCRKKCGDVKDIEE DCSLPGLPQKQKLASGDEHNSSDPNVDSGAVDEMEEDGNITIAQIIKLTKKCVNVENT EGGEYAYGGVEVDNNVPDLPQKLASGDETVAVPAIKKMSVENDETSSSDPLVASNRIA EKEEEVDVVVVVDDGRLNQRKLGTDEIALQLEARILKVEKTLAKIRQWKMGENQTKTP VSA" gene 10506673..10507427 /locus_tag="BRARA_H00840" /db_xref="Phytozome:Brara.H00840" mRNA 10506673..10507427 /locus_tag="BRARA_H00840" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00840" CDS 10506791..10507297 /locus_tag="BRARA_H00840" /codon_start=1 /product="hypothetical protein" /protein_id="RID50087.1" /db_xref="Phytozome:Brara.H00840" /translation="METFHLLLFLSHFLFFTTYTTLTSAKSQIVDCTMCTSCDNPCQP NLSPPPPTPSPPPPATTTTTACPPPPRSGGSGSGGGPYYYYPPPSQSGSYRPPPSSSG DGGYYYPPPKSGGNYNPYTPPPNPIVPYFPFYYHSPPQQSVVSAGSDVKVKLSYVFSL VIIFALYL" gene <10516069..>10516443 /locus_tag="BRARA_H00841" /db_xref="Phytozome:Brara.H00841" mRNA <10516069..>10516443 /locus_tag="BRARA_H00841" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00841" CDS 10516069..10516443 /locus_tag="BRARA_H00841" /codon_start=1 /product="hypothetical protein" /protein_id="RID50088.1" /db_xref="Phytozome:Brara.H00841" /translation="MIMFMNATMSCAQKTTVVIHNDLGSGLSLGYHCQSGDNDLGYGG LGVGGSWFFRFKPDIFGRTLFFCRFSWGNESHYFDIYKQKRDKEFEKFGCTHCEWKIR KNGPCKLNKINNMFDVCLPWNS" gene complement(10526772..10530082) /locus_tag="BRARA_H00842" /db_xref="Phytozome:Brara.H00842" mRNA complement(join(10526772..10527184,10527301..10527675, 10527791..10527918,10528017..10528145,10528518..10528596, 10528674..10528837,10528923..10529108,10529189..10529320, 10529392..10529483,10529570..10529666,10529750..10530082)) /locus_tag="BRARA_H00842" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00842" CDS complement(join(10527303..10527675,10527791..10527918, 10528017..10528145,10528518..10528596,10528674..10528837, 10528923..10529108,10529189..10529320,10529392..10529483, 10529570..10529666,10529750..10529974)) /locus_tag="BRARA_H00842" /codon_start=1 /product="hypothetical protein" /protein_id="RID50089.1" /db_xref="Phytozome:Brara.H00842" /translation="MSLRPNARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKTKREES LLKKRREGLQSTQQPQFAPSSVASATSVEKKLESLPSMVGGVWSEDRNLQLEATTQFR KLLSIERSPPIEEVIAAGVVPRFVEFLKRDDYPQLQFEAAWALTNIASGTSEHTKVVI EHGAVPIFVQLLASQSDDVREQAVWALGNVAGDSPQCRDLVLGQGALLPLLSQLNEHA KLSMLRNATWTLSNFCRGKPQPPFEQVSPALPALERLIHSTDEEVLTDACWALSYLSD GINEKIQSVIQAGVVPRLVELLEHPSPSVLIPALRTIGNIVTGDDAQTQCVISHGALL SLLSLLTHNHKKSIKKEACWTISNITAGNRDQIQAVCEAGLIFPLVNLLQNAEFDIKK EAAWAVSNATSGGSPDQIKYMVDQGVVKPLCDLLICPDPRIITVCLEGLENILKAGEA EKLMGNTGDVNFYAQLIDDAEGLEKIENLQSHDNSEIYEKAVKILETYWLEEEDETLP PGDVSAQGFQFGGNDAAAPPGGFNFQ" gene 10548395..10553076 /locus_tag="BRARA_H00843" /db_xref="Phytozome:Brara.H00843" mRNA join(10548395..10548573,10548907..10549032, 10549103..10549218,10549303..10549391,10549483..10549528, 10549686..10549803,10549998..10550117,10550261..10550319, 10550403..10550825,10550909..10551609,10551705..10552254, 10552349..10552645,10552740..10553076) /locus_tag="BRARA_H00843" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00843" CDS join(10548510..10548573,10548907..10549032, 10549103..10549218,10549303..10549391,10549483..10549528, 10549686..10549803,10549998..10550117,10550261..10550319, 10550403..10550825,10550909..10551609,10551705..10552254, 10552349..10552645,10552740..10552790) /locus_tag="BRARA_H00843" /codon_start=1 /product="hypothetical protein" /protein_id="RID50090.1" /db_xref="Phytozome:Brara.H00843" /translation="MAGVDSGRLIGSEIHGFHTLQDLDIRTMLEEAYTRWLRPNEIHA LLSNHNYFTINVKPVHLPKSGTIVFFDRKMLRNFRKDGHNWKKKKDGKTIKEAHEHLK VGNEERIHVYYAHGDDNPTFVRRCYWLLDKSQEHIVLVHYRETHEVQAAPATPGNSYS SSTTDHVSAKPVTEDINSGVRNACNTARSNSLVARNHEISLHEINTLDWDELLVETGM TNQSSPTQDDVLYFTEQLQTAAMGSAQQGNHHAVYNGSTDIPSYLGLGDPVYQNNSPC GAREFSSQHLHCVVDPNQQTRDSSATVADEQGDALLNNGYGSQESFGKWVNNFISDSP GSVDDPSLEAVYTPGQESSAPPAVVHSQSNIPEQVFNITDVSPAWAYSTEKTKILVTG FFHDSFQHFGRSNLFCICGELRVPAEFLQMGVYRCFLPPQSPGIVNLYLSADGTKPIS QLFSFEHRSVPVIEKVVPQEDQLYKWEEFEFQVRLSHLLFTSSSKISVFSSRISADNL LEAKKLASRTSHLLNSWAYLMKSIQANELPFDQARDHLFELTLKNRLKEWLLEKVIEN RNTKEYDSKGLGVIHLCAVLGYTWSILLFSWANISLDFRDKHGWTALHWAAYYGREKM VAALLSAGARPNLVTDPTKEYLGGCTAADIAQQKGYEGLAAFLAEKCLVAQFRDMKMA GNISGNLEGVKAETSTNPGHSNEEEQSLKDTLAAYRTAAEAAARIQGAFREHELKVRS KAVRFASKEEEAKNIIAAMKIQHAFRNYETRRKIAAAARIQYRFQTWKMRREFLNMRK KAIKIQAVFRGFQVRRQYQKITWSVGVLEKAILRWRLKRRGFRGLQVSQPEEKEGTEA VEDFYKTSQKQAEDRLERSVVRVQAMFRSKKAQQDYRRMKLAHEEAQLEYDGMQELDQ MDMES" gene <10560513..>10564706 /locus_tag="BRARA_H00844" /db_xref="Phytozome:Brara.H00844" mRNA join(<10560513..10560796,10564544..>10564706) /locus_tag="BRARA_H00844" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00844" CDS join(10560513..10560796,10564544..10564706) /locus_tag="BRARA_H00844" /codon_start=1 /product="hypothetical protein" /protein_id="RID50091.1" /db_xref="Phytozome:Brara.H00844" /translation="MDIPKRHLSLFILIIFITTYSSNAGTNNNIPVPYGPSPPTYASS SPYARKTVEIINDIGSIVSYHCKSKDDDFGVRSLQVDSSWSFSFGRQIFGSYWFDIYK EPRDSSGEFWCNNCVWKIRPLGPCRFNKITHEFDLCYPWNKNKSLY" gene <10567104..>10569654 /locus_tag="BRARA_H00845" /db_xref="Phytozome:Brara.H00845" mRNA join(<10567104..10567224,10567291..10567319, 10567515..10567548,10567651..10567674,10569372..10569385, 10569508..>10569654) /locus_tag="BRARA_H00845" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00845" CDS join(10567104..10567224,10567291..10567319, 10567515..10567548,10567651..10567674,10569372..10569385, 10569508..10569654) /locus_tag="BRARA_H00845" /codon_start=1 /product="hypothetical protein" /protein_id="RID50092.1" /db_xref="Phytozome:Brara.H00845" /translation="MDKSGGRKVMDEIRSFEKASLFDLGHPLLNRIADSFVKAAGESS KSLDALVKNTGKESLQWGLAAGLYSGAHDWRNSAVAGALTGGAMAMTPSESTSHEQVV QSALTGAAISTAANLLSSVF" gene 10572467..10573491 /locus_tag="BRARA_H00846" /db_xref="Phytozome:Brara.H00846" mRNA 10572467..10573491 /locus_tag="BRARA_H00846" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00846" CDS 10572675..10573295 /locus_tag="BRARA_H00846" /codon_start=1 /product="hypothetical protein" /protein_id="RID50093.1" /db_xref="Phytozome:Brara.H00846" /translation="MESINQPTSIGYDQSRRKRKKKQPSPAPSQSSVQLWRSEKQQQI YSTNIIQSLRELRISAAAADQSPSLPPRGGGIAVRDAAYRSLAVTARGRTLWSRAILS KAVKVKLKFRKQNRPRYSNPPPTITGNNRLRKKRATVLRLKAKGLPAVQRKVKLLSRL IPGCRKQPLPVVLEETTDYIVAMEMQIRALNAIISAVGSGSSGGDR" gene complement(<10586524..>10587222) /locus_tag="BRARA_H00847" /db_xref="Phytozome:Brara.H00847" mRNA complement(<10586524..>10587222) /locus_tag="BRARA_H00847" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00847" CDS complement(10586524..10587222) /locus_tag="BRARA_H00847" /codon_start=1 /product="hypothetical protein" /protein_id="RID50094.1" /db_xref="Phytozome:Brara.H00847" /translation="MASKKPVNRPSVRHPSHNHPLRVFKAQNEDEIICSGCELDLTGQ AFKCTKDCDYFLHKSCFDLPCEINHKSHPDHPITLFYSPPYDHQSYECDACGEYGSGF TYNCSVCKYDVHVGCAFIPETVDREDHKHPLTLLYKIPCEDGKIYVCDVCEEEVSENL WTYYCKECDYGTHVHSCALDEDYEEEEEENRESSSAASRMKSLMKAQDEMAAMKLESR MKKAANNAIIYSIR" gene <10595436..>10599485 /locus_tag="BRARA_H00848" /db_xref="Phytozome:Brara.H00848" mRNA join(<10595436..10595536,10596796..10596891, 10597002..10597657,10597747..10598817,10598911..>10599485) /locus_tag="BRARA_H00848" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00848" CDS join(10595436..10595536,10596796..10596891, 10597002..10597657,10597747..10598817,10598911..10599485) /locus_tag="BRARA_H00848" /codon_start=1 /product="hypothetical protein" /protein_id="RID50095.1" /db_xref="Phytozome:Brara.H00848" /translation="MARRSCDVSKVRGVVVRRDRDLLVLVVRVVVDGRKNPSTFDIVE PPNTEELNEKKKNEIKDFFNCRYVSACEGAWRIFMFSIHYLSTPVERIQFHLPGKQII VFKDDDTWEEVTSRKSIENTMFLGWFELNKVSAVARTLTLAEIPTRFTWNKAGRKFQD RKKGFAIGRINYAPRKIEEAFYLRVLLNIVRGPTCEEDIKTFQGYEYPTYKETCFAMG LLEDDQEYIDDLVRASFTGSACYMRQAFVIMLMSNTLSKPEVVWEHTWEFLSEDIEHM RRRQLHRPDLCLSDSEKKQYALIEIEKLLKSNGTSLENWSKMPKPIPDIVNNNVLILD ELSYDQQELESVLERDLPKLTEEQRKIFDEITDAVFTQRGGVFFVNGFGGTGKTFLWR LLSAAVRVRREICLNVASSGIASLLLQGGRTAHSRFGIPINPDEFSTCTLIRGTDQAD LVKAASLIIWDEAPMMSKHCFESLDRSMLDICRDNEKRPFGGKVVVFGGDFRQVLPVI HGAGRAEIVMSALNSSYLWKNVKVLQLTKNMRLESNNLSPEEAIDLQEFSQWILDIGD GKIGEENDGETLIDIPEEFLILDIDDPISAISTAVYGDSSLLHEKEPKFFHERAILCP TNEDVNMINQHMLDKLDGEEKIYLSSDSIDASDRFSKKDQALTPDFLNRIKASGLPNH SLRLKVGCPVMLLRNIDPVGGLMNGARLQITELYDFMIMAKVITGEKVGRTVLIPRLS ITPSDKKLPFKMRRRQLPIGVAFAITINKSQGQTLSEVGIFLPRPVFSHGQLYVAVSR VTSKKGLKMLIVDSEGKPQRQTKNVVFREVFDNL" gene complement(<10606081..>10606575) /locus_tag="BRARA_H00849" /db_xref="Phytozome:Brara.H00849" mRNA complement(<10606081..>10606575) /locus_tag="BRARA_H00849" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00849" CDS complement(10606081..10606575) /locus_tag="BRARA_H00849" /codon_start=1 /product="hypothetical protein" /protein_id="RID50096.1" /db_xref="Phytozome:Brara.H00849" /translation="MDIPKRYPSLFILIVFIATDLSHSDTRNNIPVANGPSLLSTNDV FNPFGKITVEIINDIGGTVSLPFHCKSKNDDFGDRSLQPGGSWSFSFKRQFFGRTLFF CSFAFPNGIYYFDIFRDHRDTAGDDWCQNCVWKIRPTGPCRFNGGTKQFDICFPWNKN KSLY" gene complement(<10611935..>10612348) /locus_tag="BRARA_H00850" /db_xref="Phytozome:Brara.H00850" mRNA complement(<10611935..>10612348) /locus_tag="BRARA_H00850" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00850" CDS complement(10611935..10612348) /locus_tag="BRARA_H00850" /codon_start=1 /product="hypothetical protein" /protein_id="RID50097.1" /db_xref="Phytozome:Brara.H00850" /translation="MEIPKLYLSFFILIIFVTTDLSHAEIVVAIINDFGSTVQFHCKS KDIDLGNQRLQPGGSWSFHFQRNFFGRSLFFCSFDLPNGKRWFDIYKEPRDNLIGLWF QKYLWRIKPRGPCKHSNDDGWSGILLDNCFSWNKK" gene 10617253..10618586 /locus_tag="BRARA_H00851" /db_xref="Phytozome:Brara.H00851" mRNA join(10617253..10617402,10617599..10617674, 10618068..10618226,10618314..10618586) /locus_tag="BRARA_H00851" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00851" CDS join(10617374..10617402,10617599..10617674, 10618068..10618226,10618314..10618394) /locus_tag="BRARA_H00851" /codon_start=1 /product="hypothetical protein" /protein_id="RID50098.1" /db_xref="Phytozome:Brara.H00851" /translation="MSTMKFCRECNNILYPKEDREQSILLYACRNCDHQEAADDNCVY RNEVHHSVSEQTQILSDVASDPTLPRTKAVRCAKCQHGEAVFFQATARGEEGMTLFFV CCNPNCGHRWRE" gene <10625355..>10625762 /locus_tag="BRARA_H00852" /db_xref="Phytozome:Brara.H00852" mRNA <10625355..>10625762 /locus_tag="BRARA_H00852" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00852" CDS 10625355..10625762 /locus_tag="BRARA_H00852" /codon_start=1 /product="hypothetical protein" /protein_id="RID50099.1" /db_xref="Phytozome:Brara.H00852" /translation="MDIPKRYLSLFILIIFVTTDLSHAEIKVEILNHLGSTVQFHCKS KDIDLREQRLQPGGSWSFQFQRNIFGRSLFFCSFDLPNGRRWFDIYEEPRDYEGFWIH YLWRIKPSELCKYTIYKVGYSMRRDGCFIWNKN" gene 10627391..10634975 /locus_tag="BRARA_H00853" /db_xref="Phytozome:Brara.H00853" mRNA join(10627391..10627495,10627607..10627819, 10627903..10629081,10629441..10629576,10629732..10631951, 10632054..10632147,10632306..10632643,10632783..10633496, 10633609..10633683,10633823..10634423,10634510..10634611, 10634816..10634975) /locus_tag="BRARA_H00853" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00853" CDS join(10627952..10629081,10629441..10629576, 10629732..10631951,10632054..10632147,10632306..10632643, 10632783..10633496,10633609..10633683,10633823..10633888) /locus_tag="BRARA_H00853" /codon_start=1 /product="hypothetical protein" /protein_id="RID50101.1" /db_xref="Phytozome:Brara.H00853" /translation="MDGKGKRCGSKKESKVFDFEDDADDDEPIGSLLKVMKHKTATKS KVETETTGKQMKKLTHVRKDSEDMDDTLASFRKRLKGHKKRVRNDKDVPPVDTVTDSN LIPSPDMADDASELGREGTVNKKSLDDIYKTKVKSSTRSVILEKEADADDKSKRPLPN VDVYPPVDRKDVSVLSPGQHIHLGEPESESGHFREEKIVVCDCGIQFNFEDRSLESNS EVAICQKCKRSSHRDASNGGGIQVNALKDGTAEASPVSVTPYEDEDFRGDAVSLPNSG KPSTLQRPERIARKRKHENMVYGEDMNWENEQGFLDCQSDKSFKGSDKCDFGPFISKE IEIGRAAAVAAGLKAQSVSPVEKTILKEVLKRKGSHQEYLRCRNSILGLWSKNVSRIL PVTECVVGLSESEFPSAYLIREVYKFLDQRGYINTGVSSVRGKGGPSTNHDDDLSQGK KLEESYMASVANSEGDTFIFGQVKAVESTSEGKNCALQNDKTEIVGCATSAMLGSTSK TCEASIIDDCKHSVSINGLPADADAPKVEECPETVSVPKTALSSTPSSANCNQISGRD CVQCEIEDEKKVIVIGAGPAGLTAARHLQRQGFSVTVLEARSRVGGRVFTDRSSLSVP VDLGASIITGIEADVPSERMPDPSALVCNQLGLELSVLHGFCPLYDTVTGEKVPPELD DALQGEFNSLIDDMDLLVEEIGKDRANKMSLEDGLEYGLQRLRMPHEKVNIERFGIGN SINGSFSRTGITGTFKHDGRLKEDFLNPLERRVMNWHFAHTEYGCAAVLKEVSLSNWN QDEFYGGFGGPHAMIKGGYSRVAESLAEGLDIRLNNVVSEVSYTSDVSAMHNNKHKVI VSTSNGGEYLGDAVLVTVPLGCLKAETIKFSPPLPDWKYSSIKQLGFGVLNKVVLEFS KVFWDDSLDYFGATAEETDQRGECFMFWNVKKTVGAPVLIALVVGKAAVDYKDKSKSE HVNHAMMVLRKLFGGDLVPDPVASVVTDWGADPYSYGAYSYVAIGASGEDYDVLGRPV QNCLFFAGEATCKEHPDTVGGAMMTGVREAVRIIDILRSGNDYTAEIETLEKAQRKSV SIRDEVSDLIKRLEVVELSNVLARHSLLRNMFFSAKTTVGRLHLAKELLNLPGETLKS FAGTKEGLTVLNSWILDSMGKNGTQLLRHCVHILVRVTSDLFAVRLSGIGKTVKEKVC AHTSRDIRAIASQLVNVWLELYRKEKANREMKSLRRTNPTNISRIRRKQNSEDADSKG NLSNGNDTTTDGEIEDNQLPMSEEEKAVFATAEAARVAAEAAAKAFSEAYQSTSLQLP KIPSFHKFARREQYAKMDESDFKKKFPGNVLGRQDCMSEIDSRNCKVRDWYDFPASCL DPDSSRVQVDNCSQRSHSNELGSHSKFRECSRESGAAETSVFTGAWVDTGGSSDGVKD YNAIDRWQSQAAAADPEFFNRALHMKDEEGSMACSTGPPSWKHDQPANEGSMSQVTVN KEPRKSHIRGADRLKQGVVDFVASLLMTPYRAKKIDRDVYKSIMKKTATKVMQQTTDA EKAMAVPQFLDSKRKNKIRDFVDKQVDKYIAMAKVAKP" gene 10634677..10637559 /locus_tag="BRARA_H00854" /db_xref="Phytozome:Brara.H00854" mRNA join(10634677..10635707,10635803..10636168, 10636282..10636457,10636536..10636698,10636830..10637559) /locus_tag="BRARA_H00854" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00854" CDS join(10635959..10636168,10636282..10636457, 10636536..10636698,10636830..10637156) /locus_tag="BRARA_H00854" /codon_start=1 /product="hypothetical protein" /protein_id="RID50100.1" /db_xref="Phytozome:Brara.H00854" /translation="MGNVNARDEANSVHNNNASPLEDNDGEIHSRHHHQPMSSDTAPP PPPELMGQSPPQSPRATQSPLMFAPQVPVLPLQRPDEIHIPNPSWMQAPSYEEACNEQ GIPTMITWCHGGKEIAVEGSWDNWKTRSRLQRSGKDFTIMKVLPSGVYEYRFIVDGQW RHAPELPLARDDAGNTFNLLDLQDYVPEDIESISGFEPPQSPEASYSSLLLGAEDYSK EPPLVPPHLQMTLLNLPPASPDIPSPLPRPQHVILNHLYMQKGKSGPSVVALGSTHRF LAKYVTVVLYKSLQR" gene complement(10637616..10640725) /locus_tag="BRARA_H00855" /db_xref="Phytozome:Brara.H00855" mRNA complement(join(10637616..10638615,10638873..10639128, 10639215..10639784,10639869..10639966,10640201..10640725)) /locus_tag="BRARA_H00855" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00855" CDS complement(join(10637818..10638615,10638873..10639128, 10639215..10639784,10639869..10639966,10640201..10640686)) /locus_tag="BRARA_H00855" /codon_start=1 /product="hypothetical protein" /protein_id="RID50102.1" /db_xref="Phytozome:Brara.H00855" /translation="MDAEKASNDNNGHVEHERCPVEEVALVVPETDDPTLPVMTFRAW FLGLSSCVLLIFLNTFFTYRTQPLTISAILMQIAVLPIGKFMARTLPTTSHRLMGWEW SLNPGPFNIKEHVIITIFANCGVAYGGGDAYSIGAITVMKAYYKQSLSFICGLFIVLT TQILGYGWAGILRRYLVDPVDMWWPSNLAQVSLFRALHEKEHKSKGLTRMQFFLVALG ASFLYYALPGYLFPILTFFSWVCWAWPNSITAQQVGSGYHGLGVGAFTLDWAGISAYH GSPLVAPWSSILNVGVGFIMFIYIIVPVCYWKFDTFDARKFPIFSNQLFTSAGQKYDT TKILTPRFDLDINAYNNYGKLYLSPLFALSIGSGFARFTATLTHVALFNGRDIWRQTW SAVKTVKLDIHGKLMQRYKQVPEWWFYVLLVGSVALSLLMSFVWKESVQLPWWGMLFA FAMAFIVTLPIGVIQATTNQQPGYDIIGQFIIGYILPGKPIANLIFKIYGRISTVHAL SFLADLKLGHYMKIPPRCMYTAQLVGTVVAGVVNLGVAWWMLESIQDICDIEGDHPNS PWTCPKYRVTFDASVIWGLIGPKRLFGAGGMYRNLVWLFLIGAVLPVPVWAMSKIFPD KKWIPLINIPVISYGFAGMPPATPTNIASWLVTGTIFNYFVFNYHKRWWQKYNYVLSA ALDAGTAFMGVLLFFALQNAGHDLKWWGTEVDHCPLASCPTAPGIIAKGCPVF" gene 10649336..10650990 /locus_tag="BRARA_H00856" /db_xref="Phytozome:Brara.H00856" mRNA join(10649336..10649502,10649850..10649931, 10650053..10650990) /locus_tag="BRARA_H00856" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00856" CDS join(10649479..10649502,10649850..10649931, 10650053..10650744) /locus_tag="BRARA_H00856" /codon_start=1 /product="hypothetical protein" /protein_id="RID50103.1" /db_xref="Phytozome:Brara.H00856" /translation="MAEKGKEKVTMMKLKVDLDCAKCYKKVKKVLCKFPQIRDQIFDE KSNIVIIKVVCCSPEKIMDKLCSKGGGSIKTIEILEPPKPPQPQPQPPPQKPKDAPKA PEKPKEPEKPKEPEKPKQPEKPKEPEKPKEPEKPKQPEKPKEPEKPAAPKAAPAPAPA PAPAPAPAPAPAPAPVPKQPGPPPQMVPIMPQGQPAAMCCGPYYGGYGCGPTFNGYGM PPPQPYECYGRPVYDSWGGGPPPSYRQCHLTRCDYFSEENPQSCSIM" gene 10657636..10659989 /locus_tag="BRARA_H00857" /db_xref="Phytozome:Brara.H00857" mRNA 10657636..10659989 /locus_tag="BRARA_H00857" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00857" CDS 10657679..10659754 /locus_tag="BRARA_H00857" /codon_start=1 /product="hypothetical protein" /protein_id="RID50104.1" /db_xref="Phytozome:Brara.H00857" /translation="MSFHLCSSPSSLLHDPNLFSVHPKPTPRTFFSSYNPNSPPFHSR NLLQTIHVSIQEAIPQETHIEKPKLDAASKRNAWVNPKSPRASQLRRNSYDSRYSSLV KLAESLDACAPNEADVSDVITNFGSNLFEQDAVVTLNNMTNPETAPLVLINLLETVKP SREVILYNVTMKVLRKSKDLDKAEKLFDEMLQRGVKPDNATFTTLISCARQCGLPKRA VEWFEKMPSFGCEPDNVTLAAMIDAYGRAGNVEMALSLYDRARTEKWRIDAVTFSTLI RIYGYNGNYDGCLNIYEEMKSLGVKPNLVIYNRLLDSMGKAKRPWQAKIIHKDLISNG FEPNWSTYAALVRAYGRARYGDDALVIYREMKEKGLELTVILYNTLLSMCADIGYVDE AFEIFQDMKSCGTCEPDSWTFSSLITVYSCCGRVAEAEAALLEMREAGFEPTLFVLTS VIQCYGKAKQVDDVVRTFDQVLELGIEPDDRFCGCLLNVMTQTPREEIGKLIECVEKA KPKLGHVVKMLVQDESCEEGVLKKEASELIDSVGSDVKKAYLNCLIDLCVNLNKLEKA CEILQLGMECDIYSSLQSKSATQWSLHLKSLSLGAALTALHVWMNDLTEAALASGEEF PPLLGINTGHGKHKYSDKGLAAVVESHLKELNAPFHEAPDKVGWFLTTSVAAKEWLES RRSSGEVSA" gene <10660173..>10661533 /locus_tag="BRARA_H00858" /db_xref="Phytozome:Brara.H00858" mRNA join(<10660173..10660442,10661273..>10661533) /locus_tag="BRARA_H00858" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00858" CDS join(10660173..10660442,10661273..10661533) /locus_tag="BRARA_H00858" /codon_start=1 /product="hypothetical protein" /protein_id="RID50105.1" /db_xref="Phytozome:Brara.H00858" /translation="MGNCTPTLSCKHGNKVRDVWLDEMATEKTKDQPRETVGKKTGTR RCIKITLTRKQLEMLLKNAEGVSFKLPQTCGSGERRWKPSLQTILEIQARLKEYKLHF MVAIIMSVLVSALVYAAPRILDILAYFWPLFASTAAFLAVAITFGGFQQLSEETTGEG IMEYVAGRPDDSHKYD" gene complement(10661168..10664500) /locus_tag="BRARA_H00859" /db_xref="Phytozome:Brara.H00859" mRNA complement(join(10661168..10661967,10662052..10662273, 10662361..10662791,10662901..10662920,10663001..10663046, 10663118..10663193,10663282..10663407,10663506..10663571, 10663651..10663817,10663911..10663966,10664228..10664500)) /locus_tag="BRARA_H00859" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00859" mRNA complement(join(10661168..10661967,10662052..10662273, 10662361..10662791,10662901..10662920,10663001..10663046, 10663118..10663193,10663282..10663407,10663506..10663571, 10663651..10663823,10663911..10663966,10664228..10664500)) /locus_tag="BRARA_H00859" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00859" CDS complement(join(10661776..10661967,10662052..10662273, 10662361..10662791,10662901..10662920,10663001..10663046, 10663118..10663193,10663282..10663407,10663506..10663571, 10663651..10663817,10663911..10663966,10664228..10664277)) /locus_tag="BRARA_H00859" /codon_start=1 /product="hypothetical protein" /protein_id="RID50107.1" /db_xref="Phytozome:Brara.H00859" /translation="MGRSRGNFHNFEDPTQRTRKKKNAANVENFESSSMGTEGGGKYN CDYCQKDITGKIRIKCDVCPDFDLCVECMSVGAEITPHKCDHAYRVMGNLTFPLICPD WSADDEMLLLEGLEIYGMGNWAEVAEHVGTKSKEQCLEHYRNIYLNSPFFPLPDMSHV AGKNKKELQAMAKGRIEEKKEQNMKEEYPFSPPKVKVEDTQKESHTDRSFGGKKPVVA PGNNSLVELSNYNHKREEFDPEYDNDAEQLLAEMEFKDNDTPEEKDLKLRVLRIYSKR LDERKRRKDFILDRNLLYPNPFEKELSQEEKMQCRRLDVFMRFHSKEEHAELLRSVVS EYRMVKRLKDLKEAQMAGCRSTAEAERYLARKRKRENEEGMMNRGKESGQFGAGEMGT RPPVQASSSYVNDLDLIGFTESQLLSESEKRLCSEAKLVPPVYLHMQQVMSHEIFKGN VTKKSDAYSLFKIDPTKVDRVYDMLVKKGIAQL" CDS complement(join(10661776..10661967,10662052..10662273, 10662361..10662791,10662901..10662920,10663001..10663046, 10663118..10663193,10663282..10663407,10663506..10663571, 10663651..10663823,10663911..10663966,10664228..10664277)) /locus_tag="BRARA_H00859" /codon_start=1 /product="hypothetical protein" /protein_id="RID50106.1" /db_xref="Phytozome:Brara.H00859" /translation="MGRSRGNFHNFEDPTQRTRKKKNAANVENFESSSMVTGTEGGGK YNCDYCQKDITGKIRIKCDVCPDFDLCVECMSVGAEITPHKCDHAYRVMGNLTFPLIC PDWSADDEMLLLEGLEIYGMGNWAEVAEHVGTKSKEQCLEHYRNIYLNSPFFPLPDMS HVAGKNKKELQAMAKGRIEEKKEQNMKEEYPFSPPKVKVEDTQKESHTDRSFGGKKPV VAPGNNSLVELSNYNHKREEFDPEYDNDAEQLLAEMEFKDNDTPEEKDLKLRVLRIYS KRLDERKRRKDFILDRNLLYPNPFEKELSQEEKMQCRRLDVFMRFHSKEEHAELLRSV VSEYRMVKRLKDLKEAQMAGCRSTAEAERYLARKRKRENEEGMMNRGKESGQFGAGEM GTRPPVQASSSYVNDLDLIGFTESQLLSESEKRLCSEAKLVPPVYLHMQQVMSHEIFK GNVTKKSDAYSLFKIDPTKVDRVYDMLVKKGIAQL" gene complement(10667822..10669064) /locus_tag="BRARA_H00860" /db_xref="Phytozome:Brara.H00860" mRNA complement(join(10667822..10668245,10668518..10668650, 10668737..10669064)) /locus_tag="BRARA_H00860" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00860" CDS complement(join(10667988..10668245,10668518..10668650, 10668737..10668960)) /locus_tag="BRARA_H00860" /codon_start=1 /product="hypothetical protein" /protein_id="RID50108.1" /db_xref="Phytozome:Brara.H00860" /translation="MSYLGIGISPGNVASYHGGKMKLIDQRLRLTEVLLRCSVSVFAL LALILMVTDTQVKRIFVVEKRAKYTDMKSLVFLVVANGIAAAYSSLQSVRCVAGSMKG SVLFSKPLAWAIFSVDQAMAYMSVAAIAAASESGVIGIRGEEKLQWMKVCNMYSKFCY QGAGVVASASIASIAMVIVSCISAFSLFRLYGATQRRLNLAVMK" gene complement(10673808..10675968) /locus_tag="BRARA_H00861" /db_xref="Phytozome:Brara.H00861" mRNA complement(join(10673808..10674085,10674161..10674210, 10674293..10674374,10674456..10674526,10675274..10675344, 10675432..10675511,10675582..10675703,10675848..10675968)) /locus_tag="BRARA_H00861" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00861" CDS complement(join(10674014..10674085,10674161..10674210, 10674293..10674374,10674456..10674526,10675274..10675344, 10675432..10675511,10675582..10675692)) /locus_tag="BRARA_H00861" /codon_start=1 /product="hypothetical protein" /protein_id="RID50109.1" /db_xref="Phytozome:Brara.H00861" /translation="MEGENLIKDRGFVAAPLTFFVVVIFQLLSKRLDQLKKKGSKNTR EAELRSEIKQLLREATGLSQPATFAQAAKLRRSAAAKEKELAQYLEQQNKEINLSYDM YGKVLLASKVLVYVILVLWFWRTPIAIIAKQLVQPFGNLLSWGTGGHLTGHVMVGIIP WLILSTRVSKYVCRFVEF" gene 10697814..10698425 /locus_tag="BRARA_H00862" /db_xref="Phytozome:Brara.H00862" mRNA 10697814..10698425 /locus_tag="BRARA_H00862" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00862" CDS 10697854..10698234 /locus_tag="BRARA_H00862" /codon_start=1 /product="hypothetical protein" /protein_id="RID50110.1" /db_xref="Phytozome:Brara.H00862" /translation="MSSVARDRKEQMVIQSSIVLLQERFRQLQRTRELRAERELLNPK PNHQDNNILQYYTQPASFDFFQFLPLNSQTSSSQQLLSLSLCPISTSYSTEKPSFYHH WPNKDDKKVVGTDRYDDVDTSLRL" gene complement(10714277..10715408) /locus_tag="BRARA_H00863" /db_xref="Phytozome:Brara.H00863" mRNA complement(10714277..10715408) /locus_tag="BRARA_H00863" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00863" CDS complement(10714429..10714764) /locus_tag="BRARA_H00863" /codon_start=1 /product="hypothetical protein" /protein_id="RID50111.1" /db_xref="Phytozome:Brara.H00863" /translation="MMKSLVCLSLILLPLIAVVEGNLGGWKKIDNLSDPNVVSLAKYA VDEHNKQSKANLVFVKIVEGKEQVINGKKYDLKIAAKDGGGVTKNYEAVVVERVWAHY RSLESFQAV" gene complement(10715808..10717256) /locus_tag="BRARA_H00864" /db_xref="Phytozome:Brara.H00864" mRNA complement(join(10715808..10715903,10716886..10717256)) /locus_tag="BRARA_H00864" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00864" CDS complement(join(10715816..10715903,10716886..10717226)) /locus_tag="BRARA_H00864" /codon_start=1 /product="hypothetical protein" /protein_id="RID50112.1" /db_xref="Phytozome:Brara.H00864" /translation="MLKALICLCLILLPVISVVGEKAPPGRWKRIRNLDRDYFVNIAK FAVDEHNRRSKNKLVFIRILEGREQMDTGQRDYFKIGVRNSEDWSEIYEASVFDKEHK NAPILEFFRKISFYLCYMETGAGTWKQKRMEAQKRDLKKN" gene 10730238..10732153 /locus_tag="BRARA_H00865" /db_xref="Phytozome:Brara.H00865" mRNA join(10730238..10730552,10730653..10730730, 10730808..10730892,10730961..10731049,10731809..10732153) /locus_tag="BRARA_H00865" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00865" CDS join(10730268..10730552,10730653..10730730, 10730808..10730892,10730961..10731049,10731809..10731946) /locus_tag="BRARA_H00865" /codon_start=1 /product="hypothetical protein" /protein_id="RID50113.1" /db_xref="Phytozome:Brara.H00865" /translation="MGEASAAAELERLQIDILRRISALESSILPESSSPSLPDDESQT VSRLSAILRSGGVKDFSFKRVAPDYYDWPLESRRDVLGASSVDHLCKSIVLVNTQASS NVLDCSDRNNSKYYVVVVQYTARFNAEAVKNFLYSLNEGKIPKKRFNLRLAPEETSIE LTGFEHNSVTCVGMKTSIPVILDEAIAKLKPDFFWLGGGEIDLKLGVRTSEFLDFVKP FVVPCS" gene complement(10732274..10732901) /locus_tag="BRARA_H00866" /db_xref="Phytozome:Brara.H00866" mRNA complement(10732274..10732901) /locus_tag="BRARA_H00866" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00866" CDS complement(10732286..10732531) /locus_tag="BRARA_H00866" /codon_start=1 /product="hypothetical protein" /protein_id="RID50114.1" /db_xref="Phytozome:Brara.H00866" /translation="MSCSVKRGLSSMVFCFILLLLSSNVGCATARRLRFHKHHHKVAS LVQDVRRLLGGEETGGDVVVMDYPQPHRKPPIHNEKA" gene complement(10733522..10734840) /locus_tag="BRARA_H00867" /db_xref="Phytozome:Brara.H00867" mRNA complement(join(10733522..10733792,10733874..10733992, 10734073..10734125,10734305..10734360,10734454..10734508, 10734735..10734840)) /locus_tag="BRARA_H00867" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00867" CDS complement(join(10733701..10733792,10733874..10733992, 10734073..10734125,10734305..10734360,10734454..10734490)) /locus_tag="BRARA_H00867" /codon_start=1 /product="hypothetical protein" /protein_id="RID50115.1" /db_xref="Phytozome:Brara.H00867" /translation="MAKSSFKQEHDLEKRSAEAARIREKYPDRIPVIVEKAEKSDIPT IDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGALMSAVYEEKKEE DGFLYVTYSGENTFGY" gene complement(10740124..>10741508) /locus_tag="BRARA_H00868" /db_xref="Phytozome:Brara.H00868" mRNA complement(join(10740124..10740623,10740708..10740770, 10741023..10741358,10741472..>10741508)) /locus_tag="BRARA_H00868" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00868" mRNA complement(join(10740124..10740623,10740708..10740770, 10740878..10740907,10741023..10741358, 10741472..>10741508)) /locus_tag="BRARA_H00868" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00868" CDS complement(join(10740298..10740623,10740708..10740770, 10741023..10741358,10741472..10741508)) /locus_tag="BRARA_H00868" /codon_start=1 /product="hypothetical protein" /protein_id="RID50117.1" /db_xref="Phytozome:Brara.H00868" /translation="MVVPLSAIPPSRDGFYAINNQFLANGPKGFQELKTLENEDMFIR VDFPGVPQDGMKVVVDDTKTAVTVFALAPKEHKHDSSPRNYIYTTGLVCKCCEISAVA AHMSDGVLRLLLSKTQIATQRPLVVKDHAWTGSHKVTYGTDPHDPVLTGRVLQPHPWV KQGSLMSYESKQLQNGCLYVRVDMPGVPKERFTVSVKSGMVMVTGDAPAVSHDSGGRF YSGEVAMLSNPIDIPIRQIKIISKNGVIRLIIPPA" CDS complement(join(10740298..10740623,10740708..10740770, 10740878..10740907,10741023..10741358,10741472..10741508)) /locus_tag="BRARA_H00868" /codon_start=1 /product="hypothetical protein" /protein_id="RID50116.1" /db_xref="Phytozome:Brara.H00868" /translation="MVVPLSAIPPSRDGFYAINNQFLANGPKGFQELKTLENEDMFIR VDFPGVPQDGMKVVVDDTKTAVTVFALAPKEHKHDSSPRNYIYTTGLVCKCCEISAVA AHMSDGVLRLLLSKTQIATQRPPFLGVPDSEQFVVKDHAWTGSHKVTYGTDPHDPVLT GRVLQPHPWVKQGSLMSYESKQLQNGCLYVRVDMPGVPKERFTVSVKSGMVMVTGDAP AVSHDSGGRFYSGEVAMLSNPIDIPIRQIKIISKNGVIRLIIPPA" gene complement(<10745072..>10745701) /locus_tag="BRARA_H00869" /db_xref="Phytozome:Brara.H00869" mRNA complement(<10745072..>10745701) /locus_tag="BRARA_H00869" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00869" CDS complement(10745072..10745701) /locus_tag="BRARA_H00869" /codon_start=1 /product="hypothetical protein" /protein_id="RID50118.1" /db_xref="Phytozome:Brara.H00869" /translation="MQKHKCKLCSKSFCNGRALGGHMKSHLVSSHTPTRKKLGDSVYS SSSSSSDCKTLVYGLRENPRKSLRVFNPDPESSTIYNSETETEPESVDPVRKRSRAEV SKKKKTKKRSKKRVFESGKKQKTSHVNSNESQEPASSVSDGSPEQDLAMCLMMLSRDT REIELKKHVLAAEETKPEKIHFPELRRCVIDLNLPPPQESDIVTVVSAI" gene complement(10758266..10760643) /locus_tag="BRARA_H00870" /db_xref="Phytozome:Brara.H00870" mRNA complement(join(10758266..10758649,10758747..10758898, 10758981..10759145,10759233..10759467,10759634..10759750, 10759841..10759906,10760030..10760643)) /locus_tag="BRARA_H00870" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00870" CDS complement(join(10758496..10758649,10758747..10758898, 10758981..10759145,10759233..10759467,10759634..10759750, 10759841..10759906,10760030..10760217)) /locus_tag="BRARA_H00870" /codon_start=1 /product="hypothetical protein" /protein_id="RID50119.1" /db_xref="Phytozome:Brara.H00870" /translation="MKRETLKEVGIVGGLVGAQVIYAGNSEVLSQVLSLGVDPLLVAI FCTFASFLLISPLSFLLEREVWPRSLSFKLKTKLVLVSLVGVTLFQWLFFEGMKHTSA SMATAMPNLAPAFIFLIAWATGMEKVKLSCMYSRVKMGGTVLCVMGALIMSLMHSTKA TSSSLKALPIVPDDVALDKEKILGCLCLFLSICCLSSSLVLQASILVEFPAPVSMFSV VTLIGGFTTVALQYVVKGSMDMGSASVIGLKNLVGYAVLGGLVSGGGLSFNAWVIKRK GPVVVSLFSPIATVVCVVVSAFSRDDSFNLGSFAGMALMFGGLYFVLWAKGKEESGEG DESKEDEEESVLRTEFDLEKPLLR" gene complement(10774161..10778601) /locus_tag="BRARA_H00871" /db_xref="Phytozome:Brara.H00871" mRNA complement(join(10774161..10774414,10774496..10774800, 10774871..10774990,10775099..10775191,10775288..10775389, 10775472..10775514,10775587..10775705,10775803..10775899, 10776000..10776061,10776150..10776212,10776306..10776408, 10776513..10776608,10776695..10776843,10777026..10777199, 10777381..10777459,10777553..10777659,10777747..10777815, 10777891..10778076,10778153..10778601)) /locus_tag="BRARA_H00871" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00871" CDS complement(join(10774399..10774414,10774496..10774800, 10774871..10774990,10775099..10775191,10775288..10775389, 10775472..10775514,10775587..10775705,10775803..10775899, 10776000..10776061,10776150..10776212,10776306..10776408, 10776513..10776608,10776695..10776843,10777026..10777199, 10777381..10777459,10777553..10777659,10777747..10777815, 10777891..10778076,10778153..10778548)) /locus_tag="BRARA_H00871" /codon_start=1 /product="hypothetical protein" /protein_id="RID50120.1" /db_xref="Phytozome:Brara.H00871" /translation="MSGAFFYDAASDDDLDFLNHEEESSDEDVAEERKAQAEAGGEDE EDSEVEDEDDDEEEEDEKPTKKGSTDAQSPWDFASYSSSVGEEHARRHTTSIDEKISK AIKHRPLPISAEEEEEEDEEDVSEAEPDEQEEYLSEDEEAADSKADNVAAKPFFSTVD GVSFHANSFMELNLSRPLLRACETLGYKKPTPIQAACIPLALTGRDLCASAITGSGKT AAFALPTLERLLFRPKRVFATRVLILTPTRELAVQIHSMIQKLAQFTDIKCGLIVGGL SVREQEVVLRSMPDIVVATPGRMIDHLRNSMSVDLDDLAVLILDEADRLLQTGFATEI QELVRLCPKRRQTMLFSATMTEEVKELVKLSLNKPLRLSADPSARRPPGLTEEVVRIR RTREANQEAVLLSLCTRTFKSKVIIFSGTKQAAHRLKILFGLAGLKAAELHGNLTQAQ RLDSLELFRKQEVDFLIATDVAARGLDIIGVQTVINYACPREIDSYVHRVGRTARAGR EGYAVTFVTDNDRSLLKVIAKKVGSKLKSRIIPEQSIVKWSQIIDEMEDQHSAVIRLE REERALRKAEMEFAKAENMIEHRDEIFARPKRTWFMTEKEKKLVAKTEKDSAGNPSGN ELVSADIAEDLKMKEKRKREREKNLPRKKRRKLEAAREMLEDNEEEDEEEEEGEDEKR GRSRGKDKKKKNEPEKKGLTLVDLGYRRAKAVKAKQRAIDSGKMDRPTPNKKQNLNRT KPKTQPRNEEMKDLFKSDMSDKKQGRGGAAASAKPRGKSKNSFKSKGRYKRR" gene 10783758..>10787053 /locus_tag="BRARA_H00872" /db_xref="Phytozome:Brara.H00872" mRNA join(10783758..10784173,10784241..10784331, 10784502..10784578,10784693..10784781,10784872..10784901, 10785473..10785575,10785663..10785991,10786276..>10786612) /locus_tag="BRARA_H00872" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00872" CDS join(10783982..10784173,10784241..10784331, 10784502..10784578,10784693..10784781,10784872..10784901, 10785473..10785575,10785663..10785991,10786276..10786612) /locus_tag="BRARA_H00872" /codon_start=1 /product="hypothetical protein" /protein_id="RID50121.1" /db_xref="Phytozome:Brara.H00872" /translation="MGVAQLKSGGLWKWRSFSGQPKRTVMCKWVCGFMLFSLGVISLF TGHVVSHLEWAQQLSKRSLLDISRKEPIDVWKSKYSKFFYGCSERGKSFPPAVQEHKS NGYLLIAASGGLNQQRTGITDAVVVARILNATLVVPELDHHSYWKDDSDFNDIFDVNW FEPDMLAFSGCDFGGGEKERAELAEIRKRWDTLPDLDPLEERKRGKCPLTPHEVGLML RALGFANNTYIYVASGEIYGGEKTLRPLRELFPNFYTKEMLANDELKPMLPFSSRLAA IDYIVSDESDVFITNNNGNMAKILAGRRRYMGHKRTIRPNAKKLSALFMDREKMEWQT FAKKVKSCQRGFMGDPDEFKPGRGEFHEYPQACICQRPFSYDKTSTDDEEEDIPEEVH NNTSSGHGHLSSADSERDEVFPD" mRNA join(10785348..10785394,10785473..10785575, 10785663..10785991,10786276..10786619,10786695..10787053) /locus_tag="BRARA_H00872" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00872" CDS join(10785354..10785394,10785473..10785575, 10785663..10785991,10786276..10786612) /locus_tag="BRARA_H00872" /codon_start=1 /product="hypothetical protein" /protein_id="RID50122.1" /db_xref="Phytozome:Brara.H00872" /translation="MRKMAKRFIAVHLRFEPDMLAFSGCDFGGGEKERAELAEIRKRW DTLPDLDPLEERKRGKCPLTPHEVGLMLRALGFANNTYIYVASGEIYGGEKTLRPLRE LFPNFYTKEMLANDELKPMLPFSSRLAAIDYIVSDESDVFITNNNGNMAKILAGRRRY MGHKRTIRPNAKKLSALFMDREKMEWQTFAKKVKSCQRGFMGDPDEFKPGRGEFHEYP QACICQRPFSYDKTSTDDEEEDIPEEVHNNTSSGHGHLSSADSERDEVFPD" gene complement(10787178..10788461) /locus_tag="BRARA_H00873" /db_xref="Phytozome:Brara.H00873" mRNA complement(join(10787178..10787570,10787658..10787786, 10788041..10788461)) /locus_tag="BRARA_H00873" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00873" CDS complement(join(10787289..10787570,10787658..10787786, 10788041..10788418)) /locus_tag="BRARA_H00873" /codon_start=1 /product="hypothetical protein" /protein_id="RID50123.1" /db_xref="Phytozome:Brara.H00873" /translation="MGGDGGDEPIIHFVLVHGACHGAWCWYRLTTLLLSAGFKATTVD LTSAGINLTDANTVFEFDHYNRPLFSLLSDIPHHHKIILVGHSIGGASVTEALCKFSD KVSMAVYLVADMVQPGTTSPPHSIMSVGEEEDIWEFTYGEGDDKPPTSAQMKEEYRRH YFYSQSPLEDVILASKLLRPSPVRALRGIDKLPPNPEAEKVPRVYIKTAKDNLCDPIL QDRMVEKWPPSQLYTLEESDHSAFFSVPTTLFTCLIRAVFSPEL" gene <10789092..>10789232 /locus_tag="BRARA_H00874" /db_xref="Phytozome:Brara.H00874" mRNA <10789092..>10789232 /locus_tag="BRARA_H00874" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00874" CDS 10789092..10789232 /locus_tag="BRARA_H00874" /codon_start=1 /product="hypothetical protein" /protein_id="RID50124.1" /db_xref="Phytozome:Brara.H00874" /translation="MVIEVEPRSIFRYLIGAAVMMIGVVLPVGYMMFRNKRVPSSSSY SK" gene complement(10790184..10791358) /locus_tag="BRARA_H00875" /db_xref="Phytozome:Brara.H00875" mRNA complement(join(10790184..10790752,10791025..10791191, 10791312..10791358)) /locus_tag="BRARA_H00875" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00875" mRNA complement(join(10790184..10790752,10791025..10791275)) /locus_tag="BRARA_H00875" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00875" CDS complement(join(10790309..10790752,10791025..10791191, 10791312..10791315)) /locus_tag="BRARA_H00875" /codon_start=1 /product="hypothetical protein" /protein_id="RID50126.1" /db_xref="Phytozome:Brara.H00875" /translation="MGAYKYVSELWRKKQSDVMRFVQRVRCWEYRQQPSIVRLVRPTR PDKARRLGYKAKQGFVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVA EERAGRKLGGLRVVNSYWLNEDSTYKYYEIILVDPAHNAVRNDPRINWICNPVHKHRE LRGLTSEGKKNRGLRGKGHNNHKNRPSRRATWKKNNSLSLRRYR" CDS complement(join(10790309..10790752,10791025..10791141)) /locus_tag="BRARA_H00875" /codon_start=1 /product="hypothetical protein" /protein_id="RID50125.1" /db_xref="Phytozome:Brara.H00875" /translation="MRFVQRVRCWEYRQQPSIVRLVRPTRPDKARRLGYKAKQGFVVY RVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVVNSYW LNEDSTYKYYEIILVDPAHNAVRNDPRINWICNPVHKHRELRGLTSEGKKNRGLRGKG HNNHKNRPSRRATWKKNNSLSLRRYR" gene 10813971..10818400 /locus_tag="BRARA_H00876" /db_xref="Phytozome:Brara.H00876" mRNA join(10813971..10814124,10814224..10814503, 10814765..10815134,10816194..10816412,10816526..10816664, 10816890..10817129,10817925..10818400) /locus_tag="BRARA_H00876" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00876" CDS join(10814017..10814124,10814224..10814503, 10814765..10815134,10816194..10816412,10816526..10816664, 10816890..10817129,10817925..10818215) /locus_tag="BRARA_H00876" /codon_start=1 /product="hypothetical protein" /protein_id="RID50127.1" /db_xref="Phytozome:Brara.H00876" /translation="MKTQSQPLEARRSANYRPSLWKHENLLLLGNKYAVYKEDKIERA KLLKQEVSRMLDETEGLLEQLELVDNLQRLGISYHFEREIKKILTNVHVRHVRHRKRV DRKRSEDLYATALMFRLLRQHGLNIAQDVFYCFFGDGLDDEDIKSVLSLYEASYLSTR FDTKLKETIYYTTTRLKKFVEMKNNETTSYVRKMVIRALEMPYHRRVRRLEARWYIDV YGERHDTNPNLLELAKLDFNFVQVIHQDELKSLSSWWSKTGLTKILDFVRDRITESYF SSVGVIYEPEFAHHRQMLTKVFMLITTIDDIYDIYGTLEELQLFTAIVEKWDVNRLEE LPKYMKLCFLCLINEINQIGYIILRDKGFNAIPYLKKSWADMCTTFLKEAKWYKRGYK PKLEEYMENGWLSSSVPTILLHLLCLFPDQNLDILVSYHHHVIRNSATILRLANDLAT SSKELARGDNVKSVQCHMHETGSPEAESRAYIREMIGVAWEDLNLERKSCWLHQGFVE AAANLGRVAQCIYQYGDGYGSPEKAKTVDHVRSLLVYPVP" gene complement(10829497..10831638) /locus_tag="BRARA_H00877" /db_xref="Phytozome:Brara.H00877" mRNA complement(join(10829497..10829629,10829731..10829810, 10829904..10829949,10830047..10830103,10830178..10830236, 10830316..10830416,10830554..10830675,10830891..10830942, 10831031..10831111,10831171..10831366,10831542..10831638)) /locus_tag="BRARA_H00877" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00877" CDS complement(join(10829566..10829629,10829731..10829810, 10829904..10829949,10830047..10830103,10830178..10830236, 10830316..10830416,10830554..10830675,10830891..10830942, 10831031..10831111,10831171..10831366,10831542..10831619)) /locus_tag="BRARA_H00877" /codon_start=1 /product="hypothetical protein" /protein_id="RID50128.1" /db_xref="Phytozome:Brara.H00877" /translation="METSLVLPFIDISSPEKISTAQLIRKACLEHGFFYVKNHGISEE LMEEVFRESKSFFNLPLDEKMSLLRHDLLGYTPLYAEKLDPSLSSSTGDSKESFYFGS LEGALAQRYPNQWPPQDLLPSWRQTMECYYKNVLSVGRKLLGLIALALDLDEGFFEQI GALNDPTAVVRLLRYPGEVISSDVESYGASAHSDYGMVTLLLTYGVPGLQVCRDKSRQ TRVWEDVPGIRGAFIVNIGDMMERWSNGLFRSTLHRVMPVGKERYSVVFFLDPNPDCN VVCLESCCSETCPPRFPPILAGDYIKERFRLTYDS" gene complement(<10836330..>10837622) /locus_tag="BRARA_H00878" /db_xref="Phytozome:Brara.H00878" mRNA complement(<10836330..>10837622) /locus_tag="BRARA_H00878" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00878" CDS complement(10836330..10837622) /locus_tag="BRARA_H00878" /codon_start=1 /product="hypothetical protein" /protein_id="RID50129.1" /db_xref="Phytozome:Brara.H00878" /translation="MVETTRSSKKTTQSGDKEAQNPRKFSSRFLFKALILALLCSLVP VFLSQTPELANQTRLLELLHMIFVGIAVSYGLFSRRNYEGGGESNNNTHNPHPYVPKI LEVSSSVFNVDHHESGSDDSSLDHRRIQTWKNKYHTKIPETVLPLLLPVRSLNYSRGS DSGRWERVRSKRQLLKTLVDDNSSDALPSPIPWRSRSSSMEIESQPLIKTSSSSSSTP LPKPGAEDTVRKKEFHTSTSPPPPPPPLPAFYNSAPRKDYPPPRSYRESVQKKNYTPP PPPPPPPPPMDYYKSPPSKLRVSGERRKLSEQKMKLDERSATRNSPTKVWWSEPIAET KEDIREDMNKNDGRSFVGSKATEESEDKEDMITENVIHEDGEHSEKKLEEEINCGNIS DVDKKADEFIAKFREQIRLQRIESIKRSAYKISTNSSR" gene complement(10841422..10843728) /locus_tag="BRARA_H00879" /db_xref="Phytozome:Brara.H00879" mRNA complement(join(10841422..10841851,10842340..10842443, 10842529..10842580,10842661..10842751,10842899..10842973, 10843070..10843239,10843531..10843728)) /locus_tag="BRARA_H00879" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00879" CDS complement(join(10841598..10841851,10842340..10842443, 10842529..10842580,10842661..10842751,10842899..10842973, 10843070..10843239,10843531..10843690)) /locus_tag="BRARA_H00879" /codon_start=1 /product="hypothetical protein" /protein_id="RID50130.1" /db_xref="Phytozome:Brara.H00879" /translation="MSFVKYLRRDSLLQLAGKQSLSRSFMLQTCRTLIIETALPESVK LNRLSGSDSGIVEVNLDRPVAKNSINKEMLKGLQNTFETIHQDSSARVVMITSLVPGV FCAGADLKERRTMSPSEVHTYVNSLRYMFSFIEALSIPTIAAIEGVALGGGLEMALSC DLRICGENAVFGLPETGLAVIPGAGGTQRLSRLVGRSVSKELIFTGRKIDAREAAKKG LVNFCVAAGEAHKKAMEVAQQINEKGPMAIKMAKKAIDEGIETNMASGLELEDMCYQK LLNTEDRLEGLAAFAEKRKPRYTGK" gene complement(<10843996..>10845550) /locus_tag="BRARA_H00880" /db_xref="Phytozome:Brara.H00880" mRNA complement(join(<10843996..10844634,10844732..10844952, 10845031..10845067,10845165..10845376, 10845487..>10845550)) /locus_tag="BRARA_H00880" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00880" CDS complement(join(10843996..10844634,10844732..10844952, 10845031..10845067,10845165..10845376,10845487..10845550)) /locus_tag="BRARA_H00880" /codon_start=1 /product="hypothetical protein" /protein_id="RID50131.1" /db_xref="Phytozome:Brara.H00880" /translation="MNKNVSQIQETNNLYESPLLSEPPDIGNWFSSYAYESPPVLDTN DALCFSVGGEDSECVKETQAEEETKDIEGKDDVCPSLFEQQLVSSSAKVADFSQSQHL LSEPPDVGNWFSSYVYESPQLSDTHEIEVCSSEKYDQLIIEESDTEGENSSGIFRKTK SKQETIIAPGWLKSNDCTEAKEVSAYSNQEREKKSTVILFNASTKKEDKDSSFKQEPL FSETKEEANFIPKGYNPKPQSLQELRPKHIQETISNRQMSPRKAAQKARPEENMESVN QESDDKENVDAETGFVTMKKARFRESRDQSSMKKPIRGVLGECSRSKKLKKMATEEDE ERKKKKKKRRILGEMWNHQLSGGEEIAGKWSCPQRKKGKSGPPLKQLRLDAWVHKV" gene complement(10853356..10856419) /locus_tag="BRARA_H00881" /db_xref="Phytozome:Brara.H00881" mRNA complement(join(10853356..10853799,10853875..10853964, 10854096..10854175,10854269..10854352,10854462..10854510, 10854599..10854770,10854894..10855024,10855127..10855170, 10855246..10855308,10855386..10855439,10855536..10855588, 10855681..10855748,10855818..10855873,10855962..10856154, 10856264..10856419)) /locus_tag="BRARA_H00881" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00881" mRNA complement(join(10853356..10853799,10853875..10853964, 10854096..10854175,10854269..10854352,10854462..10854510, 10854599..10854770,10854894..10855024,10855127..10855170, 10855246..10855308,10855386..10855439,10855536..10855588, 10855681..10855748,10855818..10855873,10855962..10856154, 10856247..10856419)) /locus_tag="BRARA_H00881" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00881" CDS complement(join(10853604..10853799,10853875..10853964, 10854096..10854175,10854269..10854352,10854462..10854510, 10854599..10854770,10854894..10855024,10855127..10855170, 10855246..10855308,10855386..10855439,10855536..10855588, 10855681..10855748,10855818..10855873,10855962..10856093)) /locus_tag="BRARA_H00881" /codon_start=1 /product="hypothetical protein" /protein_id="RID50132.1" /db_xref="Phytozome:Brara.H00881" /translation="MCRHNCCAKSSQEEEVVSPPDENLLIYCKPVRLYNILRIRSLFN PSFLPRCLSYNIRAKGKRKSGSAGIVVFNYKDCNNTLQKTEVTENCSCPFCYMTCGSF KGLQLHLNSFHDLFEFEFMLSEDDYQTVNVSVRLDAFESEEEVNHQEKYELISFCSKP RKRRQRDGRNNARRLNVTFLPMDSPSLANGTDNGTSLLSNGNHSLGYPVATQFGMNNS SPAIAQCSLDSNAKAVLASEAVVSAAKSRKLSAERSEARSNLLLQKRQFYHSHRVQPM SLEQVMSDRDSEDEVDDDVADLEDRQMLDDFVDVNKNEKRFMHLWNSFVRKQRVVADG HIPWACEAFSKFHKEELLHSSPLFWCWRLFMIKLWNIGLVDSATINNCNIILENCDSN SDNKNKSADVGIDINSNAMDVDDDVNNSKAK" CDS complement(join(10853604..10853799,10853875..10853964, 10854096..10854175,10854269..10854352,10854462..10854510, 10854599..10854770,10854894..10855024,10855127..10855170, 10855246..10855308,10855386..10855439,10855536..10855588, 10855681..10855748,10855818..10855873,10855962..10856093)) /locus_tag="BRARA_H00881" /codon_start=1 /product="hypothetical protein" /protein_id="RID50133.1" /db_xref="Phytozome:Brara.H00881" /translation="MCRHNCCAKSSQEEEVVSPPDENLLIYCKPVRLYNILRIRSLFN PSFLPRCLSYNIRAKGKRKSGSAGIVVFNYKDCNNTLQKTEVTENCSCPFCYMTCGSF KGLQLHLNSFHDLFEFEFMLSEDDYQTVNVSVRLDAFESEEEVNHQEKYELISFCSKP RKRRQRDGRNNARRLNVTFLPMDSPSLANGTDNGTSLLSNGNHSLGYPVATQFGMNNS SPAIAQCSLDSNAKAVLASEAVVSAAKSRKLSAERSEARSNLLLQKRQFYHSHRVQPM SLEQVMSDRDSEDEVDDDVADLEDRQMLDDFVDVNKNEKRFMHLWNSFVRKQRVVADG HIPWACEAFSKFHKEELLHSSPLFWCWRLFMIKLWNIGLVDSATINNCNIILENCDSN SDNKNKSADVGIDINSNAMDVDDDVNNSKAK" gene 10857559..10859605 /locus_tag="BRARA_H00882" /db_xref="Phytozome:Brara.H00882" mRNA join(10857559..10857888,10857989..10858271, 10858355..10858423,10858503..10858620,10858736..10858894, 10858976..10859026,10859106..10859605) /locus_tag="BRARA_H00882" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00882" CDS join(10857741..10857888,10857989..10858271, 10858355..10858423,10858503..10858620,10858736..10858894, 10858976..10859026,10859106..10859306) /locus_tag="BRARA_H00882" /codon_start=1 /product="hypothetical protein" /protein_id="RID50134.1" /db_xref="Phytozome:Brara.H00882" /translation="MATLNPFDLLGDDAEDPSQIAVSIAADKPKKPAPVSAKSSAPSR QLPQPVREARSDAPRGGGRGGGGDRGSSRGRGGYNRDFRGGDGNSGGYNKPSEEGGVS KPFFEKRSVYGGAPRGGGRRGEAGEGERPRRTYERRSGTGRGGDFKREGAGRGNWGTP GEEVLVVETEEVAGAESEKPAGDEVAADAKKENTAEVEEQKEPEDKEMTLDEYEKILE EKKKSLQSQTTSERKVDTKVFESMQQLSNKKKSNDEIFIKLGSDKDKRKDDKEEKAKK AVSINEFLKPAEGENYYRGGGRGGGRGRGRGGRDRGVGSGGGFDGYRSEAAPAIGDTA QFPSLGGK" gene 10860537..10861188 /locus_tag="BRARA_H00883" /db_xref="Phytozome:Brara.H00883" mRNA join(10860537..10860824,10860910..10861188) /locus_tag="BRARA_H00883" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00883" CDS join(10860566..10860824,10860910..10860923) /locus_tag="BRARA_H00883" /codon_start=1 /product="hypothetical protein" /protein_id="RID50135.1" /db_xref="Phytozome:Brara.H00883" /translation="MRRSASGSRVSDQFSQAKPSHSRSMSRSQSVRLVEDAELHLPRY DPSSQSGKREEKSRSRSAENVVHFIPLLLLLCVIILWLFSRSAAVF" gene complement(<10862618..>10864808) /locus_tag="BRARA_H00884" /db_xref="Phytozome:Brara.H00884" mRNA complement(join(<10862618..10862796,10864526..>10864808)) /locus_tag="BRARA_H00884" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00884" CDS complement(join(10862618..10862796,10864526..10864808)) /locus_tag="BRARA_H00884" /codon_start=1 /product="hypothetical protein" /protein_id="RID50136.1" /db_xref="Phytozome:Brara.H00884" /translation="MSGCIRLEFLPSDVNLASLHYLNLTGCARLRSFPRISSNISRLL LGGTSIVEDEDCFFIGIISRLTELVWSDCPMRYMPSDFCAEYLVELIIPGTNPVILLP FIRAAPSLTVSFFYYRDDKAKPCYSHYVYDLNAETENSDEVTQCRCVTEES" gene 10867307..10868101 /locus_tag="BRARA_H00885" /db_xref="Phytozome:Brara.H00885" mRNA 10867307..10868101 /locus_tag="BRARA_H00885" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00885" CDS 10867396..10867887 /locus_tag="BRARA_H00885" /codon_start=1 /product="hypothetical protein" /protein_id="RID50137.1" /db_xref="Phytozome:Brara.H00885" /translation="MASSQAFLLLTLSMVLVHFSLAQSPMMAPSGSMSMPPMPSGGSP MPMMTPPPMPMMTPPPMPMMTPPPMAMAPPPMPMTPPPMPMAPMPMAPSSSPMSPPTT MAPSPETVPDMASPPMMPGMDSSPSPGPMPPAMASPDSGAFNVRNDVVAISFLVAAHL LLV" gene 10872873..10874504 /locus_tag="BRARA_H00886" /db_xref="Phytozome:Brara.H00886" mRNA join(10872873..10872923,10873184..10873296, 10873401..10873465,10873554..10874504) /locus_tag="BRARA_H00886" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00886" CDS 10873875..10874081 /locus_tag="BRARA_H00886" /codon_start=1 /product="hypothetical protein" /protein_id="RID50138.1" /db_xref="Phytozome:Brara.H00886" /translation="MSELVLFVPSVGSACVWGWIVPTAYYESDQQLENKDCKFVGWTK RPTVYNKVSVNKDYDCVGWIVRSQ" gene complement(10881760..10883092) /locus_tag="BRARA_H00887" /db_xref="Phytozome:Brara.H00887" mRNA complement(10881760..10883092) /locus_tag="BRARA_H00887" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00887" CDS complement(10882335..10882775) /locus_tag="BRARA_H00887" /codon_start=1 /product="hypothetical protein" /protein_id="RID50139.1" /db_xref="Phytozome:Brara.H00887" /translation="MSTPDDESPAATSIDPSTTTMRVPPPCWTDEETAALVDAYKDKW FALRRVNLRAADWDDVAASLPTFGGPAKTAIQCRHKIEKLRKRYRGEKQRRLTKPGKF SSSGDLFPVLDAMELASVTSTAVEPNDQDVDRENESNGVDEFRV" gene complement(10883115..10885137) /locus_tag="BRARA_H00888" /db_xref="Phytozome:Brara.H00888" mRNA complement(join(10883115..10883598,10883820..10883957, 10884038..10884082,10884350..10884451,10884596..10885137)) /locus_tag="BRARA_H00888" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00888" CDS complement(join(10883245..10883598,10883820..10883957, 10884038..10884082,10884350..10884451,10884596..10884979)) /locus_tag="BRARA_H00888" /codon_start=1 /product="hypothetical protein" /protein_id="RID50140.1" /db_xref="Phytozome:Brara.H00888" /translation="MPRRLNDGDPGRFTAAALLFIGLISCLVVYAVFTALLRPQGHTL DSAVRFTDSRDHSRVDGGGGCCRGVDNLELWGSAVKWGTDFKFNSSDECCKACKVMCS GDEGPCLCDSWVFCGDKEACGSKFGECWLKKQKDVLVPARQEGGGQKVMWTSGLIFGQ GQGIVGFETEHGVLHVKLHPECAPHSVYYILSLLTIRHCAGCQFHRAENRGSYWDSQG NHINNAPYGPPYAMIQGILQPEGNIFTPIPTEHCPTISRGSVGWVGSGPEFFISLANH HEWKQSYTVFGSVLPENMEVAERIAGLPTRTDVWNSFNVSVLEKPVSLTVRRMKSGQE QEESGS" gene 10888199..10890789 /locus_tag="BRARA_H00889" /db_xref="Phytozome:Brara.H00889" mRNA join(10888199..10889191,10889825..10890083, 10890212..10890789) /locus_tag="BRARA_H00889" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00889" CDS join(10888269..10889191,10889825..10890083, 10890212..10890379) /locus_tag="BRARA_H00889" /codon_start=1 /product="hypothetical protein" /protein_id="RID50141.1" /db_xref="Phytozome:Brara.H00889" /translation="MNVNASVAERRFIGKEAAACLPSDPKPKIKHHLPQSHLTVEIAD SSSPPQSSHPFDLRLGSILSFVSSSLPSIPSATNQKLLRQVIRVRLICFHLRFLLLLS VPPLYVFFLLISFRFFLVFVFSILAFSFFLSISLKLALPHLPSIRLIIARLLSLKLRS SSSSSSSQVVWSIGSKPVTEKKTNSGSWVHKYSSGDVYEGEFHKGKCSGSGVYYYSMK GKYEGDWVDGKYDGYGVETWAKGSRYRGQYRQGMRHGAGIYRFYTGDVYAGEWSNGQS HGCGVYTSEDGSRFVGEFKWGVKHGLGHYHFRNGDTYAGEYFADRMHGFGVYQFGNGH RYEGAWHEGRRQGLGMYTFRNGETQAGHWDDGVLSCPTEQSTRPGSSFSISHSKVLDT VQQARKAAEKAHEVVKVEERVNRAVMVANRAANSARVAATKAVQTKTYYSSGGDDPL" gene 10891191..10891682 /locus_tag="BRARA_H00890" /db_xref="Phytozome:Brara.H00890" mRNA join(10891191..10891273,10891363..10891682) /locus_tag="BRARA_H00890" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00890" CDS join(10891206..10891273,10891363..10891468) /locus_tag="BRARA_H00890" /codon_start=1 /product="hypothetical protein" /protein_id="RID50142.1" /db_xref="Phytozome:Brara.H00890" /translation="MQKSFSLIQTVAISGVFSAVSCWYGFMFGRESARKELGGLIEDL RRGGSDSGSPPHS" gene complement(10905254..10928217) /locus_tag="BRARA_H00891" /db_xref="Phytozome:Brara.H00891" mRNA complement(join(10905254..10905825,10905981..10906089, 10906180..10906232,10906383..10906604,10906837..10907121, 10907198..10907356,10907637..10907996,10908072..10908293, 10908724..10908850,10908967..10909103,10909194..10909371, 10909655..10910173,10910261..10910442,10910783..10910935, 10911001..10911099,10911199..10911544,10911628..10911701, 10911807..10912700,10912850..10912965,10913053..10913095, 10913460..10913654,10913892..10914161,10914243..10914405, 10914573..10914736,10914811..10915300,10915390..10915752, 10916141..10916455,10916539..10916623,10916699..10916988, 10917127..10917230,10917325..10917516,10917615..10918259, 10918775..10919059,10919139..10919282,10919413..10919521, 10919680..10919864,10919938..10920051,10920157..10920288, 10920396..10920725,10920835..10921260,10921339..10921413, 10921499..10921657,10921780..10921878,10922033..10922129, 10922689..10922789,10922889..10923092,10923190..10923390, 10923487..10923607,10923689..10923756,10924062..10924157, 10924240..10924344,10924433..10924754,10924837..10924929, 10925165..10925335,10925483..10925643,10925736..10925924, 10926012..10926113,10926491..10926608,10926689..10926783, 10926875..10926925,10927007..10927141,10927421..10927524, 10927889..10928217)) /locus_tag="BRARA_H00891" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00891" CDS complement(join(10905466..10905825,10905981..10906089, 10906180..10906232,10906383..10906604,10906837..10907121, 10907198..10907356,10907637..10907996,10908072..10908293, 10908724..10908850,10908967..10909103,10909194..10909371, 10909655..10910173,10910261..10910442,10910783..10910935, 10911001..10911099,10911199..10911544,10911628..10911701, 10911807..10912700,10912850..10912965,10913053..10913095, 10913460..10913654,10913892..10914161,10914243..10914405, 10914573..10914736,10914811..10915300,10915390..10915752, 10916141..10916455,10916539..10916623,10916699..10916988, 10917127..10917230,10917325..10917516,10917615..10918259, 10918775..10919059,10919139..10919282,10919413..10919521, 10919680..10919864,10919938..10920051,10920157..10920288, 10920396..10920725,10920835..10921260,10921339..10921413, 10921499..10921657,10921780..10921878,10922033..10922129, 10922689..10922789,10922889..10923092,10923190..10923390, 10923487..10923607,10923689..10923756,10924062..10924157, 10924240..10924344,10924433..10924754,10924837..10924929, 10925165..10925335,10925483..10925643,10925736..10925924, 10926012..10926113,10926491..10926608,10926689..10926783, 10926875..10926925,10927007..10927141,10927421..10927524, 10927889..10927985)) /locus_tag="BRARA_H00891" /codon_start=1 /product="hypothetical protein" /protein_id="RID50143.1" /db_xref="Phytozome:Brara.H00891" /translation="MLEDQVAYLLQRYLGNYVRGLSKEALKISVWQGDVELKNMQLKP EALNALKLPVKVKAGFLGSVKLKVPWSRLGQEPVVVYLDRIFLLAEPATDVEGCSEDS IQEKKRKLILEMETKLVERARRLHTEMNKSWVGSLVDTVMGNLKLSISNIHIRYEDLE SNPGHPFSAGFTLEKLLAVTVDENGKETFITGGTLASIQKSVELDRLAFYLDSDMSPW YIDKPWEDLLPSEWDQIFRYGTKDGKPAEDLTRKHFYILQPVSGNAKYIKSQANGSSN TDQPLQKAYVNLDDVTLCLSKGGYRDVMKLADNFSAFNQRLKYAHYRPSVSVKSDARS WWNYAFRVVSEQIKIASGRMSWEHVLKYTSLRKRYITRYASLLKSDVSKTVVDDDEEI KALDRGLDTEVILQWRMLAHKFVERSVQAENYSKAQQAKSSWWPFGGKSQVSEGEGES VQFTDEDWERLNKVIGYKEGDEQSIINNAKPDALHTFLEVHMRRNASKLYDGDKECLA ELSCEDLNCSIKLFPETKIADIKLGRYRLSSPNGPLAESAPASHSVLASFCYKPFDAK VDWSLVAKASPCYMTYLKDSIDGIVNFFESSTAVSQTIALETAAAVQSTIDEVRRTAQ QGMNRALKDHARFLLDLDIAAPKITIPTEFRPDNHRSTKLLLDLGNLVIRSQDDYKRE LSEEMDMYLQFDLVLSDVSALLVDGDYSWKQLSSKKSSGKESSVTFLPVIDKCGVLLK LQQIRRPNPSYPSTRLAVRLPSLGFHFSPARYHRLMQVAQIFQTKDDEGSHILRPWEE ADFEGWLSLLSWKGREATWQRRYLCLVGPFIYVLESPNSKSYKQYTSLRGKHIYKVPV ELAGGVEHVLSIRNASRINEKVMEDVNALILMFDSEESRKTWHSRLQSAVYRASGSAP IAGLSDTSSDSEESETEHKEDIWDLSKLESLYVTGVLDELKICFSYGQQHDASFMAVL LARESKLFEFRAIGGKVEVSMRGSDMFIGTVLKSLEIEDLVSRSGSNESCYLARSFIQ SSVVLPSFKDAEIKNPEGNDLSSSEGEEKFYEAPEILVDSVDYTSLRTPSFSRMDGLL PVDNRNITMPSSERIESLDSFVKAQIVIYHQTSPQYNNIDNQVTVSLATLSFYCRRPT ILAILEFVNAINVEDPSCESFEDSSPVAGEQSSPKRNGFEDSRDAAVKGLLGKGKSRI IFNLALNMARAQIFLMNENGTKFATLSQDNLLTDIKVFPNSFSITASLGNLRISDDSL PDNHMYFWICDMRDPGGTSFVELAFTSFSVIDEDHEGFDYCLSGQLSEVRIVYLNRFI QEVAEYFMGLVPSDSKGVVKMKDQITDSEKWFTTSEIEGSPALKLDLSLKKPIIVMPR HTESPDYLKLDVVHITVNNTFQWFAGDKNELNAVHMETMKVMVMDINLNVGSGAEIGE SIIQDVNGVSVTINRSLRDLLHQIPSIEVSVEIDELRAALSNREYQILTECAQSNISE IPHTVPPLSGDIVASSRNLPETLTSEDPNAAQTEKSDAWISMKVSVVINLVELCLYAG TARDAPLAAVQISGGWLLYKSNTHDEGFLTATLKGFSVIDNREGTEKEFRLAVGRPAD LDFGDSHSLTDGDQRLTHSRVTNGSDVRPLPSMLTLDAQFGQSSTFVSVCIQRPQLLV ALDFLLAVVEFFVPTIGSVLSSEEDKNLNMVDAVIMDQSIYKQQTAEAFLSPLGPLIV EDEKFDDFVYDGNGGTLYLKDRHGGILSSPSTEPVIYVGSGKKLHFRNVIFKNGQFLD SCISLGAYSSYSVSREEGVVLEEYHKVPKQDSESKEDPVSQSPSITTEKSTEMIIEFQ AIGPELTFYNTSKDVVKTPLLSNKLLHAQLDAYGRVIIKNDEIEMSAHTLGLSMESNG VKILEPFDTSVKYSSVSGTTNIELSVSNIFMNFSFSILRLFIAVEEDILSFLRMTSRK MTVVCTEFDKVGIIRNPCTDQKYAFWRPHPPPGFASLGDYLTPLDKPPTKGVLVVNTN LMRVKRPLSFRLIWSPLASNGLGDTSTDDKDERDNLCSIWFPEAPKGYVALSCVVSSG CTPPPLASVFCILASSVSPCSLRDCVAISSTDISQSSLAFWRVDNSVGSFLPADPSSL SLSGRPYELRHILFGSTGVLPKESSYVDVRTTDNIQPIQPQSQPLNSVRSGQRFEAVA SFQLIWWNRGSGSQKKVSIWRPIITEGMAYFGDIAVSGYEPPNSCVVLRDDGEQDILK AAVDFQLVGRVKKHRGVESISFWMPQAPPGFVSLGCVASKGSAKPYELTKLKCARSDM VAGDRFAEDSLWDTSDVWQRVEPFSIWGIGNELKTFIVRSGLKKPPRRFALKLADQDL PGGIDNMVIRAEIGTFSAALFDDYGGLMVPLVNVSLSNICFGLLGKTNYTKSTINFSL AARSYNDKYEAWEPLIEPADGFLRYQFNPRSFGAVSELRLTSTTDLNVNFSVSNANTI IQAYSSWNNLSNVHEYHKERGAFPLVDNGKSVIEVHQKKTYFIIPQNKLGQDIYIRPT EIKGFKDIVKMPSGDMRPVKVPVLTNMLDSHLRGELCSNPRIMVTVIVVDAQLPRISG LSSHQYTGVIRLSPKQTSPTEPVLRQQCARTCGSVSNMFSSELEVVDWSEIFFFKIDS LDDYLLELIVSDVGNGAPVGAFSAPLKQVARYMKDNQYEHNYANDLVWLDLSTMSMNQ GDQRKNCGKIRCAVLLPAKSKMVEQGKSSSEKKSGFIQVSPSIEGPWTTVRLNYASPA ACWRLGNDVVASEVSMEDGNRYVNVRSLVSVENNTEYLLDLCLEAKVHSFPNISIGLL KPGDILPVPLSGLTQSASYVLKLKCVMTDGSEYSWSSVVSRPEEPEVACESESEICIS SLTESEHLLCCTQISSTSPGHNKIYWFCLKTQATEIAKDIRSDPIQDWTLVVKSPFSI ANYLPFGAEYSVLEKQDNGDLICHSQSREFIGSGETVKVHTVDIRKPLYFSLLPQRVW LPMREAVLVSHPNGVPAKTIDLRSSATGRVAQITLEQTYDDQQKVLTKMIRIYAPFWF SIARCPSLTLRLLDLPGNKKTKKFGLPFRNKKNDEVVREITEEDIYEGHTIASTLNFK HMGMSVSISQFGNQQHGPAKDLSALGDMDGSLDVDAYDPDGKCMRLFLSTKPCGYQSV PTKIISVRPFMTFTNRIGEDIYIKLNSDDEPKVLNAYDSRVSFVFQPSGRDELQVRLR ETEWSFPVQVAREDTIVLVLRCQNGARRFLKAEIRGFEEGSRFIVVFRLGPSNGPMRV ENRTAVKRISVRQSGFGEDSWVLLEPLTTVNFAWEDPYGQKFLDAKVENDHRSGVFRL DMENGVVDSELCRDLEVNFHVKEIGDIKIARFTDDDSTSQSPHEIISLSSVGNNRYST RQIPSEQKTTTLEFIIEMGLVGISLVDHVPKELSYFYLEKVFVSYSTGYDEGRTSRFK VILGNLQIDNQLPLTLMPVLLAPDNTGDSHQPVLKMTITMCNEETDGIQVYPYVYVRV TDNTWRVNIHEPIIWASADFCNKLQMDRLPKSTSVAQVDPEIHINLIDVSEVRLKVSL ETAPAQRPHGILGVWSPILSAVGNAFKIQVHLRRVMHRDRFIRKSSILPAIGNRIWRD LIHNPLHLIFSVDVLGMTSSTLASLSRGFAALSTDGQFLHLRERQVWSRRITGVGDAF VQGTEALAQGVAFGVSGVVTKPVESARQNGILGFAHGVGRAFLGFFVQPMSGALDFFS LTVDGIGASCTRCLEVLSNRTALERIRNPRAVHADGILREYDEKEAIGQMLLHLAEAS RHFGCTEIFREPSKFALSDCYEEHFLVPYKRIVIVTNKRVVLLQCSDLDKMDKKPSKI MWDVPWEELMALELAKAGGQRPSHLILHLKTFQKSESFAQVIKCSVSEESDVLEPQAV RICSAVRKMWKAYQSNMKNHVLKVPSSQRHVYFAWNEADGRDSKSYSNKAIIKSRDLS SSSSSVSDDRKFVKHSINFSKIWSSERESKGRCTLCKKQVSEDGGVCTIWRPSCPEGF VSVGDVAHVGSHPPNVAAVYNNTEGVFALPVGYDLVWRNCLDDYVSPVSIWHPRAPEG FVSPGCVAVASFKEPEPNTVYCMPTSLAEQTEFEEQKVWSSPDSYPWACHIYQVRSDA LHFMALRQTKEESDWRAIRVRDDYRSMESESARNLRIE" gene 10940304..10944095 /locus_tag="BRARA_H00892" /db_xref="Phytozome:Brara.H00892" mRNA join(10940304..10940875,10940942..10941023, 10941120..10941226,10941303..10941429,10941521..10941615, 10941732..10941855,10942008..10942088,10942177..10942275, 10942353..10942517,10942769..10942909,10942990..10943037, 10943178..10943306,10943368..10944095) /locus_tag="BRARA_H00892" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00892" CDS join(10940805..10940875,10940942..10941023, 10941120..10941226,10941303..10941429,10941521..10941615, 10941732..10941855,10942008..10942088,10942177..10942275, 10942353..10942517,10942769..10942909,10942990..10943037, 10943178..10943306,10943368..10943601) /locus_tag="BRARA_H00892" /codon_start=1 /product="hypothetical protein" /protein_id="RID50145.1" /db_xref="Phytozome:Brara.H00892" /translation="MSSFVLGGLKMIDQFINFVIRPPRAEYDPDQYLWEKDFSIGGTK CKRQDLELTNSRGHTLRCSHYIPSSSLDNTTPLPCVIYCHGNSGCRADANEAAMVLLP SNITVFTLDFSGSGLSDGDYVSLGWHEKDDLKTVVSYLRSSNQVSRIGLWGRSMGAVT SLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKVAVQYMRRVIQKKA KFNIMDLNCLKVSPKTFIPALFGHASGDKFIQPHHSDHILKCYAGDKNIIKFDGDHNS SRPQFYYDSVLIFFYNVLRPPQVSSACSSKLESYSGAGLDENFLYEIISGLRSACIDV ASSSSSAPPASLTTKPTNELISEAMPIMDTDDLLVADNDQNIDEPEGMPIDQFEEGCS FTSSNRESWGRCSSLGGSEEDESLAVGEGDQVEKADIGNTEQKPIESTRQQEEEEKEE RKEKKMKKGVETDAKKPKREKLERLEAFSKRLRLGIIKRVNHGRHRSSQP" gene complement(10943732..10945185) /locus_tag="BRARA_H00893" /db_xref="Phytozome:Brara.H00893" mRNA complement(join(10943732..10944084,10944278..10944482, 10944598..10944680,10944778..10944917,10945035..10945185)) /locus_tag="BRARA_H00893" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00893" CDS complement(join(10943926..10944084,10944278..10944482, 10944598..10944680,10944778..10944917,10945035..10945080)) /locus_tag="BRARA_H00893" /codon_start=1 /product="hypothetical protein" /protein_id="RID50144.1" /db_xref="Phytozome:Brara.H00893" /translation="MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFG ARMITIDNKPLKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLE DARQHANANMTIMLIGNKCDLSSRRAVTTEEGEQFAKEHGLIFMEASAKTAQNVEEAF IKTAATIYKKIEDGVFDVSNESYGIKVGYGGIPGPSGGRDGSTPQGGCCG" gene complement(<10956071..>10957700) /locus_tag="BRARA_H00894" /db_xref="Phytozome:Brara.H00894" mRNA complement(join(<10956071..10957462,10957527..>10957700)) /locus_tag="BRARA_H00894" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00894" CDS complement(join(10956071..10957462,10957527..10957700)) /locus_tag="BRARA_H00894" /codon_start=1 /product="hypothetical protein" /protein_id="RID50146.1" /db_xref="Phytozome:Brara.H00894" /translation="MAKIRADAPVETPPRMSEVVGEIDTRPPFQSVRDAVSLFRQASF SKQQQPRLSSSSSQDATDVSEKETQLLLAEQEMGRVQLCLDTSVKAKARALSALDSAQ RKAFDLRAKLEATKHSKKSAILTKHTMNQRLEKLQSQNQETERAREDYILATAELLMA RQKLAEIKQEFSISVEERLSELQRADEAECATVVNSQKISDMTHEIAEMCNAAERLKS GADRIKKEEEKINEERVDMEETYGDMKREAELRLEDLRRGCDPDLRKDIDELEEISAE NELLREEIKLAMELKEAKSAMREIFDEERSYRSLVGSLTVELDGVQRENIDLKGKENE REAFEEVEWVEASRKVDEIISEAERTRQEAEEMRMQVDELRRETSGRRTVMGEAVKQL EIVGRAVVKAKTAEKRAVEDMRVLTDKKESLKHDEPDKKIRISLKEYEELRGKHEESE RMVQYKAKTVAVQLEEIKESRVEGEIMLEEKMKEMEEVEEAIDSALRNAEIAEEAHCI VDAELRKWKPQEL" gene complement(10958465..10961185) /locus_tag="BRARA_H00895" /db_xref="Phytozome:Brara.H00895" mRNA complement(join(10958465..10960337,10961040..10961185)) /locus_tag="BRARA_H00895" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00895" mRNA complement(join(10958465..10960337,10961027..10961185)) /locus_tag="BRARA_H00895" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00895" CDS complement(10958705..10960297) /locus_tag="BRARA_H00895" /codon_start=1 /product="hypothetical protein" /protein_id="RID50147.1" /db_xref="Phytozome:Brara.H00895" /translation="MQTSQKHHSAAGLHMLYPQPHCSPQFQMIDNNGSSKESFFTLES STASGTTLPSYDSPSSVSIKSGRSPFSPQGSHSCISDPHPSPENVYESSLTAASSYIY DEAGVKSKIRELEDTLLSSDPKVEEYSGFSPAAGKSWNWDELLALTPQLDLKEVLLEG ARAVADGDFATACGFIDVLEQMVSVSGSPIQRLGAYMAEGLRARLEGSGGNIYRALKC NEPTGRELMSYMGVLYEICPYWKFAYTAANAAILEATAGDNRIHVIDFQIAQGSQYMF LIHELAKRPGGPPLLRVTGVDDSQSYYARGGGLTLVGEKLANMARSCGVPFEFHDAIM SGCEVHREHLGVEPGFAVVVNFPYVLHHMPDESVSVENHRDRLLHLIKSLGPRLVTLV EQESNTNTSPFLSRFAETLDYYTAMFESIDAARPRDDKQRISAEQHCVARDIVNMIAC EERERVERHEVLGKWRVRMMMAGFVGWPVGGSAAFAASEMLKGYDKNYKLGGSDGALY LFWKRRPMATCSAWKPNLNQIV" CDS complement(10958705..10960297) /locus_tag="BRARA_H00895" /codon_start=1 /product="hypothetical protein" /protein_id="RID50148.1" /db_xref="Phytozome:Brara.H00895" /translation="MQTSQKHHSAAGLHMLYPQPHCSPQFQMIDNNGSSKESFFTLES STASGTTLPSYDSPSSVSIKSGRSPFSPQGSHSCISDPHPSPENVYESSLTAASSYIY DEAGVKSKIRELEDTLLSSDPKVEEYSGFSPAAGKSWNWDELLALTPQLDLKEVLLEG ARAVADGDFATACGFIDVLEQMVSVSGSPIQRLGAYMAEGLRARLEGSGGNIYRALKC NEPTGRELMSYMGVLYEICPYWKFAYTAANAAILEATAGDNRIHVIDFQIAQGSQYMF LIHELAKRPGGPPLLRVTGVDDSQSYYARGGGLTLVGEKLANMARSCGVPFEFHDAIM SGCEVHREHLGVEPGFAVVVNFPYVLHHMPDESVSVENHRDRLLHLIKSLGPRLVTLV EQESNTNTSPFLSRFAETLDYYTAMFESIDAARPRDDKQRISAEQHCVARDIVNMIAC EERERVERHEVLGKWRVRMMMAGFVGWPVGGSAAFAASEMLKGYDKNYKLGGSDGALY LFWKRRPMATCSAWKPNLNQIV" gene 10966116..10968313 /locus_tag="BRARA_H00896" /db_xref="Phytozome:Brara.H00896" mRNA join(10966116..10966451,10966520..10966763, 10967049..10967951,10968032..10968313) /locus_tag="BRARA_H00896" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00896" CDS join(10966539..10966763,10967049..10967951, 10968032..10968049) /locus_tag="BRARA_H00896" /codon_start=1 /product="hypothetical protein" /protein_id="RID50149.1" /db_xref="Phytozome:Brara.H00896" /translation="MELESSCKLFIGGISWETTEDSLLQYFQTFGEVLEALVIKDRLT GRARGFGFIVFKDSSVAQRVLLQKHMIDGKSVEAKKAVPRDDHISVNKSNSSLQGSPG PAHSKKIFVGGLASSVTEAEFKKYFAQFGTIIDLVVMYDHKTQRPRGFGFVTYDSEEA VDKVLQRKFHELDGKMVEVKVAVPKETSPIPNMNISSLNNFGSSRMSLLLNDYTQGFN LSPTSAKPEVRYSPALSNQRSFSPFGHGFEIDLDFIERNHNQSNVSRRLFSPGYNASL SRYGGQWNGSVNRNQLWGNNGGLSYMSNAELSGGFNGNYGVGSIGEKWGTVGEGRINY GISNGMGLGSRGGGGAHMSSSGWGMSSEGGGMRGCGYMNNTEELLLA" gene <10968858..>10969313 /locus_tag="BRARA_H00897" /db_xref="Phytozome:Brara.H00897" mRNA <10968858..>10969313 /locus_tag="BRARA_H00897" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00897" CDS 10968858..10969313 /locus_tag="BRARA_H00897" /codon_start=1 /product="hypothetical protein" /protein_id="RID50150.1" /db_xref="Phytozome:Brara.H00897" /translation="MRLLSSEPQLNSTCKSQSCSWKPYSHPNDFTANAFILLIIIFSS LICVLSLKAALRCCLRPVLQHDPKPNPDPEASHSEPFPVLVYSPELNLAGTEAECIIC LSEFKDGDTLRVLDRCKHGFHVHCIQQWFSSNRSCPTCRTDIFSPTGTP" gene complement(<10972445..>10974658) /locus_tag="BRARA_H00898" /db_xref="Phytozome:Brara.H00898" mRNA complement(<10972445..>10974658) /locus_tag="BRARA_H00898" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00898" CDS complement(10972445..10974658) /locus_tag="BRARA_H00898" /codon_start=1 /product="hypothetical protein" /protein_id="RID50151.1" /db_xref="Phytozome:Brara.H00898" /translation="MGCDGQGKLSDSEFSKPLPWIGVYVAAASLICGLAMFADLLRGF RNRRFWFPCKSFSLNATTLTFLAVCVKLSVDLNTCMPSRQDQLSKLSSNVFVCTVMAN SMPSLGFMVTQDLLMNLVALGILVVTDVVNICIQLGTGAIYVFPQEHAFVIVLTLLMF VILTFSAITVPATKRFLELKYKKSYELALKQCPLYQTERRGGFCELKEDLMKFWMMAH TCSPQFVMARSVTCTTSGFLCFLSAVTLSEAILRSYFIQPSSLGFCGGDSDYKWSTTL VLLSQAAAVAIGTVAPASRWFTAVNLQCSSGASKSLRDELRVESYWVESLSEKKEHPL NFWMLHGRRSRKLAHDVNRCILDLCIAIQYGIVFASKLLRFIAVYCVSRILLCCHFTF RTHPDSSSGSNPSARQFVLHLEGEEELVDYMARSNREATNHLIQKGRKKQPQNLIDLL EATDPISKGFEGILEFDSDEVGSLAAGEPPNCWALPLVTLTSIAASLPNINPCLLKKL VKAVNEALVYVKKFEDVLDIEGELANSRKAAEVVWLGVDLYHKWLKVDLEKLSKQQRA RPQEVFKELEDIAKKEFSESWEKNMLLKPSRWPIKILAANSMYRISQTLTKKYESRNI GTEDTLFREVERMVSDIVAGCFCNTARVVGMQCLVTAVEVREASVREAAMHLGRTEKI LEIVDRRCIPALSHHKVAKIDGWREFYKTNKCIPSSTTHPSTQCTTRQLILTIEK" gene 10975268..10978499 /locus_tag="BRARA_H00899" /db_xref="Phytozome:Brara.H00899" mRNA join(10975268..10975650,10975738..10975806, 10975889..10975999,10976078..10976182,10976393..10976530, 10976664..10976744,10976827..10976904,10976989..10977057, 10977156..10977230,10977321..10977404,10977493..10977579, 10977662..10977832,10977906..10978032,10978149..10978499) /locus_tag="BRARA_H00899" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00899" CDS join(10975273..10975650,10975738..10975806, 10975889..10975999,10976078..10976182,10976393..10976530, 10976664..10976744,10976827..10976904,10976989..10977057, 10977156..10977230,10977321..10977404,10977493..10977579, 10977662..10977832,10977906..10978032,10978149..10978264) /locus_tag="BRARA_H00899" /codon_start=1 /product="hypothetical protein" /protein_id="RID50152.1" /db_xref="Phytozome:Brara.H00899" /translation="MAAKFLPATTLRLTPFSSLRFLSFFPSSYPLFSPLRRPISEGFP ANARRRCFCTAVTESAGSGEGKKVDNSYENRFGSRVGEFRRKLKIADVKGGADQGLGR VGQSLSIMGWVRTLRSQSSVTFIEINDGSCLSNLQCVMNPEAEGYDQVESGSVLTGAS ISVQGTIVASQGTKQKVELKVEKIIVVGKCDSSYPIQKKRVSREFLRTKAHLRPRTNT FGAVARVRNALAYATHKFFQESGFVWVASPIITASDCEGAGEQFCVTTLIPSSHETTD SSIDAIPKRKGGLVDWSQDFFGKPAYLTVSGQLNGETYATALSDVYTFGPTFRAENSN TSRHLAEFWMIEPELAFADLNDDMACATAYLQYVVKYVLDNCKEDMEFFDTWIEKGII HRLSEVVEKEFLQLGYTDAIELLLKANKKFEYPVKWGLDLQSEHERYITEEAFGGRPV IIRDYPKEIKAFYMRENDDGKTVAAMDMLVPRIGELIGGSQREERLEVLEARLDELKL DKESYWWYLDLRRYGSVPHAGFGLGFERLVQFATGIDNIRDVIPFPRSPGSADF" gene <10985661..>10987699 /locus_tag="BRARA_H00900" /db_xref="Phytozome:Brara.H00900" mRNA join(<10985661..10985942,10986117..10986938, 10986976..10987154,10987442..10987570,10987666..>10987699) /locus_tag="BRARA_H00900" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00900" CDS join(10985661..10985942,10986117..10986938, 10986976..10987154,10987442..10987570,10987666..10987699) /locus_tag="BRARA_H00900" /codon_start=1 /product="hypothetical protein" /protein_id="RID50153.1" /db_xref="Phytozome:Brara.H00900" /translation="MGKKKPLKKTSPKKSRKKSTSTKKSPSAPHVSPRDLDLMKTVSP VATGSSESLSAQSPSSQVDMARKQPAEPSTPLVPPEEASVSASPVTQQIPKKGEAFTL PSGEACVKIPNQIIEKNRKAWDCFILGQFYSDPPPQGLVHSVANGIWSRHHRDITVTK MEGFSFLFRISNAATRNRVIHQRLWQIEGQTMFVAKWEPCIIPEKPELTSAPIWLELR DVPLQFFHEDGLERIAGLVGDPKVLHPSTANKTNLEVAKVLTLIDPRKPLPEAVNVQF DSGEIKRIGVSSPWMPPVCSHCKEIGHSLKRCKAAPKNCSTCNSTVHQSADCPRKPNF AHSNKKQYKVKEKADTILPKETTPRVSNSFSKLEKGSTSNTEVQITRPKKASHEQSDG SSHFTEVEHDSSDISSSEDTSDPELQRTLAEFTEVVVRVTILETSLQMITSEVFLPNY TEAIIVSLVYASNDDEVRRELAFITAYSEHR" gene 10991666..10996581 /locus_tag="BRARA_H00901" /db_xref="Phytozome:Brara.H00901" mRNA join(10991666..10991826,10992281..10992354, 10992472..10992716,10992791..10994245,10994322..10994459, 10994536..10996581) /locus_tag="BRARA_H00901" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00901" mRNA join(10991960..10992061,10992281..10992354, 10992472..10992716,10992791..10994245,10994322..10994459, 10994536..10996581) /locus_tag="BRARA_H00901" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00901" CDS join(10992306..10992354,10992472..10992716, 10992791..10994245,10994322..10994459,10994536..10996464) /locus_tag="BRARA_H00901" /codon_start=1 /product="hypothetical protein" /protein_id="RID50154.1" /db_xref="Phytozome:Brara.H00901" /translation="MKRKRYYQLNHTFDPCPFEVFCSGTWKAVEYLRVESGSMTMRLF ENGHVLDDVKPFQKLRLRSRKATTIDCTTFLRHGVDVCVLYQKDEVTPEDDLEPVWVD AKIVSIERKPHEPECLCEFHVSIYIDQGCISSEKHRMNRASVVMGLDQISILQKFPKE QSVDRFYRWRYSEDSASLVKTRLTLGKFMPDLSWLLVTSVLKNTVFHIKTIQNKMVYL IMTDEESSSSSCLSAMNITVEDGVYLSKVVTFNPDEDDTTLALDYVHVEEEESEEEEE VMELRRSKRRNMRPDRYGFSGVQPDSKDGWVRLMPYKYSTWTDDEEGDDEDSNDDRDS DDDLYLPLSHFFGKESNTKGFSKRKESEIVLVDKTARKKKMMMKMKMKKRDGSGGSRE LSVIPFTPVFDPIPLEQFGFNANSLCSGGFSGSNLIDEMDRYRSKPSKYGKKKMLSEM DEMESDLGWIDNMSKSSVQKGTGSHSRIRSGYGKTGHSDEPQIFKRRTLSAGAYNKLI ESYMSNIDSTIAAKKETNSVVEQWEALKNPTSTTVEDEDGSSEDDDSEGETSENEMLW REMELCMASSYILDDNELRVDNEAFQKATSGCEHDYELNEEIGMCCKLCGHVGSEIRD VSAPFARQKKWTTEAKHINEEDIDTNVKQDGDERRSFTMPVATLEVPSPEESENVWSL IPQLRRKLHMHQKKAFEFLWRNLAGSVVPSMMDPSSDKIGGCVVSHTPGAGKTFLIIA FLASYLKIFPGKRPLVLAPKTTLYTWYKEFIKWEIPVPVHLIHGRRTYCVAKENKIQF KGIPKPSQDVSHVIDCLDKIQKWHAQPSVLVMGYTSFLTLMREDSKFAHRKYMAKVLR ESPGLLVLDEGHNPRSTKSRLRKALMKVDTDLRVLLSGTLFQNNFCEYFNTLCLARPK FIHEVLMELDEKFNTNQTVQKAPHLIENRARKFFLDIIAKKIDTKVGDERLQGLNMLR NMTSGFIDNYEGSGSGSGDVLPGLQIYTLLMNSTDLQHKTLTKLQTVMSTYHGYPLEL ELLITLAAIHPWLVKTSTCCAKFFNPEELFEIEKLKHDAKKGSKVMFVLNLVFRVVKR EKILIFCHNIAPIRLFLELFENVFRWKRGREILTLTGDLELFDRGRVIDKFEEHGGPS RVLLASITACAEGISLTAASRVIMLDSEWNPSKTKQAIARAFRPGQQKVVYVYQLLSR GTLEEDKYRRTTWKEWVSSMIFSEEFVEDPSQWQAEKIEDDVLREIVEEDKVKSFHMI MKNEKASTG" CDS join(10992306..10992354,10992472..10992716, 10992791..10994245,10994322..10994459,10994536..10996464) /locus_tag="BRARA_H00901" /codon_start=1 /product="hypothetical protein" /protein_id="RID50155.1" /db_xref="Phytozome:Brara.H00901" /translation="MKRKRYYQLNHTFDPCPFEVFCSGTWKAVEYLRVESGSMTMRLF ENGHVLDDVKPFQKLRLRSRKATTIDCTTFLRHGVDVCVLYQKDEVTPEDDLEPVWVD AKIVSIERKPHEPECLCEFHVSIYIDQGCISSEKHRMNRASVVMGLDQISILQKFPKE QSVDRFYRWRYSEDSASLVKTRLTLGKFMPDLSWLLVTSVLKNTVFHIKTIQNKMVYL IMTDEESSSSSCLSAMNITVEDGVYLSKVVTFNPDEDDTTLALDYVHVEEEESEEEEE VMELRRSKRRNMRPDRYGFSGVQPDSKDGWVRLMPYKYSTWTDDEEGDDEDSNDDRDS DDDLYLPLSHFFGKESNTKGFSKRKESEIVLVDKTARKKKMMMKMKMKKRDGSGGSRE LSVIPFTPVFDPIPLEQFGFNANSLCSGGFSGSNLIDEMDRYRSKPSKYGKKKMLSEM DEMESDLGWIDNMSKSSVQKGTGSHSRIRSGYGKTGHSDEPQIFKRRTLSAGAYNKLI ESYMSNIDSTIAAKKETNSVVEQWEALKNPTSTTVEDEDGSSEDDDSEGETSENEMLW REMELCMASSYILDDNELRVDNEAFQKATSGCEHDYELNEEIGMCCKLCGHVGSEIRD VSAPFARQKKWTTEAKHINEEDIDTNVKQDGDERRSFTMPVATLEVPSPEESENVWSL IPQLRRKLHMHQKKAFEFLWRNLAGSVVPSMMDPSSDKIGGCVVSHTPGAGKTFLIIA FLASYLKIFPGKRPLVLAPKTTLYTWYKEFIKWEIPVPVHLIHGRRTYCVAKENKIQF KGIPKPSQDVSHVIDCLDKIQKWHAQPSVLVMGYTSFLTLMREDSKFAHRKYMAKVLR ESPGLLVLDEGHNPRSTKSRLRKALMKVDTDLRVLLSGTLFQNNFCEYFNTLCLARPK FIHEVLMELDEKFNTNQTVQKAPHLIENRARKFFLDIIAKKIDTKVGDERLQGLNMLR NMTSGFIDNYEGSGSGSGDVLPGLQIYTLLMNSTDLQHKTLTKLQTVMSTYHGYPLEL ELLITLAAIHPWLVKTSTCCAKFFNPEELFEIEKLKHDAKKGSKVMFVLNLVFRVVKR EKILIFCHNIAPIRLFLELFENVFRWKRGREILTLTGDLELFDRGRVIDKFEEHGGPS RVLLASITACAEGISLTAASRVIMLDSEWNPSKTKQAIARAFRPGQQKVVYVYQLLSR GTLEEDKYRRTTWKEWVSSMIFSEEFVEDPSQWQAEKIEDDVLREIVEEDKVKSFHMI MKNEKASTG" assembly_gap 10997357..11007356 /estimated_length=unknown /gap_type="between scaffolds" gene complement(<11009067..>11012581) /locus_tag="BRARA_H00902" /db_xref="Phytozome:Brara.H00902" mRNA complement(join(<11009067..11009324,11009595..11009849, 11009957..11010701,11010968..11011207,11011291..11011360, 11011446..11011560,11011659..11011702, 11012443..>11012581)) /locus_tag="BRARA_H00902" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00902" CDS complement(join(11009067..11009324,11009595..11009849, 11009957..11010701,11010968..11011207,11011291..11011360, 11011446..11011560,11011659..11011702,11012443..11012581)) /locus_tag="BRARA_H00902" /codon_start=1 /product="hypothetical protein" /protein_id="RID50156.1" /db_xref="Phytozome:Brara.H00902" /translation="MGSKGSVRKMIQSLKEIVNCSDSEIYTMLVECHMDPNETVIRLI SQDAFQEVKSKRNKNKDTKYQAESSRRGIPNRGARNSAKSSYNTARGGGNKFNSNETR LAQRGKGARNHWAGSSSAPKSDPKNAEVQEAAPAGSTGAAASSSSLPPPAYQSAWAKA NPGKKTMAEIVKMGKPLHQKKVSVPRSLETQERGSKAPLKDEGKAPLKDEGSSLEKQK RGSKAPLKDKGSSTEKQERGSKAPLKDEGSSLEKQESGSKAPLKDEGSSFGKQESGSK APLKDEGSSFEKQDVSDPVPSLLKPFSVPKTHADQVAFHQHVDESQMDDEVLETKTNQ VAFHPDLDQVAQLSHLRFGSFGLIGSGRASSRFNYNLEDTQETEEDSSFRQQDTNFYG GEEELRYNATDEQTSYQIDSTARNYHASSDSEREAAHHEEPPQEDPYMQNLDSFFTNV MDLRDESISPPGGGQQAAALYQHPALYPYFNQHGMPLGYHGNFISDPFMPHGYMHPGF QQGFPVGNHQAPLVVVIPPSASSLQQQQNENTFAWQRPHMRVAPSGEVYIYSVNPNMQ PPGFVQAQQLHQQQLSQQALMSLDQLRHQHQYQHHQQSAGEASSQTQEQLWPNNS" gene 11017145..11018134 /locus_tag="BRARA_H00903" /db_xref="Phytozome:Brara.H00903" mRNA join(11017145..11017265,11017675..11018134) /locus_tag="BRARA_H00903" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00903" CDS join(11017176..11017265,11017675..11017986) /locus_tag="BRARA_H00903" /codon_start=1 /product="hypothetical protein" /protein_id="RID50157.1" /db_xref="Phytozome:Brara.H00903" /translation="MAVPLLTKKVVKKRSAKFIRPQSDRRITVKESWRRPKGIDSRVR RKFKGVTLMPNVGYGSDKKTRHYLPNGFKKFVVHNTSDLELLMMHNRTYCAEIAHNVS TKKRKAIVERASQLDIVVTNRLARLRSQEDE" gene <11018973..>11020715 /locus_tag="BRARA_H00904" /db_xref="Phytozome:Brara.H00904" mRNA join(<11018973..11019240,11019379..11019768, 11019876..11020252,11020283..11020347,11020679..>11020715) /locus_tag="BRARA_H00904" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00904" CDS join(<11018973..11019240,11019379..11019768, 11019876..11020252,11020283..11020347,11020679..>11020715) /locus_tag="BRARA_H00904" /codon_start=1 /product="hypothetical protein" /protein_id="RID50158.1" /db_xref="Phytozome:Brara.H00904" /translation="SSLFKLQHLRNLTLRDCNLSGDLPSSLGNLSHLAKLHLSKNYLV GEIPVSFGNLNQLRDLSLADNQFSGNIPFSFANFTQLSQIIISLVRIVSLRNNQFKGP IEFRNTSSFSKLHILFLQQNKFNGHIPKSISKISNLKNLDLHDNNFTGSVPNLANLEY LDLSYNKLEGEIPGSLGDMLELMLSHNSFSSFGKSFELSDLTHIQSMDLSSNCFRGPL PNGTHLVSVDISGNQLEGKPPPSLINCISLEFLNVKSNRIKDTFPILVGFSTVVNVLI LRQNELYGPLYHPRMSIGFQSLKIIDLSHNHFNGTLLPFYFSNRREITNLTKRSSGYM GDGYSTNSMEMLIRTDFGGIDFSGNNISAVIAVSIMLNLGTTSYK" gene complement(11020914..11022816) /locus_tag="BRARA_H00905" /db_xref="Phytozome:Brara.H00905" mRNA complement(join(11020914..11021277,11021460..11021558, 11021643..11022136,11022566..11022816)) /locus_tag="BRARA_H00905" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00905" CDS complement(join(11021466..11021558,11021643..11022136, 11022566..11022650)) /locus_tag="BRARA_H00905" /codon_start=1 /product="hypothetical protein" /protein_id="RID50159.1" /db_xref="Phytozome:Brara.H00905" /translation="MPSGAKKRKAAKKKQEQASSTLKPNNNHGTTEEEAVRLVKDTDE RIEITDSSHDHDKSSSSRSSGSGSSSSSSDDESQEVKREDGDKVETQVITPVPSQPVP VAGDAPFIIGSTANAIVENTGLMDSTTPSDPNTENIVEISSVDSVLSNEPAAEVSLAS DESEQASSSKKESKCVPEGSKESEVVISHEEEEAPVRPIHGVAQRTSWLSCCGLFDVM TRSSR" gene 11024008..11025798 /locus_tag="BRARA_H00906" /db_xref="Phytozome:Brara.H00906" mRNA join(11024008..11024374,11024975..11025140, 11025229..11025354,11025444..11025509,11025595..11025798) /locus_tag="BRARA_H00906" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00906" CDS join(11024079..11024374,11024975..11025140, 11025229..11025354,11025444..11025509,11025595..11025645) /locus_tag="BRARA_H00906" /codon_start=1 /product="hypothetical protein" /protein_id="RID50160.1" /db_xref="Phytozome:Brara.H00906" /translation="MAVEDTSKPVVVAEEANPNPTDHPIDRYHEEGDDAEEGEIAGGE GDGDESSKSAVPQSHPLEHSWTFWFDNPSVKLKQATWGSSLRSVFTFSTVEEFWSLFN NMRGPSKLAGGADFYCFKHNIEPKWEDPICANGGKWTMNFPKEKSDKPWLYTLLALIG EQFDHGDEICGAVVNVRGKQERISIWTKNASNEAAQVSIGKQWKEFIDYNNSIGFIIH EDAKKLDRGAKSAYTA" gene 11031022..11033099 /locus_tag="BRARA_H00907" /db_xref="Phytozome:Brara.H00907" mRNA join(11031022..11031758,11031843..11031898, 11031992..11032123,11032210..11032371,11032452..11033099) /locus_tag="BRARA_H00907" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00907" CDS join(11031164..11031758,11031843..11031898, 11031992..11032123,11032210..11032371,11032452..11032802) /locus_tag="BRARA_H00907" /codon_start=1 /product="hypothetical protein" /protein_id="RID50161.1" /db_xref="Phytozome:Brara.H00907" /translation="MDSRELHQQQQQQQQQQQQHQQLQPPPGFLMGSYNRNPNAAAAA LMGPTSTSQAMHHRLPFGSLAPHQPQHHQQQQQLHPHQHHQPQPQHQMDQKTLESLGF EGSPSSVAAQQQQPMRFGIEPQAKKKRGRPRKYAADGNIGLALAPTSPASNSYGGGAE GGGGGDSGGGGNANSSDPPAKRNRGRPPGSGKKQLDALGGTGGVGFTPHVIEVKTGED IGMKVVAFTQQGPRAICILSATGAVSTVMLRQANNPNGAVKFEGPYEIISMSGSFLNT ESNGTVTKTGSLSVSLARPDGQVVGGCVAGMLVAGSQVQVVVGSFVADGKKQKQSAGR VQNTPEPASAPANMLTFGGGGGGGGGGQGSPRSQGQQHSSESSEENESNSPLHRGNNN NNNNHHGLFGNSTPQQLHQMPPMQPQMYHHHLWPGHNPQ" gene complement(11033202..11034347) /locus_tag="BRARA_H00908" /db_xref="Phytozome:Brara.H00908" mRNA complement(join(11033202..11033491,11033567..11033739, 11033803..11034347)) /locus_tag="BRARA_H00908" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00908" CDS complement(join(11033389..11033491,11033567..11033739, 11033803..11034309)) /locus_tag="BRARA_H00908" /codon_start=1 /product="hypothetical protein" /protein_id="RID50162.1" /db_xref="Phytozome:Brara.H00908" /translation="MTSLLMRAGSMPVQTGFIPSRKASTTISRHNSVESLPSHGGERF PGGKISIDVKATSGLRRVLSESDVIRSERMSKSVVSKPSPANIPEEEDEIRFSDGWGS LIWKESGVPAEEQGVAGGGGSGYSGGNGNGGDGYDGRSKIGDYYREMLKSNPNNSLLL MNYGKFLYEVEKDAERAEEYYGRAILESPGDGEALSMYGKLIWETKRDEKRARGYFDQ AVIASPGDCMVMGSYAHFMWEAEDDDDDEDLMVASPAMVSAV" gene complement(11037197..11039157) /locus_tag="BRARA_H00909" /db_xref="Phytozome:Brara.H00909" mRNA complement(join(11037197..11037778,11038128..11038346, 11038417..11038614,11038914..11039157)) /locus_tag="BRARA_H00909" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00909" CDS complement(join(11037584..11037778,11038128..11038346, 11038417..11038614,11038914..11038976)) /locus_tag="BRARA_H00909" /codon_start=1 /product="hypothetical protein" /protein_id="RID50163.1" /db_xref="Phytozome:Brara.H00909" /translation="MAIEDQENTIREIKPKNRRIMGAGGPEEEDNRQPPWLKPLLQEK FFGHCKFHVDSHKSECNMYCLDCTNGPLCSLCLAHHKNHHTIQIRRSSYHDVIRVNEI QKHIDISGIQTYVINSAKVIFLNERPQPRPGKGVTNTCKVCYRSLVDDSFRFCSLGCK IAGASRGFEKGRKNLVMESDDSSSSIGIGKNIQSFSPSTPPLTASSHCRIAKRRKGIP HRSPMG" gene complement(11045136..>11047859) /locus_tag="BRARA_H00910" /db_xref="Phytozome:Brara.H00910" mRNA complement(join(11045136..11045485,11045584..11046082, 11046178..11046297,11046378..11046742,11046779..11046934, 11047036..11047146,11047223..11047343, 11047431..>11047859)) /locus_tag="BRARA_H00910" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00910" mRNA complement(join(11045136..11046082,11046178..11046297, 11046378..11046742,11046779..11046934,11047036..11047146, 11047223..11047343,11047431..>11047859)) /locus_tag="BRARA_H00910" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00910" CDS complement(join(11045307..11045485,11045584..11046082, 11046178..11046297,11046378..11046742,11046779..11046934, 11047036..11047146,11047223..11047343,11047431..11047859)) /locus_tag="BRARA_H00910" /codon_start=1 /product="hypothetical protein" /protein_id="RID50165.1" /db_xref="Phytozome:Brara.H00910" /translation="MLVANSEFSSSILPPSPLLPNPVETIDESIGNNQVSFSTEEVSV PPRNSDEPLSTPLDETLIAPSPLRLEHGECSDAQVMNKPINHSKSNDTHLDICLSKSP DNRQQWSPNVSPGSDTDVDSGNQPLWRFRQKPPEIVESPCVGAGLLNLGNSCFINSVL QCFTHTVPLVESLYAYQYEDPCNCNQRFCVIRALRYHIGVALETTSVFSISPVYFFNN LRCFSPDFRRYQQEDAHEFLHAFLNKLEICCLNRRNDVNFVQHIFGGRLVSEVRIFLC VLNFDSGNMYQLRCCNCNYVSETFEDSLGLSLEIEDVDNLQSALDSFTRVEKLEEQMK CDNCDEKVSKEKRLLLQNLPQVITFHLKRFKNNGYFMLKNCNYVEFPLELDLQPYMSN DQVAAKYYLYALVKHYGSLAYGHYSSFVRSAPSIWHKFNDKQVTRVDEDCVLSQNSYI LFYAREGTPWFSTAIEELHPMTEEDTSSEYPSPKSVSDPSNEECSSEISSENVSKKGC GSAGVSDLLHVETEESCGTHSDEPKEDNELSQSEESSDEESSMEVLLDQHDPDDDSNN SCTEKEADSCFATERATTGVAFSPYLIGSSPKKPEGNFQIQLERVEAKKNYEEEEPYK QPLLISLMKKPPPRDRELGEAMSTSGSAQKKLKTS" CDS complement(join(11045564..11046082,11046178..11046297, 11046378..11046742,11046779..11046934,11047036..11047146, 11047223..11047343,11047431..11047859)) /locus_tag="BRARA_H00910" /codon_start=1 /product="hypothetical protein" /protein_id="RID50164.1" /db_xref="Phytozome:Brara.H00910" /translation="MLVANSEFSSSILPPSPLLPNPVETIDESIGNNQVSFSTEEVSV PPRNSDEPLSTPLDETLIAPSPLRLEHGECSDAQVMNKPINHSKSNDTHLDICLSKSP DNRQQWSPNVSPGSDTDVDSGNQPLWRFRQKPPEIVESPCVGAGLLNLGNSCFINSVL QCFTHTVPLVESLYAYQYEDPCNCNQRFCVIRALRYHIGVALETTSVFSISPVYFFNN LRCFSPDFRRYQQEDAHEFLHAFLNKLEICCLNRRNDVNFVQHIFGGRLVSEVRIFLC VLNFDSGNMYQLRCCNCNYVSETFEDSLGLSLEIEDVDNLQSALDSFTRVEKLEEQMK CDNCDEKVSKEKRLLLQNLPQVITFHLKRFKNNGYFMLKNCNYVEFPLELDLQPYMSN DQVAAKYYLYALVKHYGSLAYGHYSSFVRSAPSIWHKFNDKQVTRVDEDCVLSQNSYI LFYAREGTPWFSTAIEELHPMTEEDTSSEYPSPKSVSDPSNEECSSEISSENVSKKGC GSAGVSDLLHVETEESCGTHSDEPKEDNELSQSEESSDEESSMEVLLDQHDPDDDSNN SCTEKEADSCFATERATTGVAFSPYLIGSSPKKPGTILFN" gene complement(11064469..11065626) /locus_tag="BRARA_H00911" /db_xref="Phytozome:Brara.H00911" mRNA complement(join(11064469..11065241,11065325..11065626)) /locus_tag="BRARA_H00911" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00911" CDS complement(11064596..11065174) /locus_tag="BRARA_H00911" /codon_start=1 /product="hypothetical protein" /protein_id="RID50166.1" /db_xref="Phytozome:Brara.H00911" /translation="MPSELTQEERSALAQSIAEFHTYQLGPGSCSSLHAQRIHAPPEI VWSVVRQFDKPQTYKHFIKSCSVEEGFEMRVGCTRDVIVISGLPANTSTERLDILDDE RRVTGFSIIGGEHRLVNYKSVTTVHRFEKERRVWTVVLESYVVDMPEGNSEDDTRMFA DTVVKLNLQKLATVTEAMARNAAGERGGSQVT" gene 11093048..>11095530 /locus_tag="BRARA_H00912" /db_xref="Phytozome:Brara.H00912" mRNA join(11093048..11093365,11093985..11094776, 11095494..>11095530) /locus_tag="BRARA_H00912" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00912" CDS join(11093100..11093365,11093985..11094776, 11095494..11095530) /locus_tag="BRARA_H00912" /codon_start=1 /product="hypothetical protein" /protein_id="RID50167.1" /db_xref="Phytozome:Brara.H00912" /translation="MGRSPCCDRDKDVKKGPWMPEEDDKLRAYINKKGYGNWRSLPKL AGLNRCGKSCRLRWMNYLRPDIRRGEFSDEEESNIVRLHALLGNKWSKIANHLPGRTD NEIKNYWNTHMRKKMLQMGIDPTTHEPRTNDLSPILDVSQMLAAAFSNGQFGNSNLFN NKTALENLLKLQLIYKMLQMITPKTVPNINSFCTNSLIPKQEPVVNDFNTNSVNPKPE PGAGQLNAIGDQQVFINKSDNEDFMPSFGHDWDGFEDNQLPGLVTVSQENLKSAKPGT STTTEVNYKTGPDMMSGYYGDQLREISSTGLISVSPETSGLNYRGTTQHSSASDVLKD WEKFLDDEISDSCWKSFLDLTSPTSSPCLL" gene <11103181..>11106430 /locus_tag="BRARA_H00913" /db_xref="Phytozome:Brara.H00913" mRNA join(<11103181..11103351,11103443..11103597, 11103677..11103794,11103912..11104073,11104153..11104521, 11104876..11104974,11105053..11105443,11105509..11105753, 11105830..11105925,11106000..11106345,11106414..>11106430) /locus_tag="BRARA_H00913" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00913" CDS join(11103181..11103351,11103443..11103597, 11103677..11103794,11103912..11104073,11104153..11104521, 11104876..11104974,11105053..11105443,11105509..11105753, 11105830..11105925,11106000..11106345,11106414..11106430) /locus_tag="BRARA_H00913" /codon_start=1 /product="hypothetical protein" /protein_id="RID50168.1" /db_xref="Phytozome:Brara.H00913" /translation="MYEDHQVAKSGKEGGHMVTNYSDNIFGSASSSPTGTVQNPDFKL STFLNPNFSYVIPKEEYGMMSMTENGSAWSGNNPVEDSAIEQQLPPVKKKRLHRHSTH QIQEMEAFFKVNPHPNDDDKTRLSEELGLTPLQVKFWFQNRRNQIKIEQDRSDNVMLK AENETLKTENRKLQFDLQRLSCPSCGGSRDKLHLENSRLRQELDRLHSIASLMNPCLP PPETACLFPDMKDDITNNLLIAEEDKAIAMDLAVSCVQELAKMCATNEPLWNKKGSDN ERISLNEDVYKKMFQWPSVGHNHFRREASRANTVVIMNSITLVNAFLDADKWSEMFCS IVSRAKTIQIISSGVSGASGSLLLMYAELQVLSPLVPAREIYFLRYVKQNAEAGKWMI VDFPVDGLIKPASGITTTDQYRRMPSGFIIQDMSNGYSQITWVEHVEVEEKHVHHEMV REYVESGAAFGAERWLAVLRRQCERMPSLMATNITDLGVIPSVEAKRKLMKLSESMVR TYCLTISNSYGQALSESPKETVRITSRKVCGGVVLCGVSTTLLPYSHHQVFDFLRHDH GRSQTEMLFSENPFQEVAHIANGSHPGNCISLLHFHGASSSNNVEWMLQETCTDNSGS LVVYSTVHANAVQLAMSGEDPSRTPLLPLGFSVVPVNQPHVVEGISVNLDSCLLTVAI QVLVSNATTATLNLSTTVINNRICSTVYRISSALGRYFGN" gene <11119731..11120543 /locus_tag="BRARA_H00914" /db_xref="Phytozome:Brara.H00914" mRNA join(<11119731..11120258,11120392..11120543) /locus_tag="BRARA_H00914" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00914" CDS join(11119731..11120258,11120392..11120463) /locus_tag="BRARA_H00914" /codon_start=1 /product="hypothetical protein" /protein_id="RID50169.1" /db_xref="Phytozome:Brara.H00914" /translation="MGKPLTVVYLVALLVLTVSAAAEEGNDSGCSAAAAVNSEKDLKY KIVAIFSTLIFGVFGVCLPIFGLDGDGFFYACVRQFGAHVMGLASVVYILPEATASLT SSCIGAFPMTGVVVARAAAIVTMIESKSFASAFMNISHSENHNGDNDRVDNSASQGHT VSRQDHNKIRQKLVTQVLELGFVVHSVIIGIVLRSISKS" assembly_gap 11128405..11138404 /estimated_length=unknown /gap_type="between scaffolds" gene 11143921..>11154782 /locus_tag="BRARA_H00915" /db_xref="Phytozome:Brara.H00915" mRNA join(11143921..11144677,11144823..11144899, 11145711..11145756,11145839..11145870,11153941..11153990, 11154207..11154264,11154654..>11154782) /locus_tag="BRARA_H00915" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00915" CDS join(11144191..11144677,11144823..11144899, 11145711..11145756,11145839..11145870,11153941..11153990, 11154207..11154264,11154654..11154782) /locus_tag="BRARA_H00915" /codon_start=1 /product="hypothetical protein" /protein_id="RID50170.1" /db_xref="Phytozome:Brara.H00915" /translation="MELFPSQPDLNLNISRRREEQDDKEQVERRLVYQSKASDSVRNA SGHLINTVKFTSNYEPTKIYHYQEHNESQDQDSGSILMVSQNQTLGHYYYSPSPPFFF SEVNGQHANPNYSYKLHHRQAQPQPQRCTAKRGVRAPRMRWTTTLHAHFVRAVQLLGG HERATPKSVLELMDVQDLTLAHVKSHLQMYRTIKSTEKPTTSPGQSDTCEYGSQSERQ ARDLQGVWNISSSEARLHLKANASGLDVSSNKKVDQRCESYELLSSDSSSLTWTRPET ETPNLDFTLATPNLPF" gene complement(11159465..11161196) /locus_tag="BRARA_H00916" /db_xref="Phytozome:Brara.H00916" mRNA complement(join(11159465..11160044,11160152..11160274, 11160377..11160616,11160694..11161196)) /locus_tag="BRARA_H00916" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00916" CDS complement(join(11159631..11160044,11160152..11160274, 11160377..11160616,11160694..11161107)) /locus_tag="BRARA_H00916" /codon_start=1 /product="hypothetical protein" /protein_id="RID50171.1" /db_xref="Phytozome:Brara.H00916" /translation="MNCLFMFKSKKPKSRRDLKKENKDKRGRELLQKSAPDLSTTRKN QTSSTSLSLPTPRSLPSPTSIRDLYTERQQNRNLRVFSYKELSEATCGFDRKFQIGEG GFGNVYKATIKNPTNGDSHSIPHTVAVKRLKKQSQQGHKQWLAEVQLLGVVNHPNVVK LLGYCSEDTERLLVYELMSNLSLEDHLFTRRAPTLPWKQRLEIMLGAAQGLAYLHEIQ VIYRDFKSSNVLLDDDFNPKLSDFGLAREGPEGDNTHVTTTRVGTDGYAAPEYVETGH LRKHSDVYSFGVVLYEIITGRRTFERMKPSAEKILLDWVKLYPVNSKSFTMIVDSKLQ SKYPVAMVKRMAKLADLCLKKNDTERPTMAFVVESLSKIIEESNTGDMKSSIRESTRG VMRA" gene complement(11167772..11169591) /locus_tag="BRARA_H00917" /db_xref="Phytozome:Brara.H00917" mRNA complement(join(11167772..11168106,11168399..11168530, 11168624..11168819,11169075..11169591)) /locus_tag="BRARA_H00917" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00917" CDS complement(join(11167984..11168106,11168399..11168530, 11168624..11168819,11169075..11169382)) /locus_tag="BRARA_H00917" /codon_start=1 /product="hypothetical protein" /protein_id="RID50172.1" /db_xref="Phytozome:Brara.H00917" /translation="MPSFRYGPRALFAFISRRNAIRRSPITRAQRSCISNQFRRFGSL CYSSSPSYGLMTSSDDARRVSFGNRSVFQRRHFLGCGDGEEGGGGGELSKIYQERRVL GYSQEQLFNVVLAVDLYHGFVPWCQRSEVLKEYPDGSFDAELEIGFKFLVESYISHVE FERPKWIKTTARDTGLFDHLINLWQFKPGPIPGTCDLSILVDFKFNSPLYRQVASMFL KEVATRLMGAFSDRCRLVYGPGVRVDENAFEQRA" gene complement(<11177247..>11180281) /locus_tag="BRARA_H00918" /db_xref="Phytozome:Brara.H00918" mRNA complement(join(<11177247..11177322,11177437..11177828, 11177850..11178869,11179229..11179439, 11180265..>11180281)) /locus_tag="BRARA_H00918" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00918" CDS complement(join(11177247..11177322,11177437..11177828, 11177850..11178869,11179229..11179439,11180265..11180281)) /locus_tag="BRARA_H00918" /codon_start=1 /product="hypothetical protein" /protein_id="RID50173.1" /db_xref="Phytozome:Brara.H00918" /translation="MGSRNRNHSEPKIIIKTKSQAYQSIISIYVDKRFHSSFMEIGRF LFGFNGKVWNFQELRNDILPSVCEIEIESISLSQLLGNTGETRNGEGTRKRLKISVPH FDNFALIKTYSKTLIGRCMNPEEQDMKVLITNLPKIWKLEENVIGTDLGRGKFQFDFQ KEEDIETVLKLQPFHFDYWLLALARWQPKKSQLYPSEITFWIIVLGVPLMFGTVPTFE SIGDAIGRTVAVDLDHTRVQVVVDAFKELCFETTVDFKGGGFYDEEEAPVSLRYEKLF GYCHICSSLCHKDEKCPLDKKNTKQNSERNDNVRESNGGWVDGGKHEDLARSYKREVI NGNVSQKNRERDGRDYYGKGKGKMFEEHTSKGVKTAERGNTRSYVQRGNYRGEGDASH HRATRWEEVRTGDQEGYARYSPGQSDSREEAREERELTGDGEDLAPLPSQEFQAQLAK TQATGTEAISDPIDAEEGLKRVQGFLEDQPILGDDDVMEWDEIKATFCEHRFDMDAAD DLQKFSEEETEEVIIAQGKENQIQETEEKSSLRKRKDMYVLQPRQALVMEITANNRRV RVRQT" gene complement(<11196764..>11199060) /locus_tag="BRARA_H00919" /db_xref="Phytozome:Brara.H00919" mRNA complement(join(<11196764..11197261,11197414..11197450, 11197515..11197594,11197662..11197746,11198024..11198367, 11198735..11198850,11198865..>11199060)) /locus_tag="BRARA_H00919" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00919" CDS complement(join(11196764..11197261,11197414..11197450, 11197515..11197594,11197662..11197746,11198024..11198367, 11198735..11198850,11198865..11199060)) /locus_tag="BRARA_H00919" /codon_start=1 /product="hypothetical protein" /protein_id="RID50174.1" /db_xref="Phytozome:Brara.H00919" /translation="MNCWEVTGTKPRVKKEDSAVETESGVKEESAVPQKKASKSASVE VSKVPSAEARAEARSEAFTSVGADSKKSFKGITDVMRDEFGMCLREIKLLGDRIKSVE KKESSSLKHLSLVIANEPPEQSGEPSVLVLDKEVPTDSDLQKGETRRQRKRNDAMAHV RVKSERARKLAASQQIPFQGNNTAKAIIPKKRVGQGYDPFVPYDKKMSKVLTDWVKLD PRLLIRFTLKSSAKSSLEDLSDDLRLSRLSSRELIRRLMLKSSAKSSLDDLCLSLLEE FAEDLLDDLSWSHKYPEFKSDKGDTNGLGRRLYGGVWNYHAGMVPTFCQSMIWGLDVD DIYALVNFRNEHWIAFWISIPKRHIVVWDSILTHIKAADLNVLMEPSVNMVSYLLVEC VGSDEERVKHTLERYTYERVRVGVPQCRAGDCGVWALKYIECHALGMSFPPEFCNKNA I" gene complement(11231066..11232583) /locus_tag="BRARA_H00920" /db_xref="Phytozome:Brara.H00920" mRNA complement(join(11231066..11231348,11231439..11231694, 11231776..11231892,11231986..11232017,11232113..11232241, 11232396..11232583)) /locus_tag="BRARA_H00920" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00920" CDS complement(join(11231559..11231694,11231776..11231892, 11231986..11232017,11232113..11232160)) /locus_tag="BRARA_H00920" /codon_start=1 /product="hypothetical protein" /protein_id="RID50175.1" /db_xref="Phytozome:Brara.H00920" /translation="MSCCCNKLYTITRLWEETDAGESYFYNFVTNDIGNTSAASLLRG FAKFEPMTIAELNQLTSLLSLSPVNLFTLGSDRIKPEKVYMVLHLFLQVFREASERFI IVDVLGLQ" assembly_gap 11232933..11242932 /estimated_length=unknown /gap_type="between scaffolds" gene complement(11246078..11249906) /locus_tag="BRARA_H00921" /db_xref="Phytozome:Brara.H00921" mRNA complement(join(11246078..11246330,11246411..11246454, 11246707..11246795,11246922..11246966,11247066..11247173, 11247299..11247384,11247579..11247666,11247786..11248001, 11248454..11248529,11248616..11248665,11248757..11248819, 11248904..11248957,11249061..11249163,11249249..11249351, 11249440..11249541,11249647..11249906)) /locus_tag="BRARA_H00921" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00921" CDS complement(join(11246242..11246330,11246411..11246454, 11246707..11246795,11246922..11246966,11247066..11247173, 11247299..11247384,11247579..11247666,11247786..11248001, 11248454..11248529,11248616..11248665,11248757..11248819, 11248904..11248957,11249061..11249163,11249249..11249351, 11249440..11249541,11249647..11249791)) /locus_tag="BRARA_H00921" /codon_start=1 /product="hypothetical protein" /protein_id="RID50176.1" /db_xref="Phytozome:Brara.H00921" /translation="MADEARETLLEEKEDNNCPGCNIDRLKQEQRGIPYIHLSFIWLV SLCTALPISSLFPYLYFMIRDFHVAEKEEDIGFYAGFVASSFMIGKALTSILWGKLAD RYGRKPIILMATFSVIIFNTLFGLSTSFWFAISVRFLLGCVNCLLGVIRAYASEVVSE EYHALSLSVVSTSRGIGLIIGPAIGGYLAQPAEKYPNLFSQDSVFGSFPYFLPSLVIS VYATGALIACWWLPETLHTHCRISRGRLNPNEPEYSPNELNNDGSIGRGLEDHNTQSK PSLLRNRPLMAIIIVYCVFSLQEIAYSEIFSLWAVSDISYGGLSFSSQDVGQVLAISG LGLLLFQLMVYPPMEKSLGLLVVIRLSAVMLIPLLSCYPSIASLSGLTLLLVINCASI LKNALSISLVTGLFILLNKAVPQSQRGAANGLSMTAMSIFKLFGPAGGGILFSWAQKR QTATFLPGDEMVFFVLNLVQLIGLILTFIPYISQNQ" gene 11255923..11262040 /locus_tag="BRARA_H00922" /db_xref="Phytozome:Brara.H00922" mRNA join(11255923..11256220,11256377..11256580, 11256673..11256708,11256798..11256910,11257000..11257045, 11257221..11257267,11257377..11257484,11257676..11257751, 11257896..11257968,11258076..11258221,11258322..11258407, 11258544..11258683,11258778..11258917,11259010..11259060, 11259385..11259448,11259559..11259702,11259910..11259977, 11260200..11260258,11260353..11260509,11260645..11260697, 11260772..11260870,11260953..11261067,11261146..11261268, 11261391..11262040) /locus_tag="BRARA_H00922" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00922" CDS join(11256155..11256220,11256377..11256580, 11256673..11256708,11256798..11256910,11257000..11257045, 11257221..11257267,11257377..11257484,11257676..11257751, 11257896..11257968,11258076..11258221,11258322..11258407, 11258544..11258683,11258778..11258917,11259010..11259060, 11259385..11259448,11259559..11259702,11259910..11259977, 11260200..11260258,11260353..11260509,11260645..11260697, 11260772..11260870,11260953..11261067,11261146..11261268, 11261391..11261555) /locus_tag="BRARA_H00922" /codon_start=1 /product="hypothetical protein" /protein_id="RID50177.1" /db_xref="Phytozome:Brara.H00922" /translation="MEDDGGERSSFVVGLIENRAKEVGMAAFDLRSASLHLSQYIETS SSYQNTNTLLRFYDPCVIIVPPNKLAADGMVGVSELVDRCYSTVRKVVFARGCFDDTK GAVLIQNLAAEEPLALGLDTYYKQHYLSLAAAAATIKWIEAEKGVIVTNHSLTVTFNG SFSHMNIDATSVENLEIIDPFHNSLLGTSNKKRSLFQMFKTTKTVGGTRLLRANLLQP LKDIKTINTRLNCLDELMSNEELFYGLSQVLRKFPKETDRVLCHFCYKPKKVTEAVLG FDNTRRSQNMISSIILLKTALDALPLLAMAIKDAKCFLLANIYKTVCENDRYASIRKR VGEVIDDDVLHARVPFVARSQQCFALKAGIDGFLDMARRTFCDTSEAIHNLARKYREE FNLPNLKLPFNNRQGFFFRISQKEVQGKLPSKFTQVVKHGKNIHCSSLELASLNVRNK SAAGECFVRTEICLEALMDAIREDVSALTLLAEVLCLLDMIVNSFAHSISTKPGYRYS RPELTDSGPLAIDAGRHPILESIHNDFVPNSIFMSEASNMLVVMGPNMSGKSTYLQQV CLVVILAQIGCYVPARFATMRVVDRIFTRMGTMDNLESNSSTFMTEMRETAFIMQNVS NRSLIVMDELGRATSSSDGLAMAWSCCEHLLSLKAYTVFATHMDSLAELATIYPNVKV LHFYVDIRDNRLDFKFQLRDGTLHVPHYGLLLAEVAGLPNTVIETARTITSKITDKEL KRIELNCEKHHEMHRIYRVAQKLICLKYSKQKEDSIRQALQNLKDSFTEGRL" gene complement(11261633..11263138) /locus_tag="BRARA_H00923" /db_xref="Phytozome:Brara.H00923" mRNA complement(join(11261633..11262040,11262181..11262378, 11262690..11262856,11262985..11263138)) /locus_tag="BRARA_H00923" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00923" CDS complement(join(11261795..11262040,11262181..11262378, 11262690..11262856,11262985..11262988)) /locus_tag="BRARA_H00923" /codon_start=1 /product="hypothetical protein" /protein_id="RID50178.1" /db_xref="Phytozome:Brara.H00923" /translation="MGAYKYVSELWRKKQSDVMRFVQRVRCWEYRQQPSIVRLVRPTR PDKARRLGYKAKQGFVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVA EERAGRKLGGLRVVNSYWLNEDSTYKYYEIILVDPAHNAIRNDPRINWICNPVHKHRE LRGLTSEGKKNRGLRGKGHNNHKNRPSRRATWKKNNSLSLRRYR" gene complement(<11265384..>11268154) /locus_tag="BRARA_H00924" /db_xref="Phytozome:Brara.H00924" mRNA complement(join(<11265384..11265435,11265440..11265737, 11266678..11266822,11266907..11266999,11267044..11267217, 11267298..11267336,11267412..11267477,11267570..11267810, 11267875..11267956,11268052..>11268154)) /locus_tag="BRARA_H00924" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00924" CDS complement(join(11265384..11265435,11265440..11265737, 11266678..11266822,11266907..11266999,11267044..11267217, 11267298..11267336,11267412..11267477,11267570..11267810, 11267875..11267956,11268052..11268154)) /locus_tag="BRARA_H00924" /artificial_location="low-quality sequence region" /codon_start=1 /product="hypothetical protein" /protein_id="RID50179.1" /db_xref="Phytozome:Brara.H00924" /translation="MAKTLQRPFLLLTVATVLFSTAPFSNAIPFIVFHGIGDQCSGGV SNFTQLLSSVSGSPGSCLEIGNGEEDSWFMPLVLQASEACKKVKLMNELSQGYNIVAE SQGNMVARGLIEFCHDAPPVINYVSLGGPHAGIARVPKCPSGLKCDIAEAILKLEIYN DFVQDHIAPSGYIKIPGEMTKYLEHSQYLPKLNNERPDDRNSIFKDRFASLHNLVLVM VLYCLLSLIQRLENHVLFQDDTVLIPKETSWFGYYTDEGFGTLLSTQETKLYTEDWIG LKALDDAGKVKYVSVSGEHLMISFQDVVKYVAPYLMQINKNMSLQAKHINRDVMFLQG LGSLPKWPVSAHSEHVFFNLVSRKSDPETVTLLLYIRNSPRLHRSDLFLVLHIRSSSA GNTPFPFLPRPQIPSPLFLSPRYHRVSGDRARMTARIY" gene <11270369..>11271762 /locus_tag="BRARA_H00925" /db_xref="Phytozome:Brara.H00925" mRNA join(<11270369..11270519,11270630..11270829, 11270910..11271073,11271154..11271268,11271360..11271524, 11271598..>11271762) /locus_tag="BRARA_H00925" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00925" CDS join(11270369..11270519,11270630..11270829, 11270910..11271073,11271154..11271268,11271360..11271524, 11271598..11271762) /locus_tag="BRARA_H00925" /codon_start=1 /product="hypothetical protein" /protein_id="RID50180.1" /db_xref="Phytozome:Brara.H00925" /translation="MLTYCFSDRDVTVYLSLWDEAASTFRGLLKAGDKTKSLMLVTTV NPKLFGGNMYLNSTPGTRFFFDTSLPEIATFVSMVGGESSKVFPLVDTLQGIKKKELV SIADLNTFISNSNEQTQEADFFCKARIVGVVHENGWSFVACTGCNRKLERIGTSLSCN RCVTDAVTGVVRFRVELAVDDGNDSATFVVFDKEMTKLTQQDAAVLALDEAANGGEEN LPICLEELTDKEFVFQIRVTPFNFTPNHRTFTVSTITEDIISLTHGKEEDENILGGNE GDSGLKAPPSGLSVLRENVGEECGTTDPPEIAVTRNNRKRSRE" gene <11273063..>11273407 /locus_tag="BRARA_H00926" /db_xref="Phytozome:Brara.H00926" mRNA <11273063..>11273407 /locus_tag="BRARA_H00926" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00926" CDS 11273063..>11273407 /locus_tag="BRARA_H00926" /codon_start=1 /product="hypothetical protein" /protein_id="RID50181.1" /db_xref="Phytozome:Brara.H00926" /translation="MEPGEANQSGLESLPDDVLCIIVSKGGSSSSLDVCNARLSCRSL KFDLSDRAIAKDLKLSPLVKNPMRAKFYNSLINSCLKEDNLDAHFIVGVLEFFGNRNK YLGLHHLQIATKR" gene complement(11273647..11275686) /locus_tag="BRARA_H00927" /db_xref="Phytozome:Brara.H00927" mRNA complement(join(11273647..11274058,11274125..11274205, 11274296..11274583,11275049..11275310,11275625..11275686)) /locus_tag="BRARA_H00927" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00927" CDS complement(join(11273919..11274058,11274125..11274205, 11274296..11274583,11275049..11275220)) /locus_tag="BRARA_H00927" /codon_start=1 /product="hypothetical protein" /protein_id="RID50182.1" /db_xref="Phytozome:Brara.H00927" /translation="MWVPTLSSSSCSSDEREDNTGEEEARLTPVYLNVYDLTPVNNYL YWFGIGIFHSGIESHGLEYCYGAHEYPTSGVYEVEPKNCPGFIFRRSLLLGTTTMSPS DFRSYMEKLSRKYHGDTYHLIAKNCNHFTEEVCFQLTGKPVPGWINRLARVGSFCNCL LPESIQLTAVSAPSERLEFSDEDESNSEASSESDEEGPEHRLINVADREVVYLQNKPV RLTREEIH" gene complement(<11276585..>11277598) /locus_tag="BRARA_H00928" /db_xref="Phytozome:Brara.H00928" mRNA complement(<11276585..>11277598) /locus_tag="BRARA_H00928" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00928" CDS complement(11276585..>11277598) /locus_tag="BRARA_H00928" /codon_start=1 /product="hypothetical protein" /protein_id="RID50183.1" /db_xref="Phytozome:Brara.H00928" /translation="KKLWSDLWKTKTSPKLRHFLWRALSGALAVKERLRSRGINLDTT CPLCGLHQETICHVLFTCDVAKETWDRAQIPLPSAGFSHNSVLLNLYHVLSVSKNQRI GQSERLRFPWILWHLWKARNSFCFEQKRFTAANIFKKAAEESSIWFKLFQKDQEEESS TRVLDIERAWQKPPMGFVKCNLGCSWSASSQHTGASWVIRDFRGQVMEHSRRSFTETS SNLEADLISLCWTAKDLHTLHWNRVIMEISSAHTLEALNNPQWFPGLSNTIEQTRQAL NCFQNCYVEVVNADTNRVAEKIAASVTKDGRFQSYIARGGPSWLNDIILAEVSNSPSS YQR" gene complement(<11281248..>11282093) /locus_tag="BRARA_H00929" /db_xref="Phytozome:Brara.H00929" mRNA complement(<11281248..>11282093) /locus_tag="BRARA_H00929" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00929" CDS complement(11281248..11282093) /locus_tag="BRARA_H00929" /codon_start=1 /product="hypothetical protein" /protein_id="RID50184.1" /db_xref="Phytozome:Brara.H00929" /translation="MATPSEASALSFIKKHLLDESSPLPKVTTTTNPWIASETSSDFS IFGSFDQTGFDFSEFETKPEITDLVTPRFTDSFEFEPEANAEIQLASSESFTFQSNPR RKPPLKIEPPSRTEWIQFATANPEPEQLPVHVAAEEKRHYRGVRMRPWGKFAAEIRDP NRRGTRVWLGTFDTAIEAARAYDQAAFRLRGSKAIVNFPLEVGTWNPRADVGQNKRKR DGEGEEVTVVAKALKTEESHAVETETSSLTAVDDWDLTELLSMPLLSPLSPHPPFGYP QLTVV" gene 11288409..11289330 /locus_tag="BRARA_H00930" /db_xref="Phytozome:Brara.H00930" mRNA 11288409..11289330 /locus_tag="BRARA_H00930" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00930" CDS 11288455..11289219 /locus_tag="BRARA_H00930" /codon_start=1 /product="hypothetical protein" /protein_id="RID50185.1" /db_xref="Phytozome:Brara.H00930" /translation="MAAESDYILLESIRRHLLGESESWLSESTASSVVQSGTTAKPVY GRNPSFSKLYPCFTESWGDLPLKENDSEDMLVYGILNDAFHGGWEPSSSSSDEDQSSI FPKVKTENFTVVDHAPAKKASPVKAPEKGKHYRGVRQRPWGKFAAEIRDPAKNGARVW LGTFETAEDAALAYDRAAFRMRGSRALLNFPLRVNSGEPDPVRVKSKRGSSSENENGA SKRRRAVASGGQGTDMGLKVKCEVVEVRRDDHLFVL" gene 11296963..11298241 /locus_tag="BRARA_H00931" /db_xref="Phytozome:Brara.H00931" mRNA join(11296963..11297493,11297627..11297776, 11297850..11298241) /locus_tag="BRARA_H00931" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00931" CDS join(11296972..11297493,11297627..11297776, 11297850..11298110) /locus_tag="BRARA_H00931" /codon_start=1 /product="hypothetical protein" /protein_id="RID50186.1" /db_xref="Phytozome:Brara.H00931" /translation="MGKSLIVVYLVALLVLTVSAAAEEGNDSGCSAAVNSATDLKYKI VAIFSTLIFGVFGVCLPIFGLDGDGFFYACVRQFGAYVMLLASVIYILPDATASLTSS CVGDFPMTGVVVARAAAILTMIESKSFASAFMNISHSENHNGDDDHVDNSASQGHSVS RQVHNKIRQKLVTRVLELGIVVHSVIIGIVLGASPNLSTIKPLIPAITFHQLFQGISL GGCISKAKFDLKKTLIMVIVFSLTTPVGIGIGIGVAEIYYKNGPTTLIVSGSLNAAAA GILLFMTGVTSYNLKPHSIFHMLKACGFVLLVLM" gene 11302614..11304802 /locus_tag="BRARA_H00932" /db_xref="Phytozome:Brara.H00932" mRNA join(11302614..11302836,11303206..11303473, 11303561..11303626,11303708..11303831,11303905..11304063, 11304152..11304202,11304296..11304802) /locus_tag="BRARA_H00932" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00932" CDS join(11302689..11302836,11303206..11303473, 11303561..11303626,11303708..11303831,11303905..11304063, 11304152..11304202,11304296..11304616) /locus_tag="BRARA_H00932" /codon_start=1 /product="hypothetical protein" /protein_id="RID50187.1" /db_xref="Phytozome:Brara.H00932" /translation="MATLNPFDLLDDDAEDPSQLAAAKPLKVEKAAPAQPAKLPNKPL PPSQAVREGKNGLGGGRSGRGRNGGFNRNNDAPANENGYGGGYRRSEEGDGGRRGGSV GGYRGRGGRRGGYNNGESGDFERPRRNYDRQSGAAHGNECKRDGAGRGNWGTTEDEIP PVTEESATVVEKDLAVEKEGEATDANKETPIEAQAEKEPEDTEMTLEEYEKVLEEKRK ALQSTKVEERKVDTKVFDSMQQLSSKKSNNDEVFIKLGTEKDKRPVEREEKTKKSLSI NEFLKPANGESYRGAYRGGRGGRGPREGADRGGRGLREGADRGGRGPREGADRGGRGP RGADRGGRGPRRDNGGGEQKAAAPKFVAPAPKIEDAAQFPTLGK" gene complement(11304865..11306655) /locus_tag="BRARA_H00933" /db_xref="Phytozome:Brara.H00933" mRNA complement(join(11304865..11305141,11305237..11305340, 11305409..11305564,11305652..11305723,11305810..11305857, 11305937..11305984,11306062..11306134,11306453..11306655)) /locus_tag="BRARA_H00933" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00933" CDS complement(join(11305048..11305141,11305237..11305340, 11305409..11305564,11305652..11305723,11305810..11305857, 11305937..11305984,11306062..11306134,11306453..11306466)) /locus_tag="BRARA_H00933" /codon_start=1 /product="hypothetical protein" /protein_id="RID50188.1" /db_xref="Phytozome:Brara.H00933" /translation="MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVD FKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVTYDVTDQESFNNVKQW LNEIDRYASENVNKLLVGNKCDLTSQKVVSTETAKAFADELGIPFLETSAKNATNVEE AFMAMTAAIKTRMASQPAGGSKPSTVQIRGQPVNQQSGCCSS" gene complement(11308074..11310203) /locus_tag="BRARA_H00934" /db_xref="Phytozome:Brara.H00934" mRNA complement(join(11308074..11308304,11308392..11308910, 11308982..11310203)) /locus_tag="BRARA_H00934" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00934" CDS complement(join(11308267..11308304,11308392..11308910, 11308982..11310035)) /locus_tag="BRARA_H00934" /codon_start=1 /product="hypothetical protein" /protein_id="RID50189.1" /db_xref="Phytozome:Brara.H00934" /translation="MAMGSKRKTPPGILLLRRVRGRNWSPKTFRYAILLITFIAYACY HASRKPSSIVKSVLHPEPSTKPPQQEHINMRPWPLGDVFVKEEETDVNRRGSANKGWE PFNGKGGTSRLGEIDVAFLACYSLGMYVAGHLGDSLDLRLFLTWGMIGSGFFVGLFGM GYFWGIHAFWFFLVMQMAAGLFQATGWPSVVAVVGNWFGKRKRGLIMGIWNAHTSVGN ICGSLIAAGVLQYGWGWSFIAPGLVMSLGGVLVYLFLAAYPEDVGFPDINSNSGKFIK RRRDIEEEVEEEVDVEDEGESSGSGSGQGYENKRSVGLLQACMIPGVIPFAMCLFFSK LVAYTFLYWLPFYLSQTTIGGEYMSVKTAGNLSTLFDVGGIVGGILAGYISDKFKARA TTAATFMYAAIPAMLVYHSYGGVSQTVNVVLMMVAGLFVNGPYALITTAVSADLGTHK SLQGDSRALATVTAIIDGTGSAGAALGPLLTGFLSTLGWEAVFYMLVVGALCAGLLLT RLVIAEIREKLGYVDEVTACEPLLNERR" gene 11311195..11312705 /locus_tag="BRARA_H00935" /db_xref="Phytozome:Brara.H00935" mRNA join(11311195..11311294,11311367..11311657, 11311756..11311842,11311934..11312067,11312340..11312705) /locus_tag="BRARA_H00935" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00935" CDS join(11311265..11311294,11311367..11311657, 11311756..11311842,11311934..11312067,11312340..11312448) /locus_tag="BRARA_H00935" /codon_start=1 /product="hypothetical protein" /protein_id="RID50190.1" /db_xref="Phytozome:Brara.H00935" /translation="MATSSHLFPQALHMIPTSQPSKKLGFSSFLSCAPSMNPPRLSVS RLSLNHSVSKSSISLDAKARREVLVRAEGEGEAEEAVDEGNVEEVVEAKSARKARVKL GDVMGILNHKAIEVSEKVRPVPEIRTGDIVEIKLEVPENRRRLSIYKGIVMSRQNAGI HTTIRIRRIIAGIGVEIVFPIYSPNIKEIKVVSHRKVRRARLYYLRDKLPRLSTFK" gene complement(11313119..11316347) /locus_tag="BRARA_H00936" /db_xref="Phytozome:Brara.H00936" mRNA complement(join(11313119..11313834,11313909..11314035, 11314116..11314305,11314399..11314502,11314583..11315397, 11315972..11316347)) /locus_tag="BRARA_H00936" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00936" CDS complement(join(11313584..11313834,11313909..11314035, 11314116..11314305,11314399..11314502,11314583..11315397, 11315972..11316011)) /locus_tag="BRARA_H00936" /codon_start=1 /product="hypothetical protein" /protein_id="RID50191.1" /db_xref="Phytozome:Brara.H00936" /translation="MGKQGPCYHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGTLVN YAPLHARADGEENQDHQRYQRMKSISLSNKNTETKMLKRKAIQESLPNKRPVLEFNYG LKKAMIEEDASNRSSSGSAISNAESCAQFSSAGDGSELNAWEMTVPCKRRTCVGRPKT SSSVEKLTKDLYNILQEQQQSSVSSEGEDLLFESMFSAEIGHGSVLMMDPPHSFAREE ESEASSLSSISDAYSHSVKRAEIGAVRGSEFVGQAVKQEELKRTKSQTGRVHVLGSHS SPLCSIDLKDVFNFEEFTEQFTEEEQKKLMKLLPQIDSVNIPDSLRMMFESAQFKENF SLFQKLIADGVFEMPSSSGAKLEDIRAFKKLALSDFNKSRLVESYNHLKEREKGTGDS VTTTTSRSSLNPNVPKTIVTIKKHCENQTQVKSESRGLMRSPKSVTKMKASDESKVLT ENNGSCFSPRSLASVFAQESGGTTLFGNENNCSSDQDLLLMDLPSNGSFPQAELLHQL " gene complement(<11350826..>11351775) /locus_tag="BRARA_H00937" /db_xref="Phytozome:Brara.H00937" mRNA complement(join(<11350826..11351075,11351120..>11351775)) /locus_tag="BRARA_H00937" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00937" CDS complement(join(11350826..11351075,11351120..>11351775)) /locus_tag="BRARA_H00937" /codon_start=1 /product="hypothetical protein" /protein_id="RID50192.1" /db_xref="Phytozome:Brara.H00937" /translation="SIVAFTTFMSGSKTKSAVGSASAPVSGSSCGGDDDAGSEDEDGG SFDLAGNYEKLYAHWLKLVEVKSDLAKEKAKLEAQVVEALKYASEKEEEEARQAGAQL AETQKGLRMLNNGTDQLDHLLSIRQSDRCGLGYQEECSKAEGVFVPAEKTKDVAMSAT KPEVKMSAGNAANGKTAVKPATDVNIVIATRTATSTATATAMATTPEKVSGLKSASQR KPRCFKLLREKNQMKQTYGMRYHGPICYSCGVKGHIRRDCFRSVQGANHGGFRLMNTW SRRFDHHGDGGMGFFPHFGGYGSSY" assembly_gap 11352572..11362571 /estimated_length=unknown /gap_type="between scaffolds" assembly_gap 11374383..11384382 /estimated_length=unknown /gap_type="between scaffolds" gene 11396927..>11397715 /locus_tag="BRARA_H00938" /db_xref="Phytozome:Brara.H00938" mRNA 11396927..>11397715 /locus_tag="BRARA_H00938" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00938" CDS 11397086..11397715 /locus_tag="BRARA_H00938" /codon_start=1 /product="hypothetical protein" /protein_id="RID50193.1" /db_xref="Phytozome:Brara.H00938" /translation="MSVWRRCCIRQFMLSDNSKKKKNTNTFIAPKHQSNFSSLSNSNL KTNVLPSDKSKTVKSTSKAHSTRCFKCHRIGHYANKCRKQRPLVTLENENVETEPEKE DPLQIFDDFTYEPMEGLDEQICGHQANQEESSSIEKTDRTQGEHCVDYGSFAYNPFPF NVSDLRINLFEKEGNDVPRFVDQSIGANQHRDKDVLNNLTDCKNLISMN" gene complement(<11406365..11406640) /locus_tag="BRARA_H00939" /db_xref="Phytozome:Brara.H00939" mRNA complement(join(<11406365..11406403,11406500..11406640)) /locus_tag="BRARA_H00939" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00939" CDS complement(join(11406365..11406403,11406500..11406631)) /locus_tag="BRARA_H00939" /codon_start=1 /product="hypothetical protein" /protein_id="RID50194.1" /db_xref="Phytozome:Brara.H00939" /translation="MCRQNCCTKSSQEEEVISPPDENLLIYCKHVRLYNILRIRSLYN PSFLPRLLSYKI" assembly_gap 11414021..11424020 /estimated_length=unknown /gap_type="between scaffolds" assembly_gap 11426794..11436793 /estimated_length=unknown /gap_type="between scaffolds" gene 11469366..11470816 /locus_tag="BRARA_H00940" /db_xref="Phytozome:Brara.H00940" mRNA join(11469366..11469877,11470062..11470205, 11470295..11470816) /locus_tag="BRARA_H00940" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00940" CDS join(11469489..11469877,11470062..11470205, 11470295..11470646) /locus_tag="BRARA_H00940" /codon_start=1 /product="hypothetical protein" /protein_id="RID50195.1" /db_xref="Phytozome:Brara.H00940" /translation="MSNETKDLYNYQYPSSFSLYEMMNLPSSAQSSYGNNGFDHGLHS SPGAYDSLLQKTFGLSPPSSEVFNSSIDQESNRCATNDVTGETPTRVSASSSSSEADH PGEDYGKSQRKRELAEDGREENRSSKTVNKTKKKEEKKEREPRVSFMTKSEVDHLEDG YRWRKYGQKAVKNSPYPRSYYRCTTQKCNVKKRVERSLQDPTVVITTYEGQHNHPIPT NLRGSSAAAAMYSANFMNPRSLTHDMFRTAALDYGYGQSSYGNVNANPSSHQEYRQGS EYELLKDIFPSNFFKQEP" gene <11479503..>11480825 /locus_tag="BRARA_H00941" /db_xref="Phytozome:Brara.H00941" mRNA join(<11479503..11479533,11479659..11480533, 11480574..>11480825) /locus_tag="BRARA_H00941" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00941" CDS join(11479503..11479533,11479659..11480533, 11480574..11480825) /locus_tag="BRARA_H00941" /codon_start=1 /product="hypothetical protein" /protein_id="RID50196.1" /db_xref="Phytozome:Brara.H00941" /translation="MERTQDLYANGQQNIEANLADQEEMNNTMEIESSPIPQVQPKNC KRWLRISIYVFFVLSCQALSTILGRLYFENGGKSTWMGTLVQLIGFPVLFLFRFLSKT KTPKSTDISLRKFPSFITLGSVYIVTGLLVSANSYMSSVGLLYLPVSTFSLILASQLA FTAFFSYFLNSQKFTPFIVNSLFLLTISSALLVVNTDESQSTTNVSSRVKYVIGIICT IGASAGIGLLLSLVQLILRKVLKKHTVSTVMDLVAYQSLVASCVVLIGLFASGEWKTL TSEMENYKLGKAPYAITLASIAISWQSSSVFSNSITAVGLPIVPVVAVIVFGDKMNTS KIFSIILAIWGFISFVYQHYLDEKKLKLSHTDHVGGPPLPVDEGRTNIQIV" gene 11483234..>11483998 /locus_tag="BRARA_H00942" /db_xref="Phytozome:Brara.H00942" mRNA join(11483234..11483334,11483411..11483569, 11483868..>11483998) /locus_tag="BRARA_H00942" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00942" CDS join(11483304..11483334,11483411..11483569, 11483868..11483998) /locus_tag="BRARA_H00942" /codon_start=1 /product="hypothetical protein" /protein_id="RID50197.1" /db_xref="Phytozome:Brara.H00942" /translation="MDITQELYANGDQNLEANLINHEATESSSSSSSSVPQTKNYKKW LYLSSYLFFVLFCQPPTIFAISWKVYTGGLWGLIFESSYVFSNSTTAVGLPIVSIIDV IAFQ" gene 11485229..11486835 /locus_tag="BRARA_H00943" /db_xref="Phytozome:Brara.H00943" mRNA join(11485229..11485330,11485464..11486835) /locus_tag="BRARA_H00943" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00943" CDS join(11485300..11485330,11485464..11486611) /locus_tag="BRARA_H00943" /codon_start=1 /product="hypothetical protein" /protein_id="RID50198.1" /db_xref="Phytozome:Brara.H00943" /translation="MKGDQELQVIVVQHGKESDPTVEEERNQTGESPSNRYKRWLLVS VYTFFVISGQSVATILGRLYYDNGGNSKWLATVVQLVGFPVLLPYYLLSSKTHTTTHR DDGKAVLHRNRVLVYLALGVLVGGDCYLYSIGLLYLPVSTFSLICASQLAFNAFFSYF LNSQKLTPIILNSLLLLTISSTLLAFNSEESNFKKVTRGQYVTSFICTIGASAGYGLS LSLQQLAFSKVLKRQTFSEVMDLIIYVSLVASCVSVVGLFASGEWETLSSEMDSYKLG KVSYVMNLVWTAVTWQVFNIGSTWLIFEISSLFSNAIGVLGLPVVPVLAVIIFHDKMN GLKVISMILAIWGFMSYVYQHYLDDKNLKKSLGIPTTGSSDSPEAKGSSAQKIQTPAS " gene 11488064..11489650 /locus_tag="BRARA_H00944" /db_xref="Phytozome:Brara.H00944" mRNA join(11488064..11488209,11488350..11489650) /locus_tag="BRARA_H00944" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00944" CDS join(11488179..11488209,11488350..11489491) /locus_tag="BRARA_H00944" /codon_start=1 /product="hypothetical protein" /protein_id="RID50199.1" /db_xref="Phytozome:Brara.H00944" /translation="MKGDQELQVIVQQGKEPNPTVQDERNSVGSNHTNIYKRWLRVII YTFFVISGQSVATILGRLYYDNGGNSKWLATVVQLVGFPVLLPYYLFSIKTHITTHRD DDKAASHRNRVLVYLALGVLVGGDCYLYSIGLLYLPVSTFSLICASQLAFNAFFSYFL NSQKLTPIILNSLLLLTISSTLLAFNNEASNFKKVTRVQYVTSFICTIGASAGFGLVL SLQQVAFRKVLKRQTFSEVMDMIIYVSLVASCVSLVGLFSSGEWKNLSSEMDRYKLGK VSYVMNLVWTAVIWQVFNIGGTGLIFELSSLFSNAISALGLPVVPVLAVIIFHDKMNG LKVISMILAIWGFMSYVYQHYLDDKNLKKSIGIPTTGSSDTPEAKGSGGQKIQTSA" gene 11499257..>11500617 /locus_tag="BRARA_H00945" /db_xref="Phytozome:Brara.H00945" mRNA join(11499257..11499348,11499470..>11500617) /locus_tag="BRARA_H00945" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00945" CDS join(11499318..11499348,11499470..11500617) /locus_tag="BRARA_H00945" /codon_start=1 /product="hypothetical protein" /protein_id="RID50200.1" /db_xref="Phytozome:Brara.H00945" /translation="MKGDQELQVIVQQGKELNPTVQDEINSVGNNQTGVPHSNTYKRW LRVIAYTFFVISGQSVAAILGRLYYDNGGKSKWLATVVQLVGFPVLLPYHLLSIKTHA TTHTDSKSASPRNRVLVYVVLGVLVGAGCYLYSIGLLYLPVSTFSLICASQLAFNAFF SYFLNSQKLTPIILNSLLLLTISSTLLAFNNEESNFKKVTRGQYVTSFICTIGASAGF GLVLSLQQVAFRKVLKRQTFSEVMDMIIYVSLVASCVSLVGLFASGEWKTLSSEMDSY KLGKVSYVMNLVWTAITWQVFNVGGTGLIFELSSLFSNAISALGLPVVPVLAVIIFHD KMNGLKVISMILAIWGFMSYVYQHYLDDKNLKKSIGISTEESSDPSEAEGSSGKKIQT " gene 11501705..11506706 /locus_tag="BRARA_H00946" /db_xref="Phytozome:Brara.H00946" mRNA join(11501705..11501922,11502218..11502506, 11502593..11502674,11502758..11503787,11503904..11503955, 11504041..11504163,11504560..11504635,11504714..11504898, 11504988..11505182,11505248..11505319,11505385..11505720, 11505783..11505932,11506029..11506090,11506173..11506248, 11506325..11506706) /locus_tag="BRARA_H00946" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00946" CDS join(11501768..11501922,11502218..11502506, 11502593..11502674,11502758..11503787,11503904..11503955, 11504041..11504163,11504560..11504635,11504714..11504898, 11504988..11505182,11505248..11505319,11505385..11505720, 11505783..11505932,11506029..11506090,11506173..11506248, 11506325..11506504) /locus_tag="BRARA_H00946" /codon_start=1 /product="hypothetical protein" /protein_id="RID50201.1" /db_xref="Phytozome:Brara.H00946" /translation="MTTTKLSSCFLTNGLVGISCKREHGGSPRFFYLPSRRLLPASCK IRQQRGFDSSKRQEVKKGSPELILPINSSLQSNSDEEDSQPDNGSADTIHSTVETNRV DSSTENRDGDVQKSEVTRLKNKHTKKKESDGQDLNVLTVPEVAKALSINKSGGEQFSD GQFGELMTMIRNAEQNILRLDQARVSALAELSKILSEKEALQGEINVLEMKLAETDER IKTAAQEKVRVELLEEQLEKLRQEMVSPPPESDGYVLALSREIETLKIENLSLRNDIE TLKSKLESVENTDERVVVLEKECSGLESSVKDLESKLLVSQEDVSKLSSLKTECSDLW TKVESLQMLLDRATKQAEQAVLVLQQNQDLKSKVEKIEESLKEANVYKESSEKIQQYN ELMQHKVELLEERLEKSDAEIFSYVQLYQESIKEFQETLMRLKEESNRKEPRDEPVDD MPWDYWSRLLLTVDGWLLEKKISKDDADSLREMVWKKDRRIHDTYIDVKEKSERDAIS AFLKLVSSPTSQGLYVVHIAAEMAPVAKVGGLGDVVAGLGKALQRRGHLVEIILPKYD CMQYDRVRDLRALDTVVESYFDGKLYKNKIWIGTVEGLPVHFIEPQHPSKFFWRGQFY GEKDDFKRFSYFSRAALELLLQSGKKPDIIHCHDWQTAFVAPLYWDLYAPKGLDSARI CFTCHNFEYQGTSSASDLGSCGLDVNQLNRPDRMQDHSSGDRVNPVKGAIIFSNIVTT VSPTYAQEVRTSEGGKGLHSTLNSNSKKFIGILNGIDTDSWNPATDPFLKAQFNAKDL QGKEENKYALRKQLGLSSAEPRRPLVGCITRLVPQKGVHLIRHAIYRTLELGGQFVLL GSSPVPHIQREFEGIEQQFKSHDHVRLLLKYDEALSHSIYAASDMFIIPSIFEPCGLT QMIAMRYGSIPIARKTGGLNDSVFDIDDDTIPTQFQNGFTFQTADEQGLNYALERAFN HYKKDEEKWMRLMEKVMSIDFSWASSATQYEELYTRSVARARASPNRT" gene 11511831..11512496 /locus_tag="BRARA_H00947" /db_xref="Phytozome:Brara.H00947" mRNA 11511831..11512496 /locus_tag="BRARA_H00947" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00947" CDS 11511919..11512332 /locus_tag="BRARA_H00947" /codon_start=1 /product="hypothetical protein" /protein_id="RID50202.1" /db_xref="Phytozome:Brara.H00947" /translation="MEEESLKALLILRPARVVIPPEDPAVQPVRLMDLIGDMIGDGDQ PQKVVMVMVLVLVRHRMEKERGPDLGLVQGLVQELGLGLAQEEEEPQAVVLAMEVGLD TQVKGVDQAVEMVELLQVVERGANTAKIISISCSS" gene <11538871..>11543919 /locus_tag="BRARA_H00948" /db_xref="Phytozome:Brara.H00948" mRNA join(<11538871..11538921,11540779..11540972, 11541068..11541283,11541363..11541518,11541635..11541692, 11541773..11542090,11542302..11542541,11542623..11542721, 11542813..11542845,11542931..11543116,11543208..11543679, 11543807..>11543919) /locus_tag="BRARA_H00948" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00948" CDS join(11538871..11538921,11540779..11540972, 11541068..11541283,11541363..11541518,11541635..11541692, 11541773..11542090,11542302..11542541,11542623..11542721, 11542813..11542845,11542931..11543116,11543208..11543679, 11543807..11543919) /locus_tag="BRARA_H00948" /codon_start=1 /product="hypothetical protein" /protein_id="RID50203.1" /db_xref="Phytozome:Brara.H00948" /translation="MADLSLAQGRGRGEEGAEAPQTLSISCTRNFLERFCVEEYNMDS MKHNSFLSADLLPSLGASTNQSTKLRKHIVSPFDPRFRAWEMWLVILVIYSAWICPFE FAFITYKKHALFIVDNIVNGFFAIDIILTFFVANVDSHSYILVDNPKKIAMRYLSTWF VFDVCPTAPFKSLSLLFNYKGSQIGFTVLSMLRLWRLRRVSLLFARLEKDIRFNYFWT RCTKLILVTLFAVHYAGCFNYLIADLYPNRRKTWIGAVYPNFKEASLWSRYVTSIYWS VTTLTTTGYGDLHAENPREMFFSVLYVLFNLGFTSYLIGNMTNLVVHWSSHTKTFRDT LRSVSEFASRNQLPPNIQDKMLSHISLDFKSEGLKQEETLNGLRKATRSSIANYLYLH IAQNVYLFQGVSHNFLFQLVSDIDAEYFPPREDVIVQNESHTDLYILVSGAVEFSAYI DGENQIQGKAVVGDAFGEIGVLCYTPQPFTVRTTELCQILRVHKKSLISAVRAHIEDG RTIKNNLFMKLRGQQSIAIDAAKNQPYFLLHKWLGGGLKRGEGNASDQGKGHKYLQLD DSENIDLELTKWMDSRKDGSSETRRGQEHKIKIAEEEKPNKYIDEKSFSNADVASFKL TYPHCRFKPSKQEPAKPEEKKVTIHLKSQGKYLPKLIFLPDSKVELLRLAGEKFGKQS FTVVTNAENVEIDDVDIIRDGEHLFFYYQ" gene complement(11545331..11547517) /locus_tag="BRARA_H00949" /db_xref="Phytozome:Brara.H00949" mRNA complement(join(11545331..11545621,11545743..11546457, 11546597..11546933,11547426..11547517)) /locus_tag="BRARA_H00949" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00949" CDS complement(join(11545556..11545621,11545743..11546457, 11546597..11546933,11547426..11547498)) /locus_tag="BRARA_H00949" /codon_start=1 /product="hypothetical protein" /protein_id="RID50204.1" /db_xref="Phytozome:Brara.H00949" /translation="MTSRTLTRLPNLINVFLLISLVFSGNILQSVASLGINYGQVGDN LPSPDKVINLLRSLRINKTRIYDTNPQILSSFANSNIEIIVTIENQVLTLLQDPQQAL QWVNSHIKPYIPATSITGIMVGNELFTDEDSSLIRYMMPAIINIHKALFQLGLDRYIQ VSSPSSLAVLAESYPPSAGSFKPEVTSVMQQFLRFLEATRSPFWINAYPYFAYKDNPN TIPIDYVLFNRNIGMTDPNTGLHYDNMMYAQVDAVAFAAAKLGYRNIEVRVAETGWPS KGDVGEIGASPLNAATYIRNLMMRQFAGEGTPARRSSRLEVYIFALFNEDMKPGPISE KNYGIFQPDGSLAYDLGFSTTSPSTTTATSKSVTYSSSATKAKSTLKYWKILILAMIG VRLF" gene 11556990..11557466 /locus_tag="BRARA_H00950" /db_xref="Phytozome:Brara.H00950" mRNA 11556990..11557466 /locus_tag="BRARA_H00950" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00950" CDS 11557010..11557348 /locus_tag="BRARA_H00950" /codon_start=1 /product="hypothetical protein" /protein_id="RID50205.1" /db_xref="Phytozome:Brara.H00950" /translation="MLQKPPFLFISDDNDHNNLRYTSLKDVISSSDGFGSFFCHSVPS QDGVLLSEMDSSNIAIRNALVKRAASMYLQSSMIVSAPDTNWFQRFCLKAKHAVECLR PVYRIFSWSS" gene complement(<11560414..>11560680) /locus_tag="BRARA_H00951" /db_xref="Phytozome:Brara.H00951" mRNA complement(<11560414..>11560680) /locus_tag="BRARA_H00951" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00951" CDS complement(11560414..11560680) /locus_tag="BRARA_H00951" /codon_start=1 /product="hypothetical protein" /protein_id="RID50206.1" /db_xref="Phytozome:Brara.H00951" /translation="MVISELGRRSFLTRAEMVPAPMISRRRCSMSPTLETIFEERFDD LNYQEYSSKVVVGQGHRLFLLVPAIISAVSCVLLYRHDRVVRFS" gene complement(<11574157..>11577028) /locus_tag="BRARA_H00952" /db_xref="Phytozome:Brara.H00952" mRNA complement(join(<11574157..11574883,11575087..11575352, 11575616..11576215,11576510..>11577028)) /locus_tag="BRARA_H00952" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00952" CDS complement(join(11574157..11574883,11575087..11575352, 11575616..11576215,11576510..11577028)) /locus_tag="BRARA_H00952" /codon_start=1 /product="hypothetical protein" /protein_id="RID50207.1" /db_xref="Phytozome:Brara.H00952" /translation="MQEFHAITGLKYKDDKPDLDIDNWRGDKGFWSKLLGRKGKISLQ QIRKVHLKSCNTWSHVDRLRMVYLCVIAGLVMAKDEKVCIPHKYIKLVMDFDKMRKYP WSLHSFDALVTSITEARDKVKTQNSYVIDGFSYALQIWLMEAIPDIGSLLGSKLKEGV TSMRCRNWKGSAKETEQPYADSSEDDGSKEEEAGERSDCEMEEEIETAHVSPAKKRKN QYRDIGAESRKKRLLCQRSTDKYRDLEESMKFYIQGMFKSSFTALGLEVRNLIEDRFT KLEQTILSSQTPVGVPAYTQTHGPAPAYTQTHGAAPAYTHTPVAATTSTQAPTPTPAS THASGPATTSRARASRDKASVPSHTGGPATAAKTRSQTKDPELSDVFGSLFDTLDVNL GTQEDLEKTMGNLTQESHVKGFDPSQDFFNRPFLNDKDDPEVRCKDSDYELVFVPEDK FSKLTEWILKPNFWFSFLKNMHCLFQNMDAMMYLFREKTSLRRWKPNRVTFLNCMFSN QIITAYGKFDGNRRGYKVDNNLLEYGRGELPYHGSTGSVWGVDVDRLYIPICVNQIHW ISMCVNLVNRTVEVFDCGGKKNNKAVEAFAVLIPRIVKAVQSSDKKKDFNVKQYAVSY VPMRALNTSGNDCGAYSLKFIECHLLGLDFSLVNDENIQEARHKIEFDLWEAANDESL EYRMSTFKPPKRAPEKTVELF" gene complement(11589954..11591197) /locus_tag="BRARA_H00953" /db_xref="Phytozome:Brara.H00953" mRNA complement(join(11589954..11590676,11590847..11591197)) /locus_tag="BRARA_H00953" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00953" CDS complement(join(11590244..11590676,11590847..11591067)) /locus_tag="BRARA_H00953" /codon_start=1 /product="hypothetical protein" /protein_id="RID50208.1" /db_xref="Phytozome:Brara.H00953" /translation="MGGYRADDDYDYLFKLVLIGDSGVGKTNLLSRFTRNEFSIESKS TIGVEFATKSVHVDEKIIKAQLWDTAGQERYRAITSAYYRGAVGALLVYDITRHITFE NVERWLKELRDHTDANIVIMLVGNKADLRHLRAVPTEEARSFSERENMFFMETSALDA TNVEQAFTHVLTQIYRVMSRKALDGTGDPTYLPKGQSIDIGSKDDVTAVKSSGCCSG" gene complement(11593650..11596187) /locus_tag="BRARA_H00954" /db_xref="Phytozome:Brara.H00954" mRNA complement(join(11593650..11594005,11594093..11594161, 11594237..11594338,11594415..11594675,11594758..11594979, 11595052..11595234,11595307..11595522,11595609..11595761, 11595834..11596187)) /locus_tag="BRARA_H00954" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00954" CDS complement(join(11593793..11594005,11594093..11594161, 11594237..11594338,11594415..11594675,11594758..11594979, 11595052..11595234,11595307..11595522,11595609..11595761, 11595834..11595980)) /locus_tag="BRARA_H00954" /codon_start=1 /product="hypothetical protein" /protein_id="RID50209.1" /db_xref="Phytozome:Brara.H00954" /translation="MALTSNKVPSLRLIPTTGTLLNPSKSLSLPRVSFSLSSAASPKL TTSRKVIAMAVEGSRDLEMSNLTALSPLDGRYWGKVKDLASSMSEFGLIYFRVLVEIK WLIKLSKIPEVSEVPSFSKEAEVYLQGIIDGFSMDDALEVKKIERVTNHDVKAVEYFL KQKCESHPEIAKVLEFFHFACTSEDINNLSHGLMLQEALSSVILPSMDELIKSISLMA KEFAYVPMLSRTHGQPASPTTLGKEMAIFAVRLSEERRYLSETKIKGKFTGAVGNYNA HISAYPNIDWPHVAEEFVTSLGLTFNPYVTQIEPHDYMARLFNTISQFNNILIDFDRD IWSYISLGYFKQITKAGEIGSSTMPHKVNPIDFENSEGNLGKANAELTFLSMKLPISR MQRDLTDSTVLRNMGGALGHSLLAYKSAIQGIRKLQVNEARLKEDLDQTWEVLAEPIQ TVMRRYGVPEPYEKLKELTRGRAVNEESIREFIKGLDLPAEAKSQLLKLTPHTYVGAA AALALAVDEALHL" gene <11602359..>11602661 /locus_tag="BRARA_H00955" /db_xref="Phytozome:Brara.H00955" mRNA <11602359..>11602661 /locus_tag="BRARA_H00955" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00955" CDS 11602359..11602661 /locus_tag="BRARA_H00955" /codon_start=1 /product="hypothetical protein" /protein_id="RID50210.1" /db_xref="Phytozome:Brara.H00955" /translation="MAVLNPKPQNNNKENVSHSKMITISVKVPLDSSSSIDKDKIQFR IRRRQPLKDITNLFVSASPLPSSPTLSFDSKCIKGRSGVGLKTAATSSKLSCRNFR" gene 11606008..11606492 /locus_tag="BRARA_H00956" /db_xref="Phytozome:Brara.H00956" mRNA 11606008..11606492 /locus_tag="BRARA_H00956" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00956" CDS 11606103..11606342 /locus_tag="BRARA_H00956" /codon_start=1 /product="hypothetical protein" /protein_id="RID50211.1" /db_xref="Phytozome:Brara.H00956" /translation="MAKLSFSLCFLLFLLLASVAMGSRPLERAPVGVKVRGLNSSIKA KSATALDGQASGSSNSSHGKTPERLSPGGPDPQHH" gene 11622259..11624850 /locus_tag="BRARA_H00957" /db_xref="Phytozome:Brara.H00957" mRNA join(11622259..11622411,11622588..11622647, 11622738..11622851,11622939..11623022,11623113..11623231, 11623302..11623403,11623479..11623661,11623744..11623810, 11623914..11623967,11624071..11624196,11624520..11624850) /locus_tag="BRARA_H00957" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00957" CDS join(11622313..11622411,11622588..11622647, 11622738..11622851,11622939..11623022,11623113..11623231, 11623302..11623403,11623479..11623661,11623744..11623810, 11623914..11623967,11624071..11624196,11624520..11624660) /locus_tag="BRARA_H00957" /codon_start=1 /product="hypothetical protein" /protein_id="RID50212.1" /db_xref="Phytozome:Brara.H00957" /translation="MNRSCLCSILITTALICGAYFIGNAYIDKEFKERLLRWKITDKM HNATSNTCQNLNKPLGSEALPQGIIVKTSNLETQHLWNYCDSENVTEGNTNRSMSLLA MAVGIKQNELVNKVIQKFPLQDFVVMLFHYDGVLDDWNQYPWSAHAIHVSVMNQTKWW FAKRFLHPDVVAEYEYIFLWDEDLGVGHFNPKRYLSIVKEEGLEISQPGLDPTESEVY HPITARRENLKVHRRIYKDKGGLRCDGNSTDPPCIGWVELMAPVFSRSAWRCSWYMIQ NDLIHAWGLDVQLGYCAQGDRKKNVGVVDAEYIVHYGLPTLGGVVNPSSSARNETNPK SGVSQDLSESDGVDNRGKVRMKSSVEMKRFKERWKKAVKDDICWVDPY" gene complement(<11626657..>11628714) /locus_tag="BRARA_H00958" /db_xref="Phytozome:Brara.H00958" mRNA complement(join(<11626657..11627001,11627353..>11628714)) /locus_tag="BRARA_H00958" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00958" CDS complement(join(11626657..11627001,11627353..11628714)) /locus_tag="BRARA_H00958" /codon_start=1 /product="hypothetical protein" /protein_id="RID50213.1" /db_xref="Phytozome:Brara.H00958" /translation="MENASTVRFYSCRGVAFEIKPHANPFAIITTDQNLNANVEREET SRRFRLPKDFIRNASKAFPSSLQQSISLASSHFCDLDSDNDEEEDEDDFYYLEEGVFK EGDEQRNNTDENAILDSSSSKHSEKPQQPPVPRKRVSRLSIILLDQGLFTVYKRLFVT SLFLNVVGLVLAATGRFTYARNGASLFSIANILALTLCRSEAFLRLVFYLTVTILGRS FIPLRIKIAVTSLLQSLGGIHSGCGVSSIVWLVYSLVLALKDRDNNSTAIIAVASAIL SLLCLTSAAAFPLVRHLHHNVFERVHRFAGWSALGLVWAFIVLTTSYDPVSRSYTDNL ASKLIKTQEFWFTLAITVAILLPWLTVRRVPVDISSLSGHASLIKFSGGVKPGILGRI SPSPLSEWHAFGIISDGKTSHMMLAGAVGDFTKSLVSKPPTHLWVRTVHFAGLPYLVN LYDKVLLVATGSGICVFLSFLMQQSKADVYLIWVAKGLDDNFGSEIVNRIKAYPHQDR IIVHDTAILGRPNVSEMSVKASKKFGAQVVIVTSNPEGSRDVVNACKASGVPAFGPIW DS" gene complement(11634881..11638236) /locus_tag="BRARA_H00959" /db_xref="Phytozome:Brara.H00959" mRNA complement(join(11634881..11635368,11636515..11636744, 11636832..11637215,11637857..11638236)) /locus_tag="BRARA_H00959" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00959" CDS complement(join(11634972..11635368,11636515..11636744, 11636832..11637215,11637857..11638150)) /locus_tag="BRARA_H00959" /codon_start=1 /product="hypothetical protein" /protein_id="RID50214.1" /db_xref="Phytozome:Brara.H00959" /translation="MDEADKKVTRCFSCTRTKKKKNKEDEKLIASRGIAKRWRDLSGQ NHWKGLLQPLDQDLRQYLIHYGEMAQAGYDTFNINTQSKFAGSSIYSRKDFFAKVGLE KAHPYTKYKVTKFLFATSQIHVPESFLLFPVSREGCTKESNWIGYVAVTDDQGTAALG RRDIVVAWRGSVQPLEWVNDFEFGFVNAKKIFGEKNDQVQIHQGWYSIYMSKDERSPF NKANARDQVLREIGRLLEKYKDEKISISICGHSLGAAIATLNAADIVANGYNRPKSRP DKSCPVTAFVFASPRVGDSDFKKLFSGLKDLRVLRVRNLPDVVPIYPPLGYAEVGDEL PIDTRKSPYLKSPGDLATFHCLEVYLHGLAGTQGTSKADLFRLDVKRDIGLVNKSVDG LKDEYMVPGHWRILKNKGMVQQNDGSWKLMDHEIDDNEDFDF" gene 11656483..11659737 /locus_tag="BRARA_H00960" /db_xref="Phytozome:Brara.H00960" mRNA join(11656483..11657796,11657883..11658196, 11658280..11658391,11659226..11659363,11659455..11659737) /locus_tag="BRARA_H00960" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00960" CDS join(11656615..11657796,11657883..11658196, 11658280..11658391,11659226..11659363,11659455..11659586) /locus_tag="BRARA_H00960" /codon_start=1 /product="hypothetical protein" /protein_id="RID50215.1" /db_xref="Phytozome:Brara.H00960" /translation="MVAGKVRVTMGFHKSPSTKPKDMATPLPLPLPPPPPHLLKPSSG SAGKPSPVSNQKPGFARYFPRASAQVHNASSRSDQTAVISELRRQVEELREREAKLKT EVLELKLLRESVSVIPSLESRIAEKDGEIERSRKETARLTAENERLRREVERSEELRR ESERREKEMEAELRKLVSSSDDHALSVSQRFQGLTDASARSSLTRSLKRVESMRNLPD PVPNQVSNKTGSPGDIYRKEEVESHSITNSDELTESTVRSRVPRVPKPPPKRPFSSNG SHDATEDIPDPPPQRTSPPPPPPPPPPLFRPPPPPPSVSKAPPPPPPPPKNLNIASAK VRRVPEVVEFYHSLMRRDSINSRRDSTGGGSNAAAEAVLASSNARDMIGEIENRSVYL LAIKTDVETQGEFIRFLIKEVENAAFSDIEDVVPFVKWLDDELSYLVDERAVLKHFEW PEQKADALREAAFCYFDLKKLISEASRFREDPRQPSGSALKKMQALFEKLEHGVYTLS RMKESAATKFKTFQIPVDWMLETGITSQIKLASVKLAMKYMKRVSAELEAIGGGGPEE EELIVQGVRFAFRVHQFAGGFDAETMRAFQELRDKARSCHIQCQSQTHQHKLIFRSTH C" gene 11662521..11663714 /locus_tag="BRARA_H00961" /db_xref="Phytozome:Brara.H00961" mRNA join(11662521..11662757,11663222..11663714) /locus_tag="BRARA_H00961" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00961" CDS join(11662589..11662757,11663222..11663568) /locus_tag="BRARA_H00961" /codon_start=1 /product="hypothetical protein" /protein_id="RID50216.1" /db_xref="Phytozome:Brara.H00961" /translation="MATKAIFFFVSALCLSSLAGKAMADADDFDSFKIQGSVYCDTCR VQFVTRLSKFLEGAKVKLECRSRTNGTVALTKEAVTDKSGSYTMEVTGDHEEEVCELV LLQSPDSACSDVSQDAYLRNAAKVSLTANDGIVSHETRIVNPLGFMVKTPSAECPAAF KELGIVPDVTF" gene 11684760..11685622 /locus_tag="BRARA_H00962" /db_xref="Phytozome:Brara.H00962" mRNA 11684760..11685622 /locus_tag="BRARA_H00962" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00962" CDS 11684839..11685420 /locus_tag="BRARA_H00962" /codon_start=1 /product="hypothetical protein" /protein_id="RID50217.1" /db_xref="Phytozome:Brara.H00962" /translation="MSSDHHTPTKDPPDRPSSSSINHKQLLPSQPQAQQPLSRYESQK RRDWNTFIQYLKSQNPPLMMSQFDYTHVLSFLRYLDQFGKTKVHHQACVFFGQPDPPG PCTCPLKQAWGSLDALIGRLRAAYEEHGGGSPDTNPFANGSIRVHLREVRESQAKARG IPYRKKKRRKIKNDGVVARKDVANSSTHNQSST" gene <11696101..>11699403 /locus_tag="BRARA_H00963" /db_xref="Phytozome:Brara.H00963" mRNA join(<11696101..11696122,11696709..11696875, 11697271..11699176,11699249..>11699403) /locus_tag="BRARA_H00963" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00963" CDS join(11696101..11696122,11696709..11696875, 11697271..11699176,11699249..>11699403) /locus_tag="BRARA_H00963" /codon_start=1 /product="hypothetical protein" /protein_id="RID50218.1" /db_xref="Phytozome:Brara.H00963" /translation="MQLDIDPPSSIQSSNPLKKKKQTAQTREIIMFLNNYQLNKYCYR FYTSILAINQLDRQRTKLAMDALLEFKHEFLVNEFNSNSSLSSWKTNSDCSLWEGVTC DTKSGKVISLDLQYIPLNNFLKLNSGLFKIQHLRYLSLRDCHLHGEIPSSLGNLSHLE HLDLLGNYLVGEIPASLGNLTQLKYLNLNNNKFTGNIHVPFPNFTKLTHLDISNNQFT GEFPLVLLNLATSLSILAISNNLFKSIFPSDMSRFDKLEHIDVGGNSFFGPFPTHLFM IPSLTWVNLRENQFEGPIEFRNISSSSKLQSLFLSQNKFEGPIPKSISKFPNLETLFL SDNNFTGTIPISISNLVNLVTFDISQNNFTGPIPRSNLVKLQYLDLSYNKLEGEIPGW LGGVLELMLSHNSFSRFGKLLEVSDVTHIQTLDLSSNSFQGPLPHWICKLRPSMFLDL SNNLFNGSIPQCLRNTIVPLRALNLQNNNLTGILLQDLFVNATNLELVDVSGNKLEGK LPESLINCISLKFLNVRSNKIKDKFPSWLSSLPSLNVLILRSNEFYGPLYHPHVSIGF QSLKVVDISHNHFNGTLPPFYFSKWHGMTTLREEHQSYTVYMGYPAYGGFYRSSMEMV NKGVDTKFQRIRKDFKAIDFSENEFGGKIPSSIGFLKELRLLNLSGNTFTGNIPQSLA NLTNLEELDLSRNQLSGPIPRSTQFQRQNCSAFMYNSNLYGLEDICGKTHVPNPTPQE SEDFSKPKEQ" gene 11709424..>11711802 /locus_tag="BRARA_H00964" /db_xref="Phytozome:Brara.H00964" mRNA join(11709424..11710431,11710491..>11711802) /locus_tag="BRARA_H00964" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00964" CDS join(11709455..11710431,11710491..11711802) /locus_tag="BRARA_H00964" /codon_start=1 /product="hypothetical protein" /protein_id="RID50219.1" /db_xref="Phytozome:Brara.H00964" /translation="MMSSHSYWFSSVVTLYFFFLVFRVLHTLASPIHHYCCHDQRDAL LEFKHEFPVNESNSGPYLSSWNNNHDCCVWEGVTCDAKSGKVISLYLYDIPLNNSLKP NSSLFKLQHLRNLTLIACSLCGEIPSSIGNLTQLEFLYLDSNNFKGYIPASFANFTKL SLLDLSNNQFKGEFPLVLLNLTTSLSSLDISSNLFKSKLSPDVSRFRSLEYFSVGGNS FFGPFPTSLFMIPSLTRVDLWGNNFNGPVEFKNISSSSKLQILYLDHNNFTGPVPKSI SNFPYLYRLDLSDNNLIGPIPRSLSKLVNLDSLDLSHNNFIEPIPIFISKLNSLTLGT LDLSYNKLEGKISGWLWHVPTLILSHNSFNRFEKSLEVSDLSNSVTLDLSSNVFRGPL PHWICKLRPSTLLDLSNNSFSGSIPQCFRNTVAGLTSLNLKKNNFSGILPSNIFVNAT NLASLDISYNQLEGKLPESLVDCTMLVFLNVQNNKIKDKFPFSLSSLNVLILRSNEFY GPLYHPHVSIAFQSLRVIDISHNHFNGTLPPFYFSNWLEMIMLAEEFQSHSMYMGYDL FDDPFRYSMEIVNKGVNTLFELIRTDFGAIDFSGNNFSGKIPKSIGLLNGLRLLNLSS NRFSNHIPQSLANLTNLEELDLSRNQLSGQIPRNLVRLSFLSIMNFSHNNLEGPIPRS TQFQRQNCSSFMDNPKLYGLEDICGKTHFPNPTPQESEDLSEPEEQVISWIAAAIAYG PGVFCGLVIGHIFSQGIYNWFM" gene 11720066..11721452 /locus_tag="BRARA_H00965" /db_xref="Phytozome:Brara.H00965" mRNA 11720066..11721452 /locus_tag="BRARA_H00965" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00965" CDS 11720669..11721265 /locus_tag="BRARA_H00965" /codon_start=1 /product="hypothetical protein" /protein_id="RID50220.1" /db_xref="Phytozome:Brara.H00965" /translation="MVFYGWEDVVLHLSLRVIYALCGSCAEAHLSRYLLQIDENIDDG HDGDSSMSDLTATQLEKINDLHVKVIREEDKLTKKYANFQEDVADMPIAVTAFWRDSV EADVAVEDALDKHEECMGVLMADADKVRVETLRRIVEVLTPVQAAEFLLSGKRLHMSL HEWGRAREERRYGCVHVGMQQQGEPEPESQRDRTLIDM" gene complement(<11725718..>11727184) /locus_tag="BRARA_H00966" /db_xref="Phytozome:Brara.H00966" mRNA complement(<11725718..>11727184) /locus_tag="BRARA_H00966" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00966" CDS complement(11725718..11727184) /locus_tag="BRARA_H00966" /codon_start=1 /product="hypothetical protein" /protein_id="RID50221.1" /db_xref="Phytozome:Brara.H00966" /translation="MSDLKRETSLPKERTSPPQALILGRYEMGKLLGHGTFAKVYLAR NVKTNESVAIKVIDKEKVLKGGLIAHIKREISILRRVRHPNIVQLFEVMATKAKIYFV MEYVRGGELFNKVAKGRLKEDAARRYFQQLISAVTFCHARGVYHRDLKPENLLLDEKG NLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARRGYDAAKVDIWSCGVVLFV LMAGYLPFHDRNVMAMYKKIYRGEFRCPRWFSPELTRLMSRLLETDPEKRFTFAEVME NSWFKKGFKHVKFYVEDDKLCNVDDDDDELETASVESGRSSAVSESEIECLEPRRKVV GLPRPASLNAFDIISFSQGFDLSGLFDDDGEGSRFVSGAPVSKIISKLEEIAKVVSFT VRKKDCRVSLEGSRQGVKGPLTIAAEIFELTPSLVVVEVKKKGGDRAEYEEFCNNELK PMLQNLRADEVEEPVAVSVVDDETVNSPPVCFLPSDTE" gene 11731834..11733469 /locus_tag="BRARA_H00967" /db_xref="Phytozome:Brara.H00967" mRNA join(11731834..11732036,11732355..11732496, 11732600..11732764,11732905..11733017,11733093..11733469) /locus_tag="BRARA_H00967" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00967" CDS join(11732647..11732764,11732905..11732918) /locus_tag="BRARA_H00967" /codon_start=1 /product="hypothetical protein" /protein_id="RID50222.1" /db_xref="Phytozome:Brara.H00967" /translation="MQKAFEESSTSWCNYKPLRRREEDDEAVMKTRAFHDWKDGNNI" gene 11737891..11740653 /locus_tag="BRARA_H00968" /db_xref="Phytozome:Brara.H00968" mRNA join(11737891..11738100,11738632..11739057, 11739131..11739205,11739284..11739340,11739421..11739561, 11739643..11739693,11739777..11739956,11740053..11740653) /locus_tag="BRARA_H00968" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00968" CDS join(11738086..11738100,11738632..11739057, 11739131..11739205,11739284..11739340,11739421..11739561, 11739643..11739693,11739777..11739956,11740053..11740250) /locus_tag="BRARA_H00968" /codon_start=1 /product="hypothetical protein" /protein_id="RID50223.1" /db_xref="Phytozome:Brara.H00968" /translation="MADDKEVPGAVVNGHDQVTGHIISTTIGGKNGEPKQTISYMAER VVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRVMDHPNVVCLKHCFFS TTSKDELFLNLVMEYVPESLYRVLKHYSTANQRMPLVYVKLYMYQIFRGLAYMHNVAG VCHRDLKPQNLLVDPLTHQVKICDFGSAKQLVKGEANISYICSRFYRAPELIFGATEY TTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPHYTDFR FPQIKAHPWHKIFHKRMPPEAIDFASRLLQYSPSLRCTALEACAHPFFDELREPNARL PNGRPFPPIFNFKQEVAGASPELVNKLIPDHIKRQLGLSFLNQSGT" gene complement(<11741253..>11742209) /locus_tag="BRARA_H00969" /db_xref="Phytozome:Brara.H00969" mRNA complement(<11741253..>11742209) /locus_tag="BRARA_H00969" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00969" CDS complement(11741253..11742209) /locus_tag="BRARA_H00969" /codon_start=1 /product="hypothetical protein" /protein_id="RID50224.1" /db_xref="Phytozome:Brara.H00969" /translation="MQERKRKGLCMFCEEPFTPGHQLKHRRSEFLFLEADPTEFDEEI ALKEQLRETTINDQDVKVPSISIHALNGSSTFNCMRLLGLYGKRKLKILIDPGSTHNF LDLQIAKGLGCYLKPIKPASVVAAGGDLITQYKCSNFAWKMQGYKFKTEIRTLPLGCG DLVLGVEWLSTLGPILWDFLHLQMEFKFQGLKHVLRGTTPNSSKIITRGSLNKQIFQE PQIAMLHLREIDKTIPPQQPPPGHMPYLLGKSSSPATDRSLQKLKFCPNLPYSTLTLP HYLNEVGTRKEPAQIYQNFIAEFPHFQISILEDKYDLKEGVL" gene complement(<11745488..>11746783) /locus_tag="BRARA_H00970" /db_xref="Phytozome:Brara.H00970" mRNA complement(<11745488..>11746783) /locus_tag="BRARA_H00970" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00970" CDS complement(11745488..11746783) /locus_tag="BRARA_H00970" /codon_start=1 /product="hypothetical protein" /protein_id="RID50225.1" /db_xref="Phytozome:Brara.H00970" /translation="MKPLSPSLPLLLLLLLSSTTFAAPSLSPTPSPTISPIPRTSPRT SSSPLDPKHLKALESLNIPTAKNPCDHRPTSKPPSTVVTCDAGSPFRLVTSLSFTNCS SDLSISSAALRALSPSLASLSFLNCPSLSPPPRLPTSLRSFTATSSFLRRRKGLSGVY LARLVNLTDLTVSSVPVSTSGLFVILGNMDKIVSLTVSHANLSGNIPKSLHSNLTFID LSDNLIKGSIPTSITQLSNLKSLNLSSNSISGEIPDSIGDLISLKNMSLSSNKLSGPI PDSISSLPDLTHLDLSGNQLNGTVPRFITKMKSLKHLNLANNNFRGVLPFNASFLKKL EVFKVGGNSDLCYNRTVLSSKMKLGIAQCDKHGLPLSPPPQKEDSSSDYDYGSEDETS VKKKEESRGPNKVVLGVSIGLASLVFLIIFLILCAKWCG" gene <11754958..>11756486 /locus_tag="BRARA_H00971" /db_xref="Phytozome:Brara.H00971" mRNA join(<11754958..11755634,11755785..11755911, 11756010..>11756486) /locus_tag="BRARA_H00971" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00971" CDS join(11754958..11755634,11755785..11755911, 11756010..11756486) /locus_tag="BRARA_H00971" /codon_start=1 /product="hypothetical protein" /protein_id="RID50226.1" /db_xref="Phytozome:Brara.H00971" /translation="MENYVDESGFAPLNLTKFTRDEEHMKEEDFPFEVVDQSKPTSFL QDFHHLDHDHQFDHHCHHHHGSTSSNPSLLGAPRTLSCINKVPLQHCSYQENLVDFYE SKPHLMNHHFQASDNQYFTRDHHHQEISLVDEHNPVDLEQNNMMMMRMLPFEYPPTAI IKPTNFMMPDEVSCVSADNNCYKAMSFNKTKPFLTRNLSSSSSSSSWKGKNNTTLVKG QWTAEEDKILVQLVEKYGLRKWSHIAQVLPGRIGKQCRERWHNHLRPDIKKETWSEDE DRVLIEFHKEIGNKWAEIAKRLPGRTENSIKNHWNATKRRQFSKRKCRSKYPRPSLLQ DYIKSLDLGVLSSSSVPARGRRKESNKKKDIVAVEEKKKKEEKFYGQDRVVPECVFAD GFGFNENLLEEGCSIDSLLDDFPQADIDAFVHGI" gene complement(<11757552..>11758757) /locus_tag="BRARA_H00972" /db_xref="Phytozome:Brara.H00972" mRNA complement(<11757552..>11758757) /locus_tag="BRARA_H00972" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00972" CDS complement(11757552..11758757) /locus_tag="BRARA_H00972" /codon_start=1 /product="hypothetical protein" /protein_id="RID50227.1" /db_xref="Phytozome:Brara.H00972" /translation="MTMMCDLPHDLLGEKILAKVPITSLKAIRSTCKLWNVLSKDFIV GKTTSRQHEFLGFMTIRSKVCSLRFGLRGIHNQDALVDPSTKQVRLLDQVEITKIFHC DGLVLCVTKENSKLLVWNPYLGQTKWIETRKQLHKSDMYALGCNNNSKVPNYKILRLH CCYDYYNHGRLSGSEIYNSMSESWSVLDLIPDCEIDYYQRGVTLKGDTYFFARGTAPH EVGNDAGIIGLGVFMLCFDYTKERFGPHLTLPFTITDNRHEAVVLSCVREEQLAVLYE KSQSNILDFWVTNKIESNVVSWSKFLKVDLTIKQPRHPLVHRNHGSFFIDEEKKVAVV FDIGLNDSSRHYATTAFIVGEEGYFTSVNIGKEPTRSGSSHPPLVSSSYLPSLLQINQ PHQRKETAV" gene 11763281..11764288 /locus_tag="BRARA_H00973" /db_xref="Phytozome:Brara.H00973" mRNA join(11763281..11763842,11763926..11764288) /locus_tag="BRARA_H00973" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00973" CDS join(11763601..11763842,11763926) /locus_tag="BRARA_H00973" /codon_start=1 /product="hypothetical protein" /protein_id="RID50228.1" /db_xref="Phytozome:Brara.H00973" /translation="MSDSPSSSSSVLSQNVSKPVSDKLLDKYIDVSEFQFDYQKSGIW SPPFQRTTFLTPQGTILTGKEMAEKLKNVLEPPRIK" gene 11779481..11780837 /locus_tag="BRARA_H00974" /db_xref="Phytozome:Brara.H00974" mRNA join(11779481..11779641,11779719..11780837) /locus_tag="BRARA_H00974" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00974" mRNA join(11779481..11779641,11779805..11780837) /locus_tag="BRARA_H00974" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00974" CDS 11779721..11780686 /locus_tag="BRARA_H00974" /codon_start=1 /product="hypothetical protein" /protein_id="RID50229.1" /db_xref="Phytozome:Brara.H00974" /translation="MMKWGRKKVHVPSSSSLSRAHHVSWFSKLRGSSDLKPAKEKKHH DEASQKMSTKSSLSSTKPGNDIHESSKRLQRVSVEKENVAKRSAGMESNEKFEEIMSS VKKKARDYRRETRGFLEVEAMDRDKGGTVIMTPRIHVNRDKKRRDQKLLLQKPKRSEQ ESEVKVQKPATRTCTRSYSREDFVKLKEIKLREVKLKADQRRKSMYLRRELGTKENSN VRVFSPRACRVKAMEDLKKAKLRAREHDGGGMEKESFAVAKCSTDPQKDFRDSMVEMI MENGIINHPEELKGLLVCYLRLNTNEYHDMIINVFQQVHSDLYLH" CDS 11779871..11780686 /locus_tag="BRARA_H00974" /codon_start=1 /product="hypothetical protein" /protein_id="RID50230.1" /db_xref="Phytozome:Brara.H00974" /translation="MSTKSSLSSTKPGNDIHESSKRLQRVSVEKENVAKRSAGMESNE KFEEIMSSVKKKARDYRRETRGFLEVEAMDRDKGGTVIMTPRIHVNRDKKRRDQKLLL QKPKRSEQESEVKVQKPATRTCTRSYSREDFVKLKEIKLREVKLKADQRRKSMYLRRE LGTKENSNVRVFSPRACRVKAMEDLKKAKLRAREHDGGGMEKESFAVAKCSTDPQKDF RDSMVEMIMENGIINHPEELKGLLVCYLRLNTNEYHDMIINVFQQVHSDLYLH" gene complement(11781047..11782851) /locus_tag="BRARA_H00975" /db_xref="Phytozome:Brara.H00975" mRNA complement(join(11781047..11782127,11782216..11782433, 11782528..11782851)) /locus_tag="BRARA_H00975" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00975" mRNA complement(join(11781047..11782127,11782216..11782442, 11782528..11782851)) /locus_tag="BRARA_H00975" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00975" CDS complement(join(11781237..11782127,11782216..11782431)) /locus_tag="BRARA_H00975" /codon_start=1 /product="hypothetical protein" /protein_id="RID50231.1" /db_xref="Phytozome:Brara.H00975" /translation="MDESNHGGSLPPFLTKTYEMVDDSSSDSIVSWSPQSNKSFIVWN PPEFSRDLLPKFFKHNNFASFIRQLNTYGFRKADPEQWEFANDDFVRGQPHLMKNIHR RKPVHSHSSPSLQPHPLTDSERQRMNDQIERLTKEKEVLLEELHKQEKEREMFQQQVK ELKDQLQHMEKRQKTMASFVSQVLEKPELAFNLSPCLQETNERKRRFPRIGLEGSTSP SSQARELQVEQLESSIAVWENLVSEDSSESLGQETRSMMTLDVDESSTCPESPPLPCI QLSIDTCPTSPRTIDMNSEPDASKEQNTVAPSPPLSAAGGNDVFWQQLLTENPGSTGQ KEVQSERKDDKAEKCWWDSRNVNTLTEQLGHLTS" CDS complement(join(11781237..11782127,11782216..11782431)) /locus_tag="BRARA_H00975" /codon_start=1 /product="hypothetical protein" /protein_id="RID50232.1" /db_xref="Phytozome:Brara.H00975" /translation="MDESNHGGSLPPFLTKTYEMVDDSSSDSIVSWSPQSNKSFIVWN PPEFSRDLLPKFFKHNNFASFIRQLNTYGFRKADPEQWEFANDDFVRGQPHLMKNIHR RKPVHSHSSPSLQPHPLTDSERQRMNDQIERLTKEKEVLLEELHKQEKEREMFQQQVK ELKDQLQHMEKRQKTMASFVSQVLEKPELAFNLSPCLQETNERKRRFPRIGLEGSTSP SSQARELQVEQLESSIAVWENLVSEDSSESLGQETRSMMTLDVDESSTCPESPPLPCI QLSIDTCPTSPRTIDMNSEPDASKEQNTVAPSPPLSAAGGNDVFWQQLLTENPGSTGQ KEVQSERKDDKAEKCWWDSRNVNTLTEQLGHLTS" gene 11783522..11785788 /locus_tag="BRARA_H00976" /db_xref="Phytozome:Brara.H00976" mRNA join(11783522..11783833,11783999..11784742, 11784827..11785014,11785080..11785788) /locus_tag="BRARA_H00976" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00976" CDS 11784084..11784359 /locus_tag="BRARA_H00976" /codon_start=1 /product="hypothetical protein" /protein_id="RID50233.1" /db_xref="Phytozome:Brara.H00976" /translation="MQTYNKVILMLTYNIMDGTIYQAPQRCSAFAARVVCFFILSIYV HVLLGGHRLSKGFFLVAAKVFFVFFSFSFKVWLFRRFLCSCIKVGDN" gene <11794121..>11797120 /locus_tag="BRARA_H00977" /db_xref="Phytozome:Brara.H00977" mRNA join(<11794121..11794336,11796498..11796758, 11796815..>11797120) /locus_tag="BRARA_H00977" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00977" CDS join(11794121..11794336,11796498..11796758, 11796815..11797120) /locus_tag="BRARA_H00977" /codon_start=1 /product="hypothetical protein" /protein_id="RID50234.1" /db_xref="Phytozome:Brara.H00977" /translation="MTSGTRTPTWKERENNKRRERRRRAIAAKIFAGLRIHGNFKLPK HCDNNEVLKALCNESGWTGEDDGTTYRKGCQPMDRMELRNGSTSASPCSSYQPSPRGS YNPSPSSSSFPSPTNIFGDANSLIPWLKNLSSNSPSKLPFFHGNSISAPVTPPLARRS GPSSPTFSLVSRNPFFDKEAFKMGDCNSPMWTPGQSGNCSPAIAAGVDQNSDVPMADG MVAEFAFGSNAIGMVKPWEGEMIHGECVSDDLELTLGNSRTR" gene <11798756..>11800538 /locus_tag="BRARA_H00978" /db_xref="Phytozome:Brara.H00978" mRNA join(<11798756..11798898,11799662..>11800538) /locus_tag="BRARA_H00978" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00978" CDS join(<11798756..11798898,11799662..11800538) /locus_tag="BRARA_H00978" /codon_start=1 /product="hypothetical protein" /protein_id="RID50235.1" /db_xref="Phytozome:Brara.H00978" /translation="LEGDAVEEDSSDDLSPQTRSSRLVRKTRLICCLHGGHPSILLRF RTKRNQSSQYSGYIGLLTSQQQYVVQENIPPESFPYSVNIGGSDIPPFSSQQAQTPSQ PDATPVERVVRKKWTPHDDEVLISAWLNTSKDAVIGNEQKSGTFWKRVGEYFAGATEA GEKTEHVHCKQRWHKINDHTNKFCAAFAAAERQVTSGQSDNDVLKVAHEIFYAKNGTK FTLEHAWCVLRYEHKWINLNPPKAAVSSKRKTGEDASEPSSTNVGEGEIRPEGVKAAK AGRNSSQGKAVENYKNMWELKMEDLAKKEKLSKLAILDSLLTRKESLSEREEAVKNIL LAELF" gene <11804035..>11806785 /locus_tag="BRARA_H00979" /db_xref="Phytozome:Brara.H00979" mRNA join(<11804035..11804341,11804423..11804502, 11804588..11804689,11804772..11804895,11805026..11805087, 11805174..11805221,11805308..11805369,11805457..11805553, 11805639..11805713,11805808..11805906,11805992..11806132, 11806451..11806543,11806642..>11806785) /locus_tag="BRARA_H00979" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00979" CDS join(11804035..11804341,11804423..11804502, 11804588..11804689,11804772..11804895,11805026..11805087, 11805174..11805221,11805308..11805369,11805457..11805553, 11805639..11805713,11805808..11805906,11805992..11806132, 11806451..11806543,11806642..11806785) /locus_tag="BRARA_H00979" /codon_start=1 /product="hypothetical protein" /protein_id="RID50236.1" /db_xref="Phytozome:Brara.H00979" /translation="MITAFSWVPKGVAKAIPDRAELPSKEEIEKLKETCDQVYSEDEE DNTEDEKENGEVAHAKTVAEAFGKSSSIKNASSSIEVDDLNDDFMKELDMDNYDEEDD RIEIFSSGNGGLYYASNEMDPHLMNHDDSDDSDEDEDQTILTTKSMIVCAKTDDNDAS YLDVCVCEETSNGYPNIYSRGRFELPTAPLCTAWFDCPLKGGEKGNFLAVGLYKKPMI EIWDLDVKDEVLPCVQLGGKEKGNYKEGSHTRSVLGLAWNKEIRNTLASSSADKKVKV WDMATEKCMITMEHHTKKVQAVAWNHYAPEVLLSGSFDQTVVLKDGRKPSHSGFKWSV MSKVESLAWDPHSEHSFVVSLKNGTVKGFDVRQASNSASDLKPSFTLQAHHKPATCIS YNISAPNLLATGSMDKTVKLWDLSDNKPSCIASHIPNAGSLFSIDFSPDNPFLLAIGG TRGDLKVWDTLSDTNVSRRYGSSRARP" gene <11809350..>11811911 /locus_tag="BRARA_H00980" /db_xref="Phytozome:Brara.H00980" mRNA join(<11809350..11809468,11809547..11809607, 11809658..11809745,11809820..11809899,11809986..11810042, 11811531..11811623,11811706..11811783,11811852..>11811911) /locus_tag="BRARA_H00980" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00980" CDS join(11809350..11809468,11809547..11809607, 11809658..11809745,11809820..11809899,11809986..11810042, 11811531..11811623,11811706..11811783,11811852..11811911) /locus_tag="BRARA_H00980" /codon_start=1 /product="hypothetical protein" /protein_id="RID50237.1" /db_xref="Phytozome:Brara.H00980" /translation="MITALSWIPKGSLKPVPDAAEPPSKEEIKELIESGAFTASVDGS DEDEEEMEEEEEEISEQEGFFTMEVDDVAAGMKELDMDNYDEEDDGIELFSSGRGDLY YPSNELDPYLKDAADDDDDEEDIDDTTIKPTDSLLATGSMDKTVKLWDLSNNEPSCIA SHKPKAGAVFSISFSVDNPFLLAIGGSKGELHVWDTLLDANVSRKYGSKQS" gene <11815056..>11817532 /locus_tag="BRARA_H00981" /db_xref="Phytozome:Brara.H00981" mRNA join(<11815056..11815391,11817144..11817198, 11817339..>11817532) /locus_tag="BRARA_H00981" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00981" CDS join(11815056..11815391,11817144..11817198, 11817339..11817532) /locus_tag="BRARA_H00981" /codon_start=1 /product="hypothetical protein" /protein_id="RID50238.1" /db_xref="Phytozome:Brara.H00981" /translation="MAEKNATPLQPPETQEDEEEKREMYAVWAVPEEDVEDRLRRLME GLRSEFGGPPFDPHLTLVGPQKLTAGEAKLMFEAACEGFKAYPATVDQVSAGTSYFQC VYVSLRHTVEVMNAAGHFMGHFKAFTGKLYVPHMSILYGDLTEEDKKKALEKASTLDS SLDGLNFRINRVELWITDADVGSWVKIDEHNLIS" gene 11821426..11824924 /locus_tag="BRARA_H00982" /db_xref="Phytozome:Brara.H00982" mRNA join(11821426..11821946,11822027..11822101, 11822198..11822293,11822384..11822469,11822554..11822674, 11822752..11822831,11823097..11823159,11823269..11823375, 11823467..11823552,11823753..11823874,11823988..11824099, 11824204..11824924) /locus_tag="BRARA_H00982" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00982" CDS join(11821614..11821946,11822027..11822101, 11822198..11822293,11822384..11822469,11822554..11822674, 11822752..11822831,11823097..11823159,11823269..11823375, 11823467..11823552,11823753..11823874,11823988..11824099, 11824204..11824302) /locus_tag="BRARA_H00982" /codon_start=1 /product="hypothetical protein" /protein_id="RID50239.1" /db_xref="Phytozome:Brara.H00982" /translation="MEVGVLKPSFSIGKLSSLAPEKILEPSVHSEEEVLEDGEEIDGG VRLMYLSNEGDIEGIKELFDSGIDANYRDIDDRTALHVASCQGLKDVVELLLEWEAEV DPKDRWGSTPLADAIFYKNIDVIKILETHGAKHPMAPMHVEAALEVPEYEINPDELDF TQSREISNGTYCMAMWRGIQVAVKKLDDEVLSDENQVRRFHDELALLQRLRHPNIVQF LGAVTQSNPMMIVTEYLPRGDLRELLKRKGHLKPATAVRYALDIARGMSYLHEIKGDP IIHRDLEPSNILRDDTGHLKVADFAVSKLVTVKEDKPLTFLDTSCRYIAPEVFTSDEY DTKADVFSFALIVQEMIEGRIPFAEKEDSEASEAYACKERPFFKAPSKHYPHGLKSLI EECWLDKPAKRPTFRAIIKRLESILHHMGHKRQWRIKPLTCFQKFEHKKKHNWDVSSP DGSSSGSHL" gene 11831819..11832457 /locus_tag="BRARA_H00983" /db_xref="Phytozome:Brara.H00983" mRNA 11831819..11832457 /locus_tag="BRARA_H00983" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00983" CDS 11831950..11832282 /locus_tag="BRARA_H00983" /codon_start=1 /product="hypothetical protein" /protein_id="RID50240.1" /db_xref="Phytozome:Brara.H00983" /translation="MSEEELQESDVIFSDDYFINSNKNSNKENNKGKKPATVKKSSPV TIPSRTTFRWPEVEEEEDESEMTPPHVIIGKRRVESQMAFSFSTLKGRDLSRHRISVL RMTGFLEA" gene complement(11834746..11836806) /locus_tag="BRARA_H00984" /db_xref="Phytozome:Brara.H00984" mRNA complement(join(11834746..11835132,11835240..11835339, 11835426..11835622,11835708..11835788,11835864..11836310, 11836543..11836806)) /locus_tag="BRARA_H00984" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00984" CDS complement(join(11834947..11835132,11835240..11835339, 11835426..11835622,11835708..11835788,11835864..11836310, 11836543..11836692)) /locus_tag="BRARA_H00984" /codon_start=1 /product="hypothetical protein" /protein_id="RID50241.1" /db_xref="Phytozome:Brara.H00984" /translation="MAALQYLESQKNAHPELTEWYNSLADLYQKKLWHQLTLKLEHFI ALSVFQAGDALIQLYNNFITDFETKINLLKLAHFAVVVSRQYPEKEAAVSYLQGVIEK LRATKESRISEPVSYVETQIALFKLEQGDQKECKKILDDVKTSLDSMTDIDPSVYANF FWVSSQFHKFRQEFSDFYKNALLYLAYTSVESLSESFKLDLAFDLSLSALLGENIYNF GELLAHPVLKSLLGTNVEWLYHILQAFNHGDLVQYQELCRVHNAALSAQPALVENEKK LLEKINILCLIEIIFSRPAEDRTIPLSVIAERTKLSIEDVEHLLMKSLSVHLIEGIID QVDGTVHVSWAQPRVLGIPQIKSLRDQLDSWVDKVHTTLLSVEAETPDLVAA" gene <11839053..>11840622 /locus_tag="BRARA_H00985" /db_xref="Phytozome:Brara.H00985" mRNA join(<11839053..11839792,11839830..>11840622) /locus_tag="BRARA_H00985" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00985" CDS join(11839053..11839792,11839830..11840622) /locus_tag="BRARA_H00985" /codon_start=1 /product="hypothetical protein" /protein_id="RID50242.1" /db_xref="Phytozome:Brara.H00985" /translation="MISNQSSYFSSFVTLYYFFFFLVALVLHTFASPMLHYCRHDQRD ALLDFKHEFPVNESNSVPSLNSWNKSSDCCDWENVKCDAKSGNVISLYFINISLNNSL KPNSGLFKLQHLRNLTLRDCHLYGVIPSSLGNLSHLTHLDLRENDLVGEVPISIGNLA QLEYLNLDNNQLSGYIPLSFSNFTKLSYFHISNNQFTGECPLVLLSLATSLSVLDISE NLFKSTLPSDMSGFHNLKYFHVFRNSLFGNTSSSSMLQILNLGQNKFDGPILNFITKF PNLEHLDLHDNNFVGSIPKSNLVKLEYLDLSNNKLEGKIPGWLRSVQWLILSYNSFSS FGKSWEVSDLTQIQMLELGSNSFRGPLPDWICKLRPVIFLDMSNNSFSGSIPQCLSNT ISGLQELNLRNNNFSGVLHPDIFLNTTFLSSVDISNNQLEGKLPKSLINCTTLELLNV KSNRIKDTFPSWLGSLPSLSVMILRENEFYGPLYHPHVSIGFQSLKIIDISHNHFIRR IS" gene <11840937..>11841224 /locus_tag="BRARA_H00986" /db_xref="Phytozome:Brara.H00986" mRNA <11840937..>11841224 /locus_tag="BRARA_H00986" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00986" CDS 11840937..11841224 /locus_tag="BRARA_H00986" /codon_start=1 /product="hypothetical protein" /protein_id="RID50243.1" /db_xref="Phytozome:Brara.H00986" /translation="MNFSHNNLQGPIPRSTQFQRQNCSAFMDNPRLYGLEDICGKIHV PNPTPQESEDLSEPKEQVISWISAGIAYGPGVFCGLVIGHRFSPRIHNWFM" gene 11843711..11844676 /locus_tag="BRARA_H00987" /db_xref="Phytozome:Brara.H00987" mRNA join(11843711..11843861,11844019..11844676) /locus_tag="BRARA_H00987" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00987" CDS join(11843805..11843861,11844019..11844561) /locus_tag="BRARA_H00987" /codon_start=1 /product="hypothetical protein" /protein_id="RID50244.1" /db_xref="Phytozome:Brara.H00987" /translation="MASVTCLFNLSAHPRSSCNLDGSVKRRREWNGQVPKSLTCNKRL EISRIAPLQATMNSPRGFGPPPKKTKKPKKSKPGNQSDDEEDDQEEDEEDERERGVIP EIVTNRMISRMGFTVGLPLFVGLCFFPLFYYLKVGLKIDVPTWVPFIVSFVFFGTALA GVSYGIVSSSWDPSREGSLLGWNEAKKNWPVFWQSFWKR" gene complement(11844741..11849114) /locus_tag="BRARA_H00988" /db_xref="Phytozome:Brara.H00988" mRNA complement(join(11844741..11845237,11845325..11845543, 11845644..11845707,11845781..11845849,11845946..11846011, 11846081..11846189,11846277..11846343,11846433..11846485, 11846596..11846698,11846850..11846988,11847087..11847176, 11847257..11847325,11847409..11847487,11847578..11848063, 11848393..11848583,11848899..11849114)) /locus_tag="BRARA_H00988" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00988" CDS complement(join(11844987..11845237,11845325..11845543, 11845644..11845707,11845781..11845849,11845946..11846011, 11846081..11846189,11846277..11846343,11846433..11846485, 11846596..11846698,11846850..11846988,11847087..11847176, 11847257..11847325,11847409..11847487,11847578..11847585)) /locus_tag="BRARA_H00988" /codon_start=1 /product="hypothetical protein" /protein_id="RID50246.1" /db_xref="Phytozome:Brara.H00988" /translation="MDRYKLIKEVGDGTFGTVWRAINKQTGEVVAIKKMKKKYYSWDE CINLREVKSLRRMDHPNIVKLKEVIREHDILYFVFEYMDYNLYQLMKDRQKLFAEAVI KKWCFQVFHGLSYMHQRGYFHRDLKPENLLVSKDIIKIADFGLAREVNSSPPFTEYVS TRWYRAPEVLLQSYVYTSKVDMWAMGAIMSELLSLRPIFPGASEADEIYKICSVIGSP TEETWLEGLNLANTINYQFPQLSGVPLSSLMPSASEDAIDLITRLCSWDPCKRPTAAE ALQHPFFKSCFYVPPSLRPKPSVARTPPPVGPRGSFEHQSAKRQTVSLAKPFNNVSPK PSAAFGSGVQRKLDMAKQEGTRNTKPVRSSVKDSKYRPPGRKSPPGGNAAGSSLSKNR VARGVSETADKLSNMSVRGTVSRRHSVSVMQQQQLKPPPMKAGCVGEKRDMFLRPTQP ATSAYSRKVAG" gene complement(<11848413..>11848538) /locus_tag="BRARA_H00989" /db_xref="Phytozome:Brara.H00989" mRNA complement(<11848413..>11848538) /locus_tag="BRARA_H00989" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00989" CDS complement(11848413..11848538) /locus_tag="BRARA_H00989" /codon_start=1 /product="hypothetical protein" /protein_id="RID50245.1" /db_xref="Phytozome:Brara.H00989" /translation="MEQVFVWPSCYNHQLFSFQEALDWRFLVPSDFLVGSFVNCT" gene 11852637..11854294 /locus_tag="BRARA_H00990" /db_xref="Phytozome:Brara.H00990" mRNA join(11852637..11852777,11852944..11853045, 11853148..11853347,11853996..11854294) /locus_tag="BRARA_H00990" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00990" CDS join(11852684..11852777,11852944..11853045, 11853148..11853347,11853996..11854073) /locus_tag="BRARA_H00990" /codon_start=1 /product="hypothetical protein" /protein_id="RID50247.1" /db_xref="Phytozome:Brara.H00990" /translation="MATSYVRLLLLVLAPLLFLPALYAVDFGYCNKNGYDYGNFSRVE ISPNPVGPEDNYLNITVSGYASKQLNNVTIEVYAKSKKTTDLLGGYSICKVGNACVIR SGLCFSPECPIEAGTKFVLPIPKVQVDDLEDDFKYVVSLLEDDLVNSSDYDEKFI" gene complement(11854724..11858642) /locus_tag="BRARA_H00991" /db_xref="Phytozome:Brara.H00991" mRNA complement(join(11854724..11855214,11855350..11855427, 11855502..11856180,11856395..11856605,11856679..11856874, 11856957..11857105,11857177..11857473,11858423..11858642)) /locus_tag="BRARA_H00991" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00991" CDS complement(join(11854998..11855214,11855350..11855427, 11855502..11856180,11856395..11856605,11856679..11856874, 11856957..11857105,11857177..11857437)) /locus_tag="BRARA_H00991" /codon_start=1 /product="hypothetical protein" /protein_id="RID50248.1" /db_xref="Phytozome:Brara.H00991" /translation="MKYKDEKYEKAERGSTRILPKTVLLILLCGLSFYLGGLYCGKNK LQVNDVAKAGSSLDVDNSPQAKSVSFPECSSDYQDYTPCTDPRKWKKYGTHRLTFMER HCPPVFDRKQCLVPPPNGYKSPIRWPKSKNECWYRNVPYDWINKQKSNQHWLKKEGEK FIFPGGGTMFPNGVSAYVDLMQDLIPEMKDGTIRTSIDTGCGVASWGGDLLDRGILTV SLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNSFDMAHCSRCLIPWTEFGGVY LLEIHRILRPGGFWVLSGPPVNYENRWKGWDTTVEEQRSNYEKLQDLLTSMCFKLYAK KDDIAVWQKSPDNTCYNKLSNDPDAYPPKCDDSLEPDSAWYTPLRPCVVVPSPKLRKT DLESTPKWPERLHSTPERVSDVPGGNGGLFKRDSSKWKTRAKHYKKLLPAIGSDKIRN VMDMNTAYGGLAAALVDDPLWVMNVVSSYAANTLPVVFDRGLIGTYHDWCEAFSTYPR TYDLLHVDGLFTSESQRCEMKYVMLEMDRILRPNGYAIIRESSYFANTIASVAKGLRW SCRKEQTESESENEKLLVCQKKLWYSSETK" assembly_gap 11870358..11880357 /estimated_length=unknown /gap_type="between scaffolds" gene complement(<11885035..>11885679) /locus_tag="BRARA_H00992" /db_xref="Phytozome:Brara.H00992" mRNA complement(<11885035..>11885679) /locus_tag="BRARA_H00992" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00992" CDS complement(11885035..11885679) /locus_tag="BRARA_H00992" /codon_start=1 /product="hypothetical protein" /protein_id="RID50249.1" /db_xref="Phytozome:Brara.H00992" /translation="MEIKVVEDHQLSTKEDIEKPLLEENKGFPDVERTTWIQKAIGQT FQTTAHLANLLPTGTVLAFQLLSPIFSNGGQCDLACKIMTSSLVAICGFSCFILSFTD SYKDKNGTFCYGFATIHGFWIIDGSATLPQELAKNYKLRFIDFAHAFMSFLVFGAVVL FDRNTVNCFYPAPSAEELEVLTALPVGVGVFCSMLFATFPTTRNGIGFPVPGNK" assembly_gap 11886102..11896101 /estimated_length=unknown /gap_type="between scaffolds" gene 11899850..11902233 /locus_tag="BRARA_H00993" /db_xref="Phytozome:Brara.H00993" mRNA join(11899850..11901098,11901165..11901314, 11901393..11901527,11901611..11901805,11901894..11902233) /locus_tag="BRARA_H00993" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00993" CDS join(11899891..11901098,11901165..11901314, 11901393..11901527,11901611..11901805,11901894..11902080) /locus_tag="BRARA_H00993" /codon_start=1 /product="hypothetical protein" /protein_id="RID50250.1" /db_xref="Phytozome:Brara.H00993" /translation="MLRSRRSRSRHGAQACAVMSAVLLLVSVSLLYTRLSLFSSHSPT HLRSSPGEDAVLFPDSLLVSDSDVVETTGGRGSSTSTEDRIDEHDDAIEEDRNDGASN EDDENQDAEQEREVTADPNRSKASSSGFYFDHVDGVVRRAFNKRSIDEWDYDYTGFSN DEESSVKSQALFGSDDVPLDEAIRKKMVEVASVEDALLLKSGKRVSPLREGWGDWFDK KGAFLRKDRMFRSNFETLNPLNNPMLQDPDGVGVTGLTAGDRVVQMWRLSEVKRGPFT VKKPLSVVEKKEPNGIKSGERKTLDDDKKVGVEDEVREHLYADGTRWGYYPGLEPGLS FSEFMDSFFRKGRCGVRVFMVWNSPGWMFSVRHQRGLESLLSQHKDACVVVLSETVEL DFFRSSFVKDGYKVAVAMPNLDELLQDTPAHVFASIWFDWRKTKFYPTHYSELVRLAT LYKYGGVYLDSDVIVLGSLSSLRNTLGMEDQAAGESLNGAVMSFEKKSPFLLECLNEY YLTYDDKCLRCNGADLLTRVAKRFLNGKNRRMTQQELNVRPFSVFFPISSQQITNYFA YPATEEEKSKQDELFKKIINESLTFHFWNSVTSSLIPEPESLVARLLDHSCLRCSDVL " gene <11906374..>11907760 /locus_tag="BRARA_H00994" /db_xref="Phytozome:Brara.H00994" mRNA join(<11906374..11906918,11907691..>11907760) /locus_tag="BRARA_H00994" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00994" CDS join(11906374..11906918,11907691..11907760) /locus_tag="BRARA_H00994" /codon_start=1 /product="hypothetical protein" /protein_id="RID50251.1" /db_xref="Phytozome:Brara.H00994" /translation="MAAQPQSDFFDEMVGAFGGFFEVLSPFMFPPRPQVFVNFRGEEL RNGFVSHVVKALKDVRINVFIDSLELRGVETLDHLLKRIDESEMALAIFSDRYTESEW CLDELVRMYDRMKEGKLVVIPVFYRVSTVDVKIFRGQFGRHFTNTVRRKFGTIKAPAA QRWKIAVTSIASMTGLTSKVHRKQEINMKSKKFGARNDIEIRGP" gene 11911543..11912479 /locus_tag="BRARA_H00995" /db_xref="Phytozome:Brara.H00995" mRNA join(11911543..11911738,11911962..11912060, 11912161..11912479) /locus_tag="BRARA_H00995" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00995" CDS join(11911649..11911738,11911962..11911997) /locus_tag="BRARA_H00995" /codon_start=1 /product="hypothetical protein" /protein_id="RID50252.1" /db_xref="Phytozome:Brara.H00995" /translation="MPLNTIFKQDMISFNDTHPSSRRCFFTYKRMYRLINERTTR" gene <11914785..>11916330 /locus_tag="BRARA_H00996" /db_xref="Phytozome:Brara.H00996" mRNA join(<11914785..11915604,11915942..>11916330) /locus_tag="BRARA_H00996" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00996" CDS join(11914785..11915604,11915942..11916330) /locus_tag="BRARA_H00996" /codon_start=1 /product="hypothetical protein" /protein_id="RID50253.1" /db_xref="Phytozome:Brara.H00996" /translation="MNLQIEPPEKKRKNRTSPPPPPPSCPSFSLFPDEIFVNCLARIS RMYYPTLSIISKSFRSLLSSMELYAARSHIGSNEQCLYVCLSDESYQSPQWFTLCINP NRTLTVSTIKKKKNKKTIGKSLAPIPSSSDFPSVSESTLVVGSDIYVIGGPIKTELSM PKCTRPSSAVRILDCRTHTWRDAPSMIVPRNHALTCFYDGKIYVMGGCGELEEPWAEV FETNTQTWEPLSDPGTEIRNIGSCTFYTIKEIKGKIFFWNPNRTYAYDTSQDNWEKYY YIPKSACEIDCVWYFIDHGPFHFYLWAKDEADWEIIKGLYSLRQLYKRNGGSSRNTTK LVSCGGKLLLLWEGYMKHNPNNRKKIWCAGITLKTDDEGEVWGNVEWIDIVHSVPTQC ELLHCLVVTV" gene <11918938..>11920140 /locus_tag="BRARA_H00997" /db_xref="Phytozome:Brara.H00997" mRNA <11918938..>11920140 /locus_tag="BRARA_H00997" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00997" CDS 11918938..11920140 /locus_tag="BRARA_H00997" /codon_start=1 /product="hypothetical protein" /protein_id="RID50254.1" /db_xref="Phytozome:Brara.H00997" /translation="MNLQVRVEPPEKKSKRKKKTSPPPSTSPSFSLLPDEIIVSCLAL ISRAYYPRLSIISKSFRSLLSSKQLYTARSHIGSTEQCLYVCLSDERYQSPQWFTRWI NPNLALEKKKKKKTLEKSLAPILSSDSPLISKSTVVVGSDIYLIGGPTKTEPSCPSSA IRILDCRTHTWRDAPSMIVARNDSLTCFYDGKIYVMGGCGDDDEPWAEVFDIKTQTWE RLSDPGNQIRNIWRCDFYTIIGMKGKIHFWNSYRAYAYDTSQDNWESMIDGGVWYHCI PKSACEIDGVWYHMSYGRSYDFRWTMEGETWKAVKGLDSLIKMYNRNGGSSCNKTKLV SCCGKLLLLWEGYMKHNPNNRKKIWCAEITLETDDEGEVWGNAEWIDVVKSVPTQCEL LNCLVVSV" gene complement(11921916..11923359) /locus_tag="BRARA_H00998" /db_xref="Phytozome:Brara.H00998" mRNA complement(join(11921916..11922461,11922708..11922826, 11923085..11923359)) /locus_tag="BRARA_H00998" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00998" CDS complement(join(11922080..11922461,11922708..11922826, 11923085..11923264)) /locus_tag="BRARA_H00998" /codon_start=1 /product="hypothetical protein" /protein_id="RID50255.1" /db_xref="Phytozome:Brara.H00998" /translation="MSTIQARWVPIQSPVEDKAMKPLNYETKKPHNFETILRDADLPI PPSTEQLRSGVLLKKLIKYWVDDRNINCFMIFPRKLSITWSDDPNYWTWLPNDSPNEK GVEAAGLNNVCWLDITGKFDTSNLTPGITYEVVFKMKLEDPAYGWDTPVNVKLVLPNG KDKPQEKKVSLREQPRYQWVDIKVGDFKHERDSVGEIIFSMYEHAAGVWKKGLFLKGV AIRPKYNN" gene 11937076..11938946 /locus_tag="BRARA_H00999" /db_xref="Phytozome:Brara.H00999" mRNA join(11937076..11937418,11937484..11937606, 11938002..11938118,11938534..11938946) /locus_tag="BRARA_H00999" /product="hypothetical protein" /db_xref="Phytozome:Brara.H00999" CDS join(11937155..11937418,11937484..11937606, 11938002..11938118,11938534..11938662) /locus_tag="BRARA_H00999" /codon_start=1 /product="hypothetical protein" /protein_id="RID50256.1" /db_xref="Phytozome:Brara.H00999" /translation="MIRCFARAPFIATVHFASPPSITISASHSPASSVSASRREISLS IIAVSSGYFSSPARAEFSEIPNSGGVKSLDLRIGDGEVPIEGDQVAIHYYGRLAAKQG WRFDSTYDHKDSSGDSVPFTFILGSTNVIPGIETAVRSMKVGGIRRVVIPPSQGYQNT SQEPLPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLVFDIELVSTRRPNR" gene 11940352..11942550 /locus_tag="BRARA_H01000" /db_xref="Phytozome:Brara.H01000" mRNA join(11940352..11941092,11941683..11942072, 11942168..11942550) /locus_tag="BRARA_H01000" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01000" CDS join(11940387..11941092,11941683..11942072, 11942168..11942214) /locus_tag="BRARA_H01000" /codon_start=1 /product="hypothetical protein" /protein_id="RID50257.1" /db_xref="Phytozome:Brara.H01000" /translation="MSSTKPTSLIVSITFFFFFCLLVQPSSAQTVVKGSYWFPESEFP VTDINSSHFTHLFCAFADLNSQNNQVTISSTNQPKFSTFTQTVQRRNPSVKTLMSIGG GIANKSAFASMASNPTSRKSFIDSSIRLARSNGFHGLDLDWEYPSSATEMNNFGTLLR EWRSAVAAEASSTSRPRLLLAAAVFYSSDYYSVLYPVQAVASSLDWVNLMAYDFYGPG WSTVTGPPAALNSPSNAGPSGDAGVRAWIQAGLPATQLVLGFPYYGYAWRLSNANSPS YYAATTGSAISPDGSIGYGQIRKFIVDNGATTVYNSTVVGDYCYAGTTWIGYDDNQSI VTKVRYAKQKGLRGYFSWHVGADDNSGLSRSASRAWDATTVIRRKF" gene <11948948..>11951770 /locus_tag="BRARA_H01001" /db_xref="Phytozome:Brara.H01001" mRNA join(<11948948..11949061,11949150..11949238, 11949307..11949448,11949670..11949867,11949983..11950042, 11951642..>11951770) /locus_tag="BRARA_H01001" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01001" CDS join(11948948..11949061,11949150..11949238, 11949307..11949448,11949670..11949867,11949983..11950042, 11951642..11951770) /locus_tag="BRARA_H01001" /codon_start=1 /product="hypothetical protein" /protein_id="RID50258.1" /db_xref="Phytozome:Brara.H01001" /translation="MDIKSYKSHAEGFVKHFLLADHFLPYTSVLAGIFLCKMVYDITQ SFSSIHIKSYSALTKVERTEWNNRGISTLHAIFISLMALYFVFFSDLFSDQRSLQGLI VFRSSPLSSFGLGIFHHCLSGVAVAYSLFSGEAPLYTYMVLLSEVTTPEINLRWYLDI SGLKRSKAYLINGVAIFLAWLTARILLFVYMFYHVYVHYDQVAMMHPFGYLLVFVVPV ALSVMNLMWFGKIVKGLMKTLEKRQ" gene <11955571..>11960411 /locus_tag="BRARA_H01002" /db_xref="Phytozome:Brara.H01002" mRNA join(<11955571..11955837,11955936..11956200, 11956302..11956680,11959197..11959418,11959502..11959643, 11959802..11960050,11960118..>11960411) /locus_tag="BRARA_H01002" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01002" CDS join(11955571..11955837,11955936..11956200, 11956302..11956680,11959197..11959418,11959502..11959643, 11959802..11960050,11960118..11960411) /locus_tag="BRARA_H01002" /codon_start=1 /product="hypothetical protein" /protein_id="RID50259.1" /db_xref="Phytozome:Brara.H01002" /translation="METIAVFGSKLGFNLSLPSRNNLFLPPTIKFNGFPLTSFPDKPR QHVCLKATTSTSSTCDDDQLINRKFKKLPPSEWTDHFHSVPLDVSEMDALKREIETLK PKVKNMFMSSQGTERILIIYLLVSLGLAYHFEDDIYDTLKVSFTKIEEMMDSEEDLYT VSIIFWVFRTYGHCLSSDVFTRFKESNGDFKETLKEDPRGILSLYEAAHLRTTKDYIL NEALGFTSSHLESLVACGTCKPHLSMQIQNALYLSQRWNMEMLVAVKYISFYEQEEDH DEMLLRFAKISFKLLQLQYIQDLKILTNWYKEVDNGSKLPPYFRHIIVQSHFLIQAVF SVPQLSRARIMLTQYYTILTIIDDTFDRYASLPEAEILANSLERWSPDPAMDKQPEYL KAVLYFVFDTFDDFEKKLSPGGKPDSLEANIEEFKANVKANFEHAKWAHAAHLPSFEE YMEVAEVVIGVYVVLAGYFICLGKMATKEAYEWLKSRPRLVKSLSVRGRLMNDITGLE DDMSRGQITNAVNCYMKQYGVTKQDALRELHKMVADTDNIINEELLTTTGVSCLVLKT VMGLAQSITVCYNGYEGYTRPEGKMKEYMTSLFVDQIRL" gene complement(11960707..11964206) /locus_tag="BRARA_H01003" /db_xref="Phytozome:Brara.H01003" mRNA complement(join(11960707..11961831,11961938..11962089, 11962169..11962344,11962587..11962721,11963081..11963162, 11963456..11963706,11963804..11963863,11964053..11964206)) /locus_tag="BRARA_H01003" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01003" CDS complement(join(11961020..11961831,11961938..11962089, 11962169..11962344,11962587..11962721,11963081..11963162, 11963456..11963682)) /locus_tag="BRARA_H01003" /codon_start=1 /product="hypothetical protein" /protein_id="RID50260.1" /db_xref="Phytozome:Brara.H01003" /translation="MAGVLGGECSYNESGVSSYSRNSNENQEEGSRWYFGRKEIEENS PSRLDGIDLKKETYLRKSYCTFLQDLGMRLKVPQITIATSIIFCHRFFIRQSHARNDR RTIATVCMFLAGKVEETPRPLKDVIVVSYEIIHKKDPATAQKIKQKEVYEQQKELILS GEKIVLSTLGFDFNVYHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKP HHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEDVSNQMLELYEQNRVPASQ GSEVESSVGGGSGHHVGSKPISAQRPSHEHSKSDSHGGSSKATENQRNENGSGEAGSV ITEHTENQPADKSRPGVEEPAKDKTERTGAHLPDDSAAHDKSTSDVPVSQSPKDLKLL RDKVKAKLEAKKLQGERTRKKDLIDEDDLIERELEDVELAVDDDKDSQKKNSKTNHMG SEQGELPDGNKLVGNAEEGEMLDDVSLTVPSRKRKMESPCEKQLGEGKRQHNDSSEKL EEGDKTSRGGSSSHNSHGD" gene complement(<11966357..>11967223) /locus_tag="BRARA_H01004" /db_xref="Phytozome:Brara.H01004" mRNA complement(<11966357..>11967223) /locus_tag="BRARA_H01004" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01004" CDS complement(11966357..11967223) /locus_tag="BRARA_H01004" /codon_start=1 /product="hypothetical protein" /protein_id="RID50261.1" /db_xref="Phytozome:Brara.H01004" /translation="MESNKDKAIKSIGIAEKKISENDYVGAKKFVNKAENLYPKLDGL KQVSMMIDVYISASDKINRKGEADWYGVLGVDPSVTDEDLKRQYKKLALLLHPDKNKF TGATEAFKLISEGWCLLSDKAQRFSYDLKRKPTDMESGMYQKEPKRHKTDFSWNKPKH EYDYESESDPETEPEPDFTWNKAQYKREPYFSWNYVKAGTFWTKCDRCNTYCQFESDS AYLNETLSCPNCRQDFVVTEIELEEIGGRRVIRFNKSRTDTSSSSSSSSSSSSSSSST SAFDSTTLQKKE" gene complement(<11968659..>11969708) /locus_tag="BRARA_H01005" /db_xref="Phytozome:Brara.H01005" mRNA complement(<11968659..>11969708) /locus_tag="BRARA_H01005" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01005" CDS complement(11968659..11969708) /locus_tag="BRARA_H01005" /codon_start=1 /product="hypothetical protein" /protein_id="RID50262.1" /db_xref="Phytozome:Brara.H01005" /translation="MECNKEEATKAIKIAERKLSENDYNGAKRFAGKAKTLYPTLDGL EQISTMVDVYISASNKTNGSESDWYGILGVDPLADEEAVKKQYKKLALLLHPDKNRFN GAEGAFKLVLDAWSLLSDKAKRLDYDQKRKRKEAKEKKSEQKKTEKRARQEPGSCNVD GKAKEASSKKKKVSSTFWTMCTHCLTYSEHVRAHSLDKTSLCPFCHGIFVATEKFPEM VNGKPFIRFAPPRQQATFWTMCTHCSTYSEHVRAGHLDKTLPCPFCHGLFVATESFPE MVNGKPFIRFAPPRPKPQATSGSTSDAPNSTHEADMHFKKPMATGHPHSRFEDLNSTH EAQRLFSRSGRTGVR" gene complement(<11972653..>11973264) /locus_tag="BRARA_H01006" /db_xref="Phytozome:Brara.H01006" mRNA complement(<11972653..>11973264) /locus_tag="BRARA_H01006" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01006" CDS complement(11972653..11973264) /locus_tag="BRARA_H01006" /codon_start=1 /product="hypothetical protein" /protein_id="RID50263.1" /db_xref="Phytozome:Brara.H01006" /translation="MDKDEAKRALDIADKKLSENDYVGAKKFVNKAQSLYADLDGLNQ VLMMINVYTSAEIKISGGIETDWYGVLGVDSKADDEIVKRQYKNLALLLHPDKNRFNG AEGAFKLVLHAWSLLSDRDERYLYDERNMKKKPPPSAKAKDAPSNLFWTMCSECKTRC EYWRDSYLNRTVLCPTCGKTFIATEQIPRAVKKTSKTYNQYRP" gene 11977444..11979542 /locus_tag="BRARA_H01007" /db_xref="Phytozome:Brara.H01007" mRNA join(11977444..11977600,11977787..11977912, 11978264..11978344,11978439..11978564,11978678..11978728, 11978828..11979542) /locus_tag="BRARA_H01007" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01007" CDS join(11977598..11977600,11977787..11977912, 11978264..11978344,11978439..11978564,11978678..11978728, 11978828..11979079) /locus_tag="BRARA_H01007" /codon_start=1 /product="hypothetical protein" /protein_id="RID50264.1" /db_xref="Phytozome:Brara.H01007" /translation="MVENSVKPSSKEDTLASSRPMAARNLRGVGDLAEDLSRINDAEI AGYLNTTKESLLRKIAWELMNPEYKNGTQRKPTTTVKKKDPISKTTAAPSKKTSATTT LSNVESEKKKRLSAYINLDVLDKLFDDENSPKRTKLEKPVVVGDQVKNSQQSSEEECL LEPEGSEEEDKPVWNEDYSTEDANGGEDEFYGGADLEYEDQDEAEYNEDIIW" mRNA join(11978026..11978091,11978264..11978344, 11978439..11978564,11978678..11978728,11978828..11979542) /locus_tag="BRARA_H01007" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01007" CDS join(11978062..11978091,11978264..11978344, 11978439..11978564,11978678..11978728,11978828..11979079) /locus_tag="BRARA_H01007" /codon_start=1 /product="hypothetical protein" /protein_id="RID50265.1" /db_xref="Phytozome:Brara.H01007" /translation="MWQTTPIFTHIAGYLNTTKESLLRKIAWELMNPEYKNGTQRKPT TTVKKKDPISKTTAAPSKKTSATTTLSNVESEKKKRLSAYINLDVLDKLFDDENSPKR TKLEKPVVVGDQVKNSQQSSEEECLLEPEGSEEEDKPVWNEDYSTEDANGGEDEFYGG ADLEYEDQDEAEYNEDIIW" gene complement(11978828..11981244) /locus_tag="BRARA_H01008" /db_xref="Phytozome:Brara.H01008" mRNA complement(join(11978828..11979542,11979618..11979743, 11979822..11979907,11979996..11980122,11980208..11980305, 11980368..11980490,11980571..11980679,11980778..11981244)) /locus_tag="BRARA_H01008" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01008" CDS complement(join(11979354..11979542,11979618..11979743, 11979822..11979907,11979996..11980122,11980208..11980305, 11980368..11980490,11980571..11980679,11980778..11980849)) /locus_tag="BRARA_H01008" /codon_start=1 /product="hypothetical protein" /protein_id="RID50266.1" /db_xref="Phytozome:Brara.H01008" /translation="MASVTLLLRSLRRRETHAVSAYKFSSSSAGERKTELRLDGVKDI IAVASGKGGVGKSSTAVNLAVALATKFNLKIGLLDADVYGPSVPIMMSINQKPQVNQD MKMIPVENYGVKCMSMGLLVEKDAPIVWRGPMVMSALAKMTRGVDWGDLDVLVVDMPP GTGDAQITISQNLKLSGAVIVSTPQDVALADANRGISMFDKVRVPILGLVENMSCFVC PHCNEASFIFGKEGARQMAAKKGLKLIGEIPLEMKIREGSDEGVPVVVSSPGSVVSKA YEDLAQNVVNGLKELRDNPENEIQMKLNVPHSS" gene <11982596..>11982805 /locus_tag="BRARA_H01009" /db_xref="Phytozome:Brara.H01009" mRNA <11982596..>11982805 /locus_tag="BRARA_H01009" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01009" CDS 11982596..11982805 /locus_tag="BRARA_H01009" /codon_start=1 /product="hypothetical protein" /protein_id="RID50267.1" /db_xref="Phytozome:Brara.H01009" /translation="MVVVYFSLPLFIFMVFLAISNCAGCFYMGRHQGITKVGGPAMPT AYPTTPQPNQGYNAGAQPCTPNYAV" gene 11992430..11994914 /locus_tag="BRARA_H01010" /db_xref="Phytozome:Brara.H01010" mRNA join(11992430..11992511,11992598..11993038, 11993218..11993385,11993456..11993531,11993619..11993970, 11994050..11994112,11994416..11994483,11994572..11994914) /locus_tag="BRARA_H01010" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01010" CDS 11993664..11993954 /locus_tag="BRARA_H01010" /codon_start=1 /product="hypothetical protein" /protein_id="RID50268.1" /db_xref="Phytozome:Brara.H01010" /translation="MKERRSCAPPSMSSKSSLPTNRTAANFGNVAQRPPMGMSFPRPP MTRPPGTSSFSIPGSHVAATAVTGSSGPPPGSDNVFSVSIMLIVAGYVARGE" gene complement(11998700..12004169) /locus_tag="BRARA_H01011" /db_xref="Phytozome:Brara.H01011" mRNA complement(join(11998700..11999219,11999293..11999993, 12000626..12001681,12001762..12002073,12002206..12003274, 12003605..12004169)) /locus_tag="BRARA_H01011" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01011" CDS complement(join(11999340..11999993,12000626..12001681, 12001762..12002073,12002206..12003274,12003605..12004077)) /locus_tag="BRARA_H01011" /codon_start=1 /product="hypothetical protein" /protein_id="RID50269.1" /db_xref="Phytozome:Brara.H01011" /translation="MASSSSSSKRQFDVFVSFRGADTRNTFTAHLLKYLSGKGIDAFS DGKLLRGDDLSVLFGRIEQSKMSIVVFSEKYANSTWCLEELWKIMQCRKESGHGVIPI FYKVKKSDVEIQKGSFGAPFQSPKESFKGDGHKIEEWKEALRTASNVLGFVYPEDRPE TEFLDEITKDTLRMINDLSPCETSGLPGIESRSKKLEELLMFGNDECVRTIGVLGMTG IGKTLVADIVYKRNCRQFDGYDFVYDVDRELELHQLCHLRENLLCKVLDVENLDDRAH GRSENYLRNKKLFIVLDNVTDKEQIDVLIGDKAVYRKGTRIVIITRDKKLLENKADAT YVVPTLNDTEAMELFCLSAFPSNLYPSEEYIDLSEKFVYYAKGHPSALKCLGSGLLKK DKSYWRWKWESLEVMPDKDIQRVLEKSYKKLDDQQKSMFLDIACFFRSEKAEFITSIL KSDNINAAAAVMQELVDKCLLTISYDRLEMHDLLHIMGKDIGYESSIKRLWKHKDIRR ILERNTGTENVRGIFLNMSDVERIKLSPAAFMRMSNLKFLKFHNSHCSQWCDNDHKFQ FCRGLDQFPDELVYLHWQGYPYNHLPSDFYPDELVDLNLRYSRIQQLWEEEQNTENLR WVDLSQSKDLLNLSGLSKAKNLERLDLEGCTSLDTLGPSIEQMNKLIYLNLRDCTSLK SLPEGINLESLKTLILSGCSNLRYFHIISESIESLYLEGSAIEQVVEHIQSLRSLILL SLKNCRRLRCLPNDLYKLKSLQELILSGCSALESLPPIREEMECLEILLMDGTSIKQT PETICLSNLKVFSLCESSIDDSTGLVLRPFSGSSRLLDLYLANCNISKLPNNFSSSLH SLRCLCLSRNNIETLPESIEKLYSLLLLDLKHCRRLSSLPVLPSNLQCLDAHGCVSLE KVAKQVKVPLVAERMHTNFIFTDCFMLNRAEQEAIVAQAQLKSQLLARTSLQYNLKGL VMDPLATVCFPGSDIPSWLCQQRMGSSIETDLVSHWCNSKFIGVSLSVVVSFKGHEDY HVNRLSVRCKCNFKNQNGQSISFSFSLGGWNDSCGSSCHEPRKLGSDHVFISYNKCNV PVFRWSEGSDEANRCRPTSVAFEFYLTDDTEKKLESCKVTRCGMSLLYAPDENDRGFQ GTRVTDIVEHTSSEAFVPIRGWSHSQVGERRNGIIRDEIPL" gene 12015837..12021588 /locus_tag="BRARA_H01012" /db_xref="Phytozome:Brara.H01012" mRNA join(12015837..12015984,12016103..12016433, 12016547..12017735,12017847..12018062,12018196..12019147, 12019215..12020256,12020356..12020664,12020742..12021588) /locus_tag="BRARA_H01012" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01012" CDS join(12015968..12015984,12016103..12016433, 12016547..12017735,12017847..12018062,12018196..12019147, 12019215..12020256,12020356..12020664,12020742..12021221) /locus_tag="BRARA_H01012" /codon_start=1 /product="hypothetical protein" /protein_id="RID50270.1" /db_xref="Phytozome:Brara.H01012" /translation="MFSSSLKSSQFGQFVLSSGILDISWSKISQLHGSTYHEKNSALR TEIYDEEYSKYILVVFSAPPICKNSSPPTLISGDTNTFSFLYSDKIPSFSLHTPALEI FTSNYVELLMLKSELSESQKPVIITGAALGGSVASLFTLWLVEAVNRRQKRPLCITFG SPLIGDAALQQILQHSVMNSCFLHVVDAAQSPITEDLFKPFGMFLICFGSECICIDDP EAVLEFLNGVNADLVGWTDYGEVIKRLRYSSMAASSLMIDDTIIDRMEGRARKKKLRF DQLKKLNDIKIGTIYLEQFVKQSKKSKICSYDCFKTQTIFPYSQFRNELNDFFKSVVE EIENMPRKEKSALKTRSLFAGNNYRRLVEPFDIAEHYLSGRKEYRTTGRSRHYVMLEK WLNELFYKSYSTHRPGKDLSDLITVDSCFWAEVEEAIIVINLLKTNVGMKHEELIGKL VRFEEYVWEMILKSEVSPEIFLEKSSFMRWWKEYKEIKGRYGFNSSPSPFTKFMNSEM YKNYGLPFTKDNIDDLETAPRPGHRLNTFKEEEGQEVCLKVRDDYLQVVFFEFHVLDY DKTPDEKSKALNTISKISGVFPTVEWFEETKLIVFATMDPIETVEKLGKYWNAEIVNV WRSKKAKPNKESGKEQSEKQVEVSVKTKEIKDGRSVLSGSGCIIATVRGKSTDTALSM RNPNDDWSSFCEFLSTHQPPLNLSECRAENVIDFLRMQQASGDVEALAGHLSAMCEAY DIKLKENPFHSLAVTRYVEEVTRESQCILIFYDSHDVDEPHFMETILKELHERQLTPL TYNLSGRENKDTELLDRSSVGIVVLSNSYSCSSESLAYLVAIMEHWQAEKFVTTPVHF RVTLSDTGLEEVQTQCLNPIQADRVQNWKAAMAEIPSVHGHGWTKGTQVMLAKEVVRN TCLRLDLKKYTNLARTVAYIKNLKPSDVEIVGLWGMAGIGKTSIAREIYGLLAPDYDM CYFLQDFYLTCQKKGMMQMRDDFLSKVFREEKLSISACDIKPSFMRHWFHSKKILLVL DDVSNASDAEAVVGGFGWISHGHRIILTSRRKQVLVQCKVQEPYEIRRLCQFESSRLC KQYLNGESEVIKELVSCSSGIPLSLEVLGSSVSKQRIKDMKKHLQSLRRNPPTQIQET FRRSFDGLDGNQKNIFLDLACFFSGENKDHVVQLLDACGFLTNLGICDLIDESLITLV DNAIEMPIPFQDFGRFIVLEEDEDPCERSRLWDSDDITNVLTKNSGTEAIEGIFLDAS DLTCENFIKLSRTVFDNMYGLRLLKFYDSTSWNQCKLSLPDGLDTLPDELRLLHWEHY PLEYLPQEFIPENLVELNMPYSNMEKLWEEKKNLKKLKKIRLSHSRKLTDILMLSEAL NLEDIDLEGCTSLVDISTSIPRYGKLVTLNMKDCSGLRTLPAMMVDLTSLKLLNLSGC SELDEVQDFAPNLKELYLAGTAIRELPLSTENLTNLATLDLENCSRLQQLPSGIRNSK SIVELKLSGCTNLESLP" gene complement(<12024213..>12029155) /locus_tag="BRARA_H01013" /db_xref="Phytozome:Brara.H01013" mRNA complement(join(<12024213..12024684,12024760..12025667, 12028110..12028151,12028659..12028662, 12028911..>12029155)) /locus_tag="BRARA_H01013" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01013" CDS complement(join(12024213..12024684,12024760..12025667, 12028110..12028151,12028659..12028662,12028911..12029155)) /locus_tag="BRARA_H01013" /codon_start=1 /product="hypothetical protein" /protein_id="RID50271.1" /db_xref="Phytozome:Brara.H01013" /translation="MAGQSRKWMILVATIWIQAFTGTNFDFSAYSSVLKSVLEISQVQ LNYLAVASDLGKVFGWSSGLALMYFPLWTVLFTAAFMGTWLVITNFVSLPYIMVFLCC LLSGLSICWFNTVCFVLCISNFPANRSLALSLTVSFNGVSAALYTLAYNAINPASPEL YLLLNALIPLVISFTAIIPILRQPPFEPLPPDGVRRDSLMFLLLNILAALNGVYLLLF ESNSSDVTSARLLFGGAIILLILPLCIPGLVIARNWYLLTIHTSFRLEGSGFILVDPD ELELHKGMLAHEANRESYEDVVQNHVKTIASEEGDADELLITRGQLEVLGTEHSLKQL LCRADFWLYYIAYFCGGTIGLVYSNNLGQIAQSLGQSSNTTTLVTLYSSFSFFGRLLS ATPDYIRAKFYFARTGWLAIALLPTPIALFLLASSGTASALQVGTALIGLSSGFIFAA AVSITSELFGPNGVGVNHNILITNIPIGSLIYGFLAALVYDSHGSTGIKSMTDSVVCM GRGCYYLTFVWWGCLSVLGLGSSLVLFIRTRRAYQRFEQARISSNIDS" gene 12053794..12057308 /locus_tag="BRARA_H01014" /db_xref="Phytozome:Brara.H01014" mRNA join(12053794..12054109,12054504..12054619, 12054803..12054885,12055344..12055473,12055568..12055647, 12055717..12055803,12055893..12056017,12056127..12056187, 12056284..12056337,12056412..12056489,12056554..12056659, 12056753..12056898,12057007..12057308) /locus_tag="BRARA_H01014" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01014" CDS join(12054505..12054619,12054803..12054885, 12055344..12055473,12055568..12055647,12055717..12055803, 12055893..12056017,12056127..12056187,12056284..12056337, 12056412..12056489,12056554..12056659,12056753..12056898, 12057007..12057135) /locus_tag="BRARA_H01014" /codon_start=1 /product="hypothetical protein" /protein_id="RID50272.1" /db_xref="Phytozome:Brara.H01014" /translation="MFNFKQWLVLVVCSLAILKAEGLLVNITFVRNAVAKGAVCLDGS PPAYHLDRGSGTGINSWLIQLEGGGWCHNVTNCISRMHTKLGSSKKMVENLAFSAILS NKEQRNPDFYNWNRVKVRYCDGSSFTGDVKTVNPATNLHFRGARVWLAVMQELLAKGM RNAENAVLSGCSAGGLASLMHCDSFRALLPMGTNVKCLSDAGFFLNTRDVSGAQYIKS YFNDVVTLHGSAKNLPRSCTSRLTPAMCFFPQYVARQIRTPVFVLNAAYDSWQIKNIL APRAADPEGKWQSCQLDIKNCQRSQLKVMQDFRLEFLSAVIGLGRSASRGMFIDSCYT HCQTETQTSWFWQDSPILNRTTIAKAVGDWVYDRKLFQKIDCPYPCNPTCHHRVFTPQ DAPPI" gene 12063244..12069163 /locus_tag="BRARA_H01015" /db_xref="Phytozome:Brara.H01015" mRNA join(12063244..12063367,12066268..12066380, 12066506..12066588,12066832..12066961,12067070..12067149, 12067271..12067357,12067441..12067565,12067756..12067816, 12067925..12067978,12068084..12068161,12068242..12068347, 12068600..12068745,12068870..12069163) /locus_tag="BRARA_H01015" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01015" CDS join(12066269..12066380,12066506..12066588, 12066832..12066961,12067070..12067149,12067271..12067357, 12067441..12067565,12067756..12067816,12067925..12067978, 12068084..12068161,12068242..12068347,12068600..12068745, 12068870..12068983) /locus_tag="BRARA_H01015" /codon_start=1 /product="hypothetical protein" /protein_id="RID50273.1" /db_xref="Phytozome:Brara.H01015" /translation="MEKLKQCWSSLLVLAVVVIGTGAVPITYLQSAVAKGAVCLDGSA PAYHFDKGFGSGVNNWIVHMEGGGWCTDVASCSARKNTMKGSSKFMNKDFGFSGILGG KQNTNPDFYNWNRIKVRYCDGSSFTGNVEAVNPANKLFFRGARVWRAVIDDLMAKGMK NAQNAILSGCSAGALAAILHCDTFRAILPPTARVKCVSDAGYFIHGTDISGGSYIQTY YSKVVALHGSAKSLPVSCTSKMKPELCFFPQNVVPSMRTPLFVINAAFDSWQIKNVLA PTAVDKRKEWKNCKLDLKKCTAAQLKTVQGFRDQMMRALSPVHSTPSRGLFLDSCHAH CQGGSAASWSGAKGPQVANSKISQAVGNWFYGRSAFQKIDCPSPICNPTCPAISTDE" gene complement(<12081067..>12081514) /locus_tag="BRARA_H01016" /db_xref="Phytozome:Brara.H01016" mRNA complement(join(<12081067..12081191,12081361..>12081514)) /locus_tag="BRARA_H01016" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01016" CDS complement(join(12081067..12081191,12081361..12081514)) /locus_tag="BRARA_H01016" /codon_start=1 /product="hypothetical protein" /protein_id="RID50274.1" /db_xref="Phytozome:Brara.H01016" /translation="MTTETESSHLTQSSSSSSSSSSSSSPLPPPQPQNPVDHLKSNDS STQGLQNEGMSDKGFQISLSDDFYSCVVFNITKLKVCLFVSTYQFHHN" gene complement(12087995..12092088) /locus_tag="BRARA_H01017" /db_xref="Phytozome:Brara.H01017" mRNA complement(join(12087995..12088323,12088438..12088572, 12088666..12088911,12088994..12089263,12089343..12089528, 12089599..12089794,12089862..12090166,12090243..12090332, 12090421..12090538,12090624..12090721,12090826..12090911, 12091083..12091199,12091732..12092088)) /locus_tag="BRARA_H01017" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01017" CDS complement(join(12088453..12088572,12088666..12088911, 12088994..12089263,12089343..12089528,12089599..12089794, 12089862..12090166,12090243..12090332,12090421..12090538, 12090624..12090721,12090826..12090911,12091083..12091185)) /locus_tag="BRARA_H01017" /codon_start=1 /product="hypothetical protein" /protein_id="RID50275.1" /db_xref="Phytozome:Brara.H01017" /translation="MADRLTRIAIVSSDRCKPKKCRQECKKSCPVVKTGKLCIEVTVG SKLAFISEELCIGCGICVKKCPFEAIQIINLPRDLEKDTTHRYGANTFKLHRLPVPRP GQVLGLVGTNGIGKSTALKILAGKLKPNLGRFTNPPDWQEILTHFRGSELQNYFTRIL EDNLKAIIKPQYVDHIPKAVRGNVGEVLDQKDERDKKAELCADLELNQVIDRAVENLS GGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVVRSLLRPNSYVIVVEHDL SVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVA ETPQESAEEIQSYARYKYPTMTKTQGNFRLKVTEGEFTDSQIIVMLGENGTGKTTFIR MLAGLLKPDETEESDIEIPEFNVSYKPQKISPKFQNSVRHLLHQKIRDSYMHPQFVSD VMKPLQIEQLMDQEVVNLSGGELQRVALALCLGKPADIYLIDEPSAYLDSEQRIVASK VIKRFILHAKKTAFIVEHDFIMATYLADRVIVYEGQPSIDCIANCPQSLLTGMNLFLS HLNITFRRDPTNFRPRINKLESTKDREQKSAGSYYYLDD" gene complement(12093019..12094587) /locus_tag="BRARA_H01018" /db_xref="Phytozome:Brara.H01018" mRNA complement(join(12093019..12093657,12093905..12094179, 12094424..12094587)) /locus_tag="BRARA_H01018" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01018" mRNA complement(join(12093019..12093657,12093905..12094284)) /locus_tag="BRARA_H01018" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01018" CDS complement(join(12093362..12093657,12093905..12094151)) /locus_tag="BRARA_H01018" /codon_start=1 /product="hypothetical protein" /protein_id="RID50276.1" /db_xref="Phytozome:Brara.H01018" /translation="MGGGKDKHHDEQDKGFHGFPGGGHHYPPAPGGYPPAGYPPQQGY PPAGGYPPGAYPPGPGGYPPAPGHGGYPPAGYPAPHHSGHSSGGLGGMIAGAAGAAAA AYGAHHVGHASHNPYGHAGHGGFGHVGHASHGYGGHGHGHGKFKHGKHGGKHGGKFKH GKHGKHGMFGGGGGKFKKWK" CDS complement(join(12093362..12093657,12093905..12094151)) /locus_tag="BRARA_H01018" /codon_start=1 /product="hypothetical protein" /protein_id="RID50277.1" /db_xref="Phytozome:Brara.H01018" /translation="MGGGKDKHHDEQDKGFHGFPGGGHHYPPAPGGYPPAGYPPQQGY PPAGGYPPGAYPPGPGGYPPAPGHGGYPPAGYPAPHHSGHSSGGLGGMIAGAAGAAAA AYGAHHVGHASHNPYGHAGHGGFGHVGHASHGYGGHGHGHGKFKHGKHGGKHGGKFKH GKHGKHGMFGGGGGKFKKWK" gene complement(<12095488..>12097428) /locus_tag="BRARA_H01019" /db_xref="Phytozome:Brara.H01019" mRNA complement(<12095488..>12097428) /locus_tag="BRARA_H01019" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01019" CDS complement(12095488..12097428) /locus_tag="BRARA_H01019" /codon_start=1 /product="hypothetical protein" /protein_id="RID50278.1" /db_xref="Phytozome:Brara.H01019" /translation="MSLIHRRLNRYGLSSVSAWNIQIREAVNRNDPAESLLLFREMKR EGFEPNNLTFPFVAKACAKLADIAYSKMVHTHVIKSTFWTDVFVGTATVDMFLKCNRL DYACKVFDVMPERDTTTWNAMLSGFCQSGHTDKAFSLFREMRLGEISPDSVTVMTLIQ SASFEKSLNLLKAVHAFGIRLGVDVQVTVANTCVSSYAKCNDLESAKLVFEGIDRSDR TVVSWNSMFKACAVFGEAFDAFGLYRLMLREEFKPDLSTFINLAASCQSPETLLQGRL IHSQAIRIGTDHDTEVINTLISMYSKSGDICSARLLFDVMSSRTCVSWTVMISGYTEK GDVDEALALFHAMNKTGVSPDLVTLLSLISGCGRFGSLEIGRWVDARADTYGFKRDNV MVCNALIDMYSKCGSVTEARDIFDNMSEKTVVTWTTMIAGYALNGVFLEALELFNKMI ELDYKPNHITFLAVLQACAHSGSLEKGWECFLTMKEVYNINPGLEHYSCMVDLLGRKG KLEEALELIRNMSCKPDACIWGALLSACKIHRNVKVAEQAAECLFNLEPETAAPYVEM ANIYAAAGMWDGFARIRSMMKLRNVKKYPGESVIQVDGKSHTFTVGERDHAEHETIYH VLDCLSLFARDKHTLYKGTLHH" gene <12098552..>12106152 /locus_tag="BRARA_H01020" /db_xref="Phytozome:Brara.H01020" mRNA join(<12098552..12098769,12099259..12099321, 12099413..12099444,12104902..12104959,12105027..12105206, 12105215..12105311,12105431..12105586,12105674..12105825, 12105948..>12106152) /locus_tag="BRARA_H01020" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01020" CDS join(12098552..12098769,12099259..12099321, 12099413..12099444,12104902..12104959,12105027..12105206, 12105215..12105311,12105431..12105586,12105674..12105825, 12105948..12106152) /locus_tag="BRARA_H01020" /artificial_location="low-quality sequence region" /codon_start=1 /product="hypothetical protein" /protein_id="RID50279.1" /db_xref="Phytozome:Brara.H01020" /translation="MTAAMKLDGGGSVAERDARMAHCAMAFVQLFNGGYHVITKVALN VGVNQLVFCVCRDLIALSILAPLAFFRERNIRTPMNRSLLSSFFFLGLAGVFGNQLLF LIAAAIQPSIPVFTFLFAVMMGTERVNMLRIEGQAKVGGTLVCVMGAISMVLFRGPAL LGDKDTDSAVNHEINAKGRPEPTGLCLYRSWVEIKHIGVLCLIGNCMCMAAFLAIQAP VLKKYPANLSVTALSYFFGTVLMVTTAFFMVNEPLDWRLTQSEVLAVIYAGVIASALN YGLLTWSNKIIGPALVALYNPLQPAASAFLSRIFLGSPIYLGSIVGGFFIILGLYMVT WASFRERKTAVSEIGMVSHGARTSEPLIYNGTVSRIGQLLSGLPSSSVKSTD" gene complement(12111032..12112970) /locus_tag="BRARA_H01021" /db_xref="Phytozome:Brara.H01021" mRNA complement(12111032..12112970) /locus_tag="BRARA_H01021" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01021" CDS complement(12111145..12112926) /locus_tag="BRARA_H01021" /codon_start=1 /product="hypothetical protein" /protein_id="RID50280.1" /db_xref="Phytozome:Brara.H01021" /translation="MYSVSSSSFISTFSPKPSLHLRHSSSSRLLPRINSTVVEERAPI INPSENNGPPHKKLYTRSNRNAVASPAKLRPETTLVTALFTTVEDVINTFFDPPSRPS VDPKHVLSGNFAPVLDELPPTECEIIHGSLPPSLDGAYIRNGPNPQFLPRGPYHLFDG DGMLHAIRIRNGKATLCSRFVKTYKYNVEKQAGAQVIPNVFSGFNGVTASVARGALTA LRVLAGQYNPVNGIGLANTSLAFFCNRLFALGESDLPYAVRLTDTGDIETIGRFDFDG KLEMSMTAHPKTDPQTGETFAFRYSPVPPFLTFFRFDSTGKKQRDVPVYSLTSPSFVH DFAITKRHTIFAEIQIVMRMNIMDLVLEGGSPVGADNRKTPRLGVIPRYAGDDSEMKW FEVPGFNIIHAINAWDENDGNTVVLIAPNVMSIEHTLERMDLVHSLVEKVKIDLVTGI VTRHPISARNLDFAVINPAFLGRRSRYVYAAIGDPMPKISGVVKLDVSKGDRGDCTVA RRMYGPGCYGGEPFFVARDPGDQEAEEDDGYVVTYVHDEVAGESKFLVMDAKSPELEI VAAVRLPRRVPYGFHGLFVKESDVNKL" gene complement(12116376..12118929) /locus_tag="BRARA_H01022" /db_xref="Phytozome:Brara.H01022" mRNA complement(join(12116376..12116686,12116766..12116858, 12116953..12117071,12117145..12117425,12117493..12117880, 12118012..12118089,12118467..12118929)) /locus_tag="BRARA_H01022" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01022" mRNA complement(join(12116376..12116686,12116766..12116858, 12116953..12117071,12117145..12117425,12117493..12117880, 12118012..12118089,12118229..12118358,12118467..12118929)) /locus_tag="BRARA_H01022" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01022" CDS complement(join(12116527..12116686,12116766..12116858, 12116953..12117071,12117145..12117425,12117493..12117880, 12118012..12118089,12118229..12118358,12118467..12118573)) /locus_tag="BRARA_H01022" /codon_start=1 /product="hypothetical protein" /protein_id="RID50282.1" /db_xref="Phytozome:Brara.H01022" /translation="MLSASSSSFAPASAAAMTMASSPLVSRFAAHRTTLRCDLLDQRR LPCSSGIQMKMRNPIATASASAFNNDAPRTKSYKEVIKPFRQMFSQEISSQSNDSDIS IAKVLLYIAAEDEAFLAFNREMDARFFMRERETIQDKTDSEEELEPDPSETDSEELDG KSITEWLSELDAISKQVEAELLSRGINSCNAVQVLEAVNTVLFDMRGFKRTSTCFDED PKDYYLHSVLTSRCGTAFLFSVIYIEVCQRLGVPIVGAKVGEEFLVWPETENPEELFE VATSGKSLFAIVNGKCVDDPKSMASELTGKSLLGLDVATNRDIIGIALANLFRVHWKR ASKPTPGQMLTAPLTELNNFRISNIPLLRPHDLRLAIAAAERLLMLEPNNWRVRRDLG MMHYYVRQCREAIIELSICIASFAIEEEEAKELKLFVEKLHRLFSLMSSPLDSDRLAL R" CDS complement(join(12116527..12116686,12116766..12116858, 12116953..12117071,12117145..12117425,12117493..12117880, 12118012..12118068)) /locus_tag="BRARA_H01022" /codon_start=1 /product="hypothetical protein" /protein_id="RID50281.1" /db_xref="Phytozome:Brara.H01022" /translation="MFSQEISSQSNDSDISIAKVLLYIAAEDEAFLAFNREMDARFFM RERETIQDKTDSEEELEPDPSETDSEELDGKSITEWLSELDAISKQVEAELLSRGINS CNAVQVLEAVNTVLFDMRGFKRTSTCFDEDPKDYYLHSVLTSRCGTAFLFSVIYIEVC QRLGVPIVGAKVGEEFLVWPETENPEELFEVATSGKSLFAIVNGKCVDDPKSMASELT GKSLLGLDVATNRDIIGIALANLFRVHWKRASKPTPGQMLTAPLTELNNFRISNIPLL RPHDLRLAIAAAERLLMLEPNNWRVRRDLGMMHYYVRQCREAIIELSICIASFAIEEE EAKELKLFVEKLHRLFSLMSSPLDSDRLALR" gene complement(12131817..12133757) /locus_tag="BRARA_H01023" /db_xref="Phytozome:Brara.H01023" mRNA complement(join(12131817..12132155,12132236..12132353, 12132450..12132524,12132617..12132741,12132845..12132940, 12133033..12133056,12133181..12133288,12133405..12133757)) /locus_tag="BRARA_H01023" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01023" CDS complement(join(12131907..12132155,12132236..12132283)) /locus_tag="BRARA_H01023" /codon_start=1 /product="hypothetical protein" /protein_id="RID50283.1" /db_xref="Phytozome:Brara.H01023" /translation="MKIAELNQFIITPQPQSIKFICTGKVTVSSQKKDGATSWTLEIT CLGSVSLSAKMFGGGNEKENNSGSKTSTGLILKKAQTTSAKVKSMLELVTLIRV" assembly_gap 12141485..12151484 /estimated_length=unknown /gap_type="between scaffolds" assembly_gap 12153219..12163218 /estimated_length=unknown /gap_type="between scaffolds" gene 12165374..12168892 /locus_tag="BRARA_H01024" /db_xref="Phytozome:Brara.H01024" mRNA join(12165374..12165698,12165783..12166008, 12166084..12166329,12166645..12166695,12166769..12167197, 12167280..12167534,12167602..12168124,12168221..12168892) /locus_tag="BRARA_H01024" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01024" CDS join(12165552..12165698,12165783..12166008, 12166084..12166329,12166645..12166695,12166769..12167197, 12167280..12167534,12167602..12168124,12168221..12168779) /locus_tag="BRARA_H01024" /codon_start=1 /product="hypothetical protein" /protein_id="RID50284.1" /db_xref="Phytozome:Brara.H01024" /translation="MAERVEGSVAQGENTIEERHVGAMWELEQKLDQPMDEEANKLKN MYREKGLSMLMLLRLSFQSLGIVYGDLGTSPLYVFYNTFPDGISDSEDVIGALSLIIY SLLLIPLIKYVIIVCKANDNGQGGTLAIYSLLCRHAKVKLIPNQQRSDEDLTTYSRTL LPEGSFAAKTKKWLESKESRKRALLFIVLLGTCMMIGDGILTPAISVLSATGGIKVIS PKMSSDIVVLVSIIILIGLFSMQHYGTDKVGWLFAPIVFIWFLFIGATGMYNICKHDT SVLKAFSPTYIYLYFKRRGLDGWISLGGILLSITGTEALYADIAYFPLLAIQLAFTFF VFPCLLLAYCGQAAYLVNNKDHYKDAFYASMPDSVYWPMFVVATGAAIVGSQATISGT YSIIKQAVSHGCFPRVKIVHTSKKFLGQIYCPDINWILMVGCIAVTASFKNQSQIGNA YGTAVVLVMLATTLLMILIMLLVWRCHWILVLIFAVLTLVPELSYFSAVIWKINQGGW VPLIIAAISLLVMSVWHYATVKKYEFEVHSKVSMSWILGLGPSLGLVRVPGIGLVYTE LASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKTFRMFRCV ARYGYKDLHRKDDDFENKLLNNLFSFIRIETMMEPGSNSSTYSSAYSLNHTQESRDEL MLKNNHHNSSNNNNNNINDDFSSMVDYTVSTLDTIVPADNRMSFSQNNTMEEEEDEEE DELQYLKTCKESGVVHIMGNTVVKARNGSWLPKKVAIDYVYAFLAKICRENSVILHVP HETLLNVGQVFYV" gene complement(<12171632..>12173860) /locus_tag="BRARA_H01025" /db_xref="Phytozome:Brara.H01025" mRNA complement(join(<12171632..12172603,12173423..12173650, 12173723..>12173860)) /locus_tag="BRARA_H01025" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01025" CDS complement(join(12171632..12172603,12173423..12173650, 12173723..12173860)) /locus_tag="BRARA_H01025" /codon_start=1 /product="hypothetical protein" /protein_id="RID50285.1" /db_xref="Phytozome:Brara.H01025" /translation="MKFKETLSFRRRDMTKLSEYQDKIQERLAITPTLPPLSSSSHIP KIVGKVIYPIGYGADPTGRQDSSDAILAALTVAFKLQTGLHMMPHVADLGGIVIDLQG GNDKIGKPLRFPSSGGGNLVVKGGTFRASNVFPGNRHLIELVPPRSGIFFEDMTFRDI LFDSSFRGGGILVINSARIRITDCYFLHFTTQGVNVKGGHETYISNSFFGQHSTVGGD KKEPSFSGTGIDLSSTDNAITDVVIFSAGIGISLSGEANMVTGVHCYNKATWFGGIGI LVKSHLTRIDNCYLDYTGIVIEDPVHVHVTSALFIGDANIVLRSVHGKISGLNIVNNM FRSKSRKNFPIVKVKGNFHEIDQLVIDQNNVSGMMLKSTIRKSKVYGNGTRWVVDFSH VLVFPNRINHYQHSFLVRSGQIVASAVTEVSNNVVVVETDRVVAGTVSVIVHQ" gene 12182271..12183417 /locus_tag="BRARA_H01026" /db_xref="Phytozome:Brara.H01026" mRNA join(12182271..12182649,12182842..12182959, 12183059..12183140,12183236..12183417) /locus_tag="BRARA_H01026" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01026" CDS join(12182487..12182649,12182842..12182959, 12183059..12183140,12183236..12183307) /locus_tag="BRARA_H01026" /codon_start=1 /product="hypothetical protein" /protein_id="RID50286.1" /db_xref="Phytozome:Brara.H01026" /translation="MSRNAITDEEGTQIKSLPKNPSLPLPADDHEGEPVHGDPVENPD NVNEYEKEEEDMPDDVGYEEELAVEEDVVGSEDELADFIVDEDEIGHLRKRDYKKKKY MEDANEIFGGDVQRLRRVKISEESTGSPPVDERSIDEESSWK" gene complement(<12184148..>12184717) /locus_tag="BRARA_H01027" /db_xref="Phytozome:Brara.H01027" mRNA complement(<12184148..>12184717) /locus_tag="BRARA_H01027" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01027" CDS complement(12184148..12184717) /locus_tag="BRARA_H01027" /codon_start=1 /product="hypothetical protein" /protein_id="RID50287.1" /db_xref="Phytozome:Brara.H01027" /translation="MKRNADELWNPVRKNIIVENQSQIQVPSSHPSSLSLLSVPSSSS HNWTHDVFPSFRGEDVRIKFLSHLKKEFKRKGTTPFIDNEIRRGESIGPELIRAIRES KIAIILISRSYASSKWCVDELVEIMKCKEELGQTVIPVFYKIDPSDVKKLTGYVGKVF EKTCVGKSKEDTEKWRHALKKVATIAGYD" gene 12200753..12203411 /locus_tag="BRARA_H01028" /db_xref="Phytozome:Brara.H01028" mRNA join(12200753..12200888,12200977..12201135, 12201214..12201351,12201420..12201545,12201632..12201760, 12201836..12201925,12201992..12202142,12202215..12202324, 12202392..12202463,12202552..12202657,12202740..12202934, 12203040..12203411) /locus_tag="BRARA_H01028" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01028" CDS join(12200832..12200888,12200977..12201135, 12201214..12201351,12201420..12201545,12201632..12201760, 12201836..12201925,12201992..12202142,12202215..12202324, 12202392..12202463,12202552..12202657,12202740..12202934, 12203040..12203161) /locus_tag="BRARA_H01028" /codon_start=1 /product="hypothetical protein" /protein_id="RID50288.1" /db_xref="Phytozome:Brara.H01028" /translation="MASPVSLHYLINTFISKPQGFCSGTVSAPRPRSSFVRERQNSIV KPIKVASLETQPFPLFQSPASEESSLSELEPADPDFYKIGYVRRVRAYGVEFKEGPDG FGVYASKDIEPRRRARVIMEIPHELMITIRQKHPWMFFPDIVPIGHPIFDIINSTDPE KDWDLRLACLLLFSFDREDHFWRLYGDFLPAADECSSLLLATEEDLAELQNPDLVSTI RQQHKRVLEFWEKNWHSDVPLKIKRLAEDAERFIWAVSIAQTRCISMKTRVGALVQDL NMMIPYADMLNHSFEPNCFLHWRPKDRILEVMSNAGQAIKKGEEMTINYMPGQNNNVL MERYGFSTPVNPWDALPFSGDSRIHLNSFLSVFNIFGLPEDYYHDSELSGDDSFVDGA VIAAARTLPTWSDIDLPPIPSAERKAVKELQDECKKMLAEYPTTSEQDQKLLDSMLEA RTTFATAVKYRMHRKMFIGKIIKALDIYQERLLF" gene complement(12203604..12204727) /locus_tag="BRARA_H01029" /db_xref="Phytozome:Brara.H01029" mRNA complement(join(12203604..12203871,12204354..12204491, 12204576..12204727)) /locus_tag="BRARA_H01029" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01029" CDS complement(join(12203855..12203871,12204354..12204491, 12204576..12204666)) /locus_tag="BRARA_H01029" /codon_start=1 /product="hypothetical protein" /protein_id="RID50289.1" /db_xref="Phytozome:Brara.H01029" /translation="MPLSATMVGALLGLGTQMYSNALRKLPYMRHPWEHVVGMGLGAV FANQLVKWDVKLKEDLDVMLDKARAANERRYFDEDRD" gene 12207812..12210018 /locus_tag="BRARA_H01030" /db_xref="Phytozome:Brara.H01030" mRNA join(12207812..12209151,12209495..12210018) /locus_tag="BRARA_H01030" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01030" CDS join(12208010..12209151,12209495..12209840) /locus_tag="BRARA_H01030" /codon_start=1 /product="hypothetical protein" /protein_id="RID50290.1" /db_xref="Phytozome:Brara.H01030" /translation="MVKDKEQHPIKEKKLLVSLIWNFSTELKLISMALLVIFTLATLL PFIPSSFSLSASDFRFCISRFSSAVPVNTTTTTEVLPEKKTATEPERVLDNGVIKRTF TGYGSAAYNFISMSAYRGGVNTFAVIGLSSKPLHVYGHPSYRCEWVPLDPTQDPVSTP GFKILTDWGYGRIYTTVVVNCTFPSTAAVGGNLILHATTGDPDRNLTDSIPVLTEPPN SVDLTLYTSPKKKYDYLYCGSSLYGNLSPQRVREWITYHVRFFGERSHFVLHDAGGIH EEVFEVLRPWIEKGSVTVHDIREQERFDGYYHNQFMVVNDCLHRYRFAAKWMFFFDVD EFIYVPEKETIKSVMESLEEYSQFTIEQMPMSSKICYSGDGPARTYRNWGFEKMAYRD VKKVPRRDRKYAVQPSNVFATGVHMSQNLQGKTYHKAESKIRYFHYHGSISQRREPCR HLFNDSRVVFENNPYVLDTTIRNVGLAVKTFEMRTIGNRLLRTRQ" gene complement(<12214028..>12215191) /locus_tag="BRARA_H01031" /db_xref="Phytozome:Brara.H01031" mRNA complement(<12214028..>12215191) /locus_tag="BRARA_H01031" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01031" CDS complement(12214028..12215191) /locus_tag="BRARA_H01031" /codon_start=1 /product="hypothetical protein" /protein_id="RID50291.1" /db_xref="Phytozome:Brara.H01031" /translation="MATDVLSFRDDSSQEDWHDFEVLGRGDESKILIKKTSMHSDSER RISVDPKSLLSRNGSLDMISSRPKDIDDVALSQPLDHQDKTKFMSCSLPNSVAMSPRH STSHNWKDRTTEQVLDLMLVQDAAAAFRRSKSCGEGCACQPPLDVDMMVHKSRNGHHH HHDFSSSNAKTLSQKSSGNNSFFSKTDSNKSNINTANAKSINTLEDRFKCSALCLYLP GFSKGKPIRSSRKGDSSYTRTTTMTSTQSMARTVSIRDTTVLSARASLERFECGSWTS SAMIYEDSADLGGHFFDLPSELIKGGPGGNDQDDPVSAAFLFDKGPNLEKEIKGVLKT SGSKSRRSMESPRHVRFSTSSPVSYPTSPTHAITPRLLQATGGFSGFMEAQTV" gene 12225971..12229502 /locus_tag="BRARA_H01032" /db_xref="Phytozome:Brara.H01032" mRNA join(12225971..12228766,12229026..12229502) /locus_tag="BRARA_H01032" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01032" CDS join(12226108..12228766,12229026..12229354) /locus_tag="BRARA_H01032" /codon_start=1 /product="hypothetical protein" /protein_id="RID50292.1" /db_xref="Phytozome:Brara.H01032" /translation="MADRIFTFFLVLSSISLLFSPILCSSSSSSLNLSLVRQAKVLVS IKQSFDSYDPSLDSWTVPNFNSLCSWTGVSCDNLNQSITRLDISNLNISGTLSPELSR LPSLVFLSVASNSFSGKIPKEIHKLSNLEVLNISTNAFEGELAPLEFGEMTQLTTLDA YNNNFTGSLPLSLTKLTQLEYLDLGGNYFNGEIPISYGGFLRLKYLSLSGNDLIGRIP NELGNITTLEKLYLGYYNDFHGGIPADLGRLINLVHLDLANCSLKGSIPAELGFLKNL EILFLQTNELTGSVPRELGNMTSLKTLDLSNNFLEGEIPLELSGLQKLQLFNLFFNRL HGEIPEFVAHLPVLQILKLWHNNFTGKIPAKLGSNGKLVDIDLSSNKLTGLIPETLCF GRKLQILILFNNFLFGPLPEDLGQCEPLWRFRLGQNFLTGTLPKGLIYLPNVSLLELQ NNFLTGEIAEEEAGNTGLSSLTQINLSNNRLTGPIPGSIRNLRSLQILLLGGNRFTGQ IPGEIGSLKSLLTIDMSRNNLSGKLPPEFGECQSLTYLDLSHNKISGQIPVQISRIRI LNYLNVSWNSLNQSLPVELGSMKSLTSVDFSHNNFSGSVPTLGQFVYFNNTSFLGNPF LCGYSSNPCNGSQNQSESQILNQRNANSNGEISAKFKLLFGLGLLGFFLVFFVLAVVK NRRMRRSNSNLWKLIGFQKLGFRSEHIVECIKENNVIGKGGAGIVYKGLMPNGEEVAV KKLLTIRKGSSHDNGLSAEIQTLGRIRHRNIVRLLAFCSNKDVNLLVYEYMPNGSLGE ALHGKAGVFLKWDTRLQIALEAAKGLCYLHHDCSPFIIHRDVKSNNILLGPDFEAHVA DFGLAKFMMQDDGASECMSSVAGSYGYIAPEYAYTLRIDEKSDVYSFGVVLLELITGR KPVDKFGEEGIDIVQWSKIQTNCNKQGVVKIIDQRLSNVPLGEAMELFFVAMLCVEEH SVERPTMREVVHMISQAKQPHTF" gene <12230479..>12235659 /locus_tag="BRARA_H01033" /db_xref="Phytozome:Brara.H01033" mRNA join(<12230479..12230792,12230879..12230966, 12235452..12235479,12235586..>12235659) /locus_tag="BRARA_H01033" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01033" CDS join(12230479..12230792,12230879..12230966, 12235452..12235479,12235586..12235659) /locus_tag="BRARA_H01033" /codon_start=1 /product="hypothetical protein" /protein_id="RID50293.1" /db_xref="Phytozome:Brara.H01033" /translation="MKHSKDPFEAAIEEEQEESPQESPVSYGGVGGGGNDDGLVEIDQ TPEESERDVSVRRQNKKSKTSSIIAEAKSKDEDEEEEEENMEAELTKYPTTADPAKMA TMQVILSQFTEDQMSRYESFRISALQKGNIRKMFVGELVETDSGPIRPCHIRESYWRL KLEGKVP" gene 12236711..12240314 /locus_tag="BRARA_H01034" /db_xref="Phytozome:Brara.H01034" mRNA join(12236711..12237047,12237495..12237962, 12238788..12239202,12239300..12239377,12239924..12240314) /locus_tag="BRARA_H01034" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01034" CDS join(12237040..12237047,12237495..12237962, 12238788..12239202,12239300..12239377,12239924..12239980) /locus_tag="BRARA_H01034" /codon_start=1 /product="hypothetical protein" /protein_id="RID50294.1" /db_xref="Phytozome:Brara.H01034" /translation="MMRYQRVSPDCVPLTNGANKPYLRPSPSNEDTTTSIAAGRGFNG GSCTISSSLDGVPKGFRFRSTQQHDPTPSRGGGGDVLLQWGQRKRSRISRAEIRSSTT TPADDSSSSSGQGKTQSNKLSRRSLNPSKPPPAPVFSGRSSNNRNGIVGGKEIFLSRN LEDRSANGSPSRNINSRMVSRSAGSKRSPPSPDQIEKRSSVRDHHQNHRQNGLDHNHD QQHQRVSRSESAAQAHPELETNKNNGEKEKATQVETREWPRIYIALSRKEKEEDFLVM KGTKLPHRPRKRAKNIDKSLQYCFPGMWLSDLTKNRYEVREKKNVKKQPKRRGLKGLE NLDTDSE" gene <12241637..>12242667 /locus_tag="BRARA_H01035" /db_xref="Phytozome:Brara.H01035" mRNA join(<12241637..12242245,12242407..>12242667) /locus_tag="BRARA_H01035" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01035" CDS join(12241637..12242245,12242407..12242667) /locus_tag="BRARA_H01035" /codon_start=1 /product="hypothetical protein" /protein_id="RID50295.1" /db_xref="Phytozome:Brara.H01035" /translation="MDGAILVVSGADGPMPQTKEHILLAKQVGVPDMVVFLNKEDQVD DSELLELVELEVRELLSSYEFNGDDIPIISGSALLAVETLTENPNVKRGDNKWVDKIY ELMDAVDSYIPIPQRQTELPFLLAVEDVFSITGRGTVATGRVERGTVKVGETVDLVGL RETRNYTVTGVEMFQKILDEALAGDNVGLLLRGIQKADIQRGMKEEGGRHSPFFAGYR PQFYMRTTDVTGKVTKIMNDKDEESKMVMPGDRVKIVVELIVPVACEQGMRFAIREGG KTVGAGVIQSIIE" gene complement(12243610..12245946) /locus_tag="BRARA_H01036" /db_xref="Phytozome:Brara.H01036" mRNA complement(join(12243610..12243808,12243893..12243972, 12244059..12244094,12244198..12244278,12244375..12244446, 12244531..12244602,12244702..12244806,12244983..12245033, 12245138..12245172,12245493..12245537,12245743..12245946)) /locus_tag="BRARA_H01036" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01036" CDS complement(join(12243748..12243808,12243893..12243972, 12244059..12244094,12244198..12244278,12244375..12244446, 12244531..12244602,12244702..12244806,12244983..12245033, 12245138..12245172,12245493..12245537,12245743..12245776)) /locus_tag="BRARA_H01036" /codon_start=1 /product="hypothetical protein" /protein_id="RID50296.1" /db_xref="Phytozome:Brara.H01036" /translation="MNIFKKKTTPKEALRTSKREMAVATRGIEREITSLQLEEKRLVA EIKKTAKTGNEAATKILARQLVRLRQQITNLQGSRAQIRGVTTHTQALYASTSISSGM KGATTAMVAMNKQMAPTKQAKVIKEFQKQSAQLDMTIEMMSEAIDETLDKDEAEEETE DLTNQVLDEIGVGVASQLSSAPKGRIATKTAAPNTVSNKSENNDSGSTEVDDLERRLA SLRRI" gene 12247005..12248412 /locus_tag="BRARA_H01037" /db_xref="Phytozome:Brara.H01037" mRNA join(12247005..12247183,12247347..12247472, 12247542..12247652,12247799..12247920,12248017..12248082, 12248175..12248249,12248326..12248412) /locus_tag="BRARA_H01037" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01037" CDS join(12247349..12247472,12247542..12247652, 12247799..12247920,12248017..12248082,12248175..12248249, 12248326..12248367) /locus_tag="BRARA_H01037" /codon_start=1 /product="hypothetical protein" /protein_id="RID50297.1" /db_xref="Phytozome:Brara.H01037" /translation="MQDQLVCHGCRNTLLYPRGATNVRCALCNTVNTIPHHPSPHGMD MAHIVCGGCRTMLMYTRGGTSVRCSCCQTLNLVPAPTPSNQFAHINCGNCRTTLMYPY GASSVKCAVCQFVTNVSLMGNGRVPNMPNGAASPGTMSTQSTPPSKTQTVVVENPMSV NESGKLVSNVVVGVTTCKK" gene 12248900..12249941 /locus_tag="BRARA_H01038" /db_xref="Phytozome:Brara.H01038" mRNA join(12248900..12249149,12249239..12249362, 12249461..12249941) /locus_tag="BRARA_H01038" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01038" CDS join(12248935..12249149,12249239..12249362, 12249461..12249721) /locus_tag="BRARA_H01038" /codon_start=1 /product="hypothetical protein" /protein_id="RID50298.1" /db_xref="Phytozome:Brara.H01038" /translation="MPREKILFAGGGTAKSWKVLLALRILAFIATLAAAIVMGLNKET KTLVVATIGTVPIKATLTAKFQDTPAFVFFVIANAMVSFHNLLMIALQIFSRKLECKG FRLLSVAILDMLNATLVSAAANAAVFMAELGKNGNKHAKWNKVCDRFSIYCDHGRGAL IAAFSGVILMLLVSAVSISRLSIYSNKSSTSTAAAVASP" gene <12253254..>12254470 /locus_tag="BRARA_H01039" /db_xref="Phytozome:Brara.H01039" mRNA join(<12253254..12254158,12254386..>12254470) /locus_tag="BRARA_H01039" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01039" CDS join(12253254..12254158,12254386..12254470) /locus_tag="BRARA_H01039" /codon_start=1 /product="hypothetical protein" /protein_id="RID50299.1" /db_xref="Phytozome:Brara.H01039" /translation="MDSLSSSTSTKMKNNNKLSVRNETRFKKSFLLLREKTVLKKALE LSILCDNDICVIHYDREGNLVNTYPEDQCQVKDILERYNRLSDREKIKKNTNLSQFYN KKLVDEKRRSLTDAEERKKFTKKVGEFKGSLVDQLLVLQDRARYLLYSQDHQTEPDQS RCLAAMSEQNHNFSAPSSGFFPHNDFSSSLIDEVDPLMNFCPPVIDNLVSDHQQQIGS SLTNLLMSGDASTPAGSSNHQSKFSMFLFNHETATFTQLPNSVSSSFDQGLTPCSNNL ITASHGAQDYNFGYGNNLNAQGFNFGSYSFLSLIIHSSSTYALTIHKDHYSFG" gene 12263357..12266704 /locus_tag="BRARA_H01040" /db_xref="Phytozome:Brara.H01040" mRNA join(12263357..12263613,12264115..12264391, 12264489..12264867,12264969..12265190,12265259..12265403, 12265904..12266149,12266229..12266704) /locus_tag="BRARA_H01040" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01040" CDS join(12263362..12263613,12264115..12264391, 12264489..12264867,12264969..12265190,12265259..12265403, 12265904..12266149,12266229..12266510) /locus_tag="BRARA_H01040" /codon_start=1 /product="hypothetical protein" /protein_id="RID50300.1" /db_xref="Phytozome:Brara.H01040" /translation="MNTIAVLGPTHGSQFFLLSPNNLFPVKKLSCLPLKSLPTKPSKY VRLKAMTSPTCDEQTSHRKFEKLLPSPWTHRFHSVSVDVTEMDALRKEMDALNPKVKN MLMSSQGTNSTKKRVLMIYLLVNLGLAYHFEDEIYETLQESFQQIEEMMDGEDDLYTV SIIFWVFRRYGHNISSDVFKRFKMNTGSFKDSLTGDAKGMLSLYEAAHLRTRKDNILD EALMFTSSHLKSIAACGTCPPHLSVRIQSALILSQHWNMEILVPLEFIPFYEQEKDHD EMVLMFAKINLKFLQLQYLQELKIVTKWYNELGHASNLPPYYRDRIVENYFFVLSVFI EPQLSRARTMLTQFFTALQILDDTFDRYAFLPEAESLANSLKRWAPDHDMDKQPDYLK FVLDSTLNILEELEREVRKTEGSSYSFDATKDEVNKLVKANFDLAKWALVAHVPSFEE YMEVGEVEFTAYALLAGIFMIQGKIAMEAYEWLKSRPKLFQSICIKGRLMNDINGYED DMRRGYVTNAINCYMKQYGVPVEEAIRELKKIAADADKTLNEEFLTTVEVGRGVLKTA MDFGRMIAVTYNVDEGYTHPEGKIKEYMTSLFLD" gene 12267903..12268490 /locus_tag="BRARA_H01041" /db_xref="Phytozome:Brara.H01041" mRNA 12267903..12268490 /locus_tag="BRARA_H01041" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01041" CDS 12267939..12268340 /locus_tag="BRARA_H01041" /codon_start=1 /product="hypothetical protein" /protein_id="RID50301.1" /db_xref="Phytozome:Brara.H01041" /translation="MSIARVFLCLGFLTFLTSPLALCSSSPKVVAVAASASTQEAPKR HIDPPAMLVSESTEVDSSSSMAKIDDESARNSAISSFFRYRFPFYGWPFPMVKPANPS VPATPVTGAGEEESEKVPSSPSEGNRDGGNA" gene complement(12268789..12270325) /locus_tag="BRARA_H01042" /db_xref="Phytozome:Brara.H01042" mRNA complement(join(12268789..12269104,12269426..12270325)) /locus_tag="BRARA_H01042" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01042" CDS complement(12269430..12270185) /locus_tag="BRARA_H01042" /codon_start=1 /product="hypothetical protein" /protein_id="RID50302.1" /db_xref="Phytozome:Brara.H01042" /translation="MSMSKSSKMLQFINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGD CEEFRKLPPGKGKKINEEREERRTLGLVLLRGEEVISMTVEGPPPPEESRAKAGSAAA LAGPGLGRAAGRGVPTGPLVQAQPGLSGPVRGVGGPSPGMMQPQISRPPVMRPPGQML PPPPAFGGQGPPMGRGPPPPAYGGMRPQFGGPPPPYGQRPMGPPPGGMMMRGPPPPPH GMQGPPPPRPPPGGFAPRPGMQGPPPPQHNQQQ" gene complement(<12270815..>12282189) /locus_tag="BRARA_H01043" /db_xref="Phytozome:Brara.H01043" mRNA complement(join(12270815..12271377,12271467..12271656, 12272886..12272951,12273049..12273175,12273301..12273500, 12273592..12273767,12273845..12273922,12274000..12274071, 12275812..12275883,12275989..12276133,12276219..12276691, 12279912..12280131)) /locus_tag="BRARA_H01043" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01043" mRNA complement(join(<12270969..12271377,12271467..12271656, 12272886..12272951,12273049..12273175,12273301..12273500, 12273592..12273767,12273845..12273922,12274000..12274071, 12275812..12275883,12275989..12276133,12276219..12276691, 12281244..12281817,12282105..>12282189)) /locus_tag="BRARA_H01043" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01043" CDS complement(join(12270969..12271377,12271467..12271656, 12272886..12272951,12273049..12273175,12273301..12273500, 12273592..12273767,12273845..12273922,12274000..12274071, 12275812..12275883,12275989..12276133,12276219..12276691, 12281244..12281817,12282105..12282189)) /locus_tag="BRARA_H01043" /codon_start=1 /product="hypothetical protein" /protein_id="RID50304.1" /db_xref="Phytozome:Brara.H01043" /translation="MESNHWLALLALIAIFFISNLGNVQGQEGFISLDCGMPHNESSY TEESTGLVFSSDADFIRSGKGGRTKSEDPDSGIANTKPYKYLTYFPEGTRNCYNLTVM QGTHYLIRAVFVYGNYDDLKQKPKFDLYLGPNFWTTINLQDIFGNVNRIYVPDGTIEE IIHMPKSNSLDICLVKTGTTTPFISSLELRPLIDDSYTTKTGSLKLFYRWSFSNTDSI VRYPDDVHDRLWHSDFWEDDMTEINTTTPVNTKNAFDLPQAIISKAAVAKGAGKSWSR GWSMQNQDDVHVYLHFAEIQVLKPKDTREFSILWNDATINYSYSPPEFMADTVPIRTS TKCDDLCYLELVRGKSSSLPPSISAMEVFGVLQLPQSETDENDVSAFKNIQATYRLQK TNWQGDPCVPINYMWTGLNCSNVVPSVPPRIISIDFSSYGLNGTIASDIQYLSQLQKL DLSNNHLHGRIPEFLGKMKLLTIINLSGNNFSGSIPQTLRNMQKNGLTLILDGNQNLC LDSSCETEAGDGNGKKKLLVPILATAASVGLITAVLLLIILFYRTKRSSKDPRSSIVS NKRSFTYEEVTVMTNNFERTLGEGGFGVVYHGNLNGNEQVAVKVLSQSSAQGYKQFKA EVDLLLRVHHINLVSLVGYCDEGQHLVLIYEYMSNGNLKQHLSGEYATTPLSWENRLR IAADTAQGLEYLHIGCKPPMIHRDIKSTNILLDKNFQAKLGDFGLSRSFPVGSETHVS TNIAGSPGYLDPEYYRTNWLTEKSDVFSFGVVLLEIITSQPVIDHTREKSHIGEWVGF RLTNGDIKNIVDPSLIGDYDSSSVWKALELAMSCVSPSSSGRPNMSQVANELKECLLS ENSRKEGKHDVDSKSSVELSTSFGTKHTPDAR" CDS complement(join(12270969..12271377,12271467..12271656, 12272886..12272951,12273049..12273175,12273301..12273500, 12273592..12273767,12273845..12273922,12274000..12274071, 12275812..12275883,12275989..12276133,12276219..12276691, 12279912..12279946)) /locus_tag="BRARA_H01043" /codon_start=1 /product="hypothetical protein" /protein_id="RID50303.1" /db_xref="Phytozome:Brara.H01043" /translation="MMESISYNRHFWYPDDVHDRLWHSDFWEDDMTEINTTTPVNTKN AFDLPQAIISKAAVAKGAGKSWSRGWSMQNQDDVHVYLHFAEIQVLKPKDTREFSILW NDATINYSYSPPEFMADTVPIRTSTKCDDLCYLELVRGKSSSLPPSISAMEVFGVLQL PQSETDENDVSAFKNIQATYRLQKTNWQGDPCVPINYMWTGLNCSNVVPSVPPRIISI DFSSYGLNGTIASDIQYLSQLQKLDLSNNHLHGRIPEFLGKMKLLTIINLSGNNFSGS IPQTLRNMQKNGLTLILDGNQNLCLDSSCETEAGDGNGKKKLLVPILATAASVGLITA VLLLIILFYRTKRSSKDPRSSIVSNKRSFTYEEVTVMTNNFERTLGEGGFGVVYHGNL NGNEQVAVKVLSQSSAQGYKQFKAEVDLLLRVHHINLVSLVGYCDEGQHLVLIYEYMS NGNLKQHLSGEYATTPLSWENRLRIAADTAQGLEYLHIGCKPPMIHRDIKSTNILLDK NFQAKLGDFGLSRSFPVGSETHVSTNIAGSPGYLDPEYYRTNWLTEKSDVFSFGVVLL EIITSQPVIDHTREKSHIGEWVGFRLTNGDIKNIVDPSLIGDYDSSSVWKALELAMSC VSPSSSGRPNMSQVANELKECLLSENSRKEGKHDVDSKSSVELSTSFGTKHTPDAR" gene complement(12283051..12285673) /locus_tag="BRARA_H01044" /db_xref="Phytozome:Brara.H01044" mRNA complement(join(12283051..12283624,12283697..12283791, 12283869..12284010,12284107..12284198,12284276..12284383, 12284468..12284581,12284676..12284800,12284903..12284978, 12285523..12285673)) /locus_tag="BRARA_H01044" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01044" CDS complement(join(12283123..12283624,12283697..12283791, 12283869..12284010,12284107..12284198,12284276..12284383, 12284468..12284581,12284676..12284800,12284903..12284960)) /locus_tag="BRARA_H01044" /codon_start=1 /product="hypothetical protein" /protein_id="RID50305.1" /db_xref="Phytozome:Brara.H01044" /translation="MLSFSRTRSPGRNTSPLAGGMDYLEPKRKSNVMGRLILIVSLTA LCITMLKNAPSFTSPTSFSRSQEGVTHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNL SRGNLGAVKVLQGLFPEAGRLQFIYADLGDAKAVDKIFSENAFDAVMHFAAVAYVGES TLDPLKYYHNITSNTLVVLEAVARHKVKKFIYSSTCATYGEPDKMPIVEVTPQVPINP YGKAKKMAEDMILDFSKNSDMAVMILRYFNVIGSDPEGRLGEAPKPELREHGRISGAC FDAARGVIPGLQVKGTDYKTGDGTCVRDYIDVTDLVDAHVKALEKAKPRNVGIYNVGT GKGRSVKEFVEACKKATGVDIQVDFLPRRPGDYAEVYSDPAKILRDLNWSARFTNLQE SLEVAWKWQKTHPHGYASS" gene 12288598..12289295 /locus_tag="BRARA_H01045" /db_xref="Phytozome:Brara.H01045" mRNA 12288598..12289295 /locus_tag="BRARA_H01045" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01045" CDS 12288673..12288984 /locus_tag="BRARA_H01045" /codon_start=1 /product="hypothetical protein" /protein_id="RID50306.1" /db_xref="Phytozome:Brara.H01045" /translation="MFLNSKKSTRPSLFRSTEMIILSTLSISPPSGNPSFFKTACNSL AQMNPSPFSSNTLNASARSDSSAAAGEERSSSPAKKQSSSVLWREEKSSKLRPVFPGW M" gene 12301123..12302874 /locus_tag="BRARA_H01046" /db_xref="Phytozome:Brara.H01046" mRNA 12301123..12302874 /locus_tag="BRARA_H01046" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01046" CDS 12301154..12302749 /locus_tag="BRARA_H01046" /codon_start=1 /product="hypothetical protein" /protein_id="RID50307.1" /db_xref="Phytozome:Brara.H01046" /translation="MLTTRPTFVFFLLFLSLPLSSFSQSSNPVYNSFLKCFSDRTKTP QAQNVFSQTNPSYSSVLRAYIRNARFNTSSTPKPTLIITPRSASHVSAAVLCAKPLNF VFKIRSGGHDYDGLSYVSDKPFFVLDLSNLRDVTVDIADQTAWISAGATLGEVYYRIW EKSKVHGFPAGVCPTVGVGGHLSGGGYGNMLRKFGLSVDNLIDAKIVDVNGRILDRKS MGEDLFWAISGGGGGSFGVVLGYKVKLVPVPRVVTVFRVEQFIESGAVDMVHKWQSVG PRTDRNLFLRMLLQPVTRNKVQTVRATAVALFLGRADDIVSLLRKELPELALKKENCT EMTWFQSALWWDNRLNATQIDPKVFLDRNLDSSRFLKRKSDYVATVIPRDGIESLFKK MIELGKVGLVFNPYGGKMAEIAEDATPLPHRKMLFKIQYSVNWQESSPEIEKGFLNQS RVLHSFMTEFVSKNPRRAYLNYRDVDIGVNDHGPNSYKEGEVYGRMYFGKNFDRLVKI KTAVDPGNFFRNEQSIPTLPSKA" gene complement(<12307200..>12308294) /locus_tag="BRARA_H01047" /db_xref="Phytozome:Brara.H01047" mRNA complement(<12307200..>12308294) /locus_tag="BRARA_H01047" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01047" CDS complement(12307200..12308294) /locus_tag="BRARA_H01047" /codon_start=1 /product="hypothetical protein" /protein_id="RID50308.1" /db_xref="Phytozome:Brara.H01047" /translation="MPLPWKKSKSGRISRLVSDLQQSPKHGGSLFVETGFPTSLIHLF VKNRDRLKKSSSKRNNKAQTQTAHTTRPRVLSPPLPQKLEPAPVTEDLTASKIDESLV NGSGLTSENRHDGDTNHGVGNGGYRGGGCCVLMVVVLALSTKKVAVGITISAFALLFL ELAVARVFTLIYPCPHAKLIRKENGEEKVEESPSSIQERKNKKASFEISESFQDSKDC IEEIQFPAPEERKEKSKSSKLKSKIVPNKLRSYMKKKKEKQEAERVEVEIVQDDDESV TEVSSFYSEDRIESQISERDETGSNPPKLLESCEEEEESGSKGDLTKGIALIIISLAG LFSGKVLAIALTLSWCLILRFVCCKSQTSL" gene 12311499..12315328 /locus_tag="BRARA_H01048" /db_xref="Phytozome:Brara.H01048" mRNA join(12311499..12312119,12312273..12313695, 12313779..12313923,12314010..12314110,12314196..12314261, 12314474..12314563,12314659..12314835,12314959..12315328) /locus_tag="BRARA_H01048" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01048" CDS join(12311650..12312119,12312273..12313695, 12313779..12313923,12314010..12314110,12314196..12314261, 12314474..12314563,12314659..12314835,12314959..12314997) /locus_tag="BRARA_H01048" /codon_start=1 /product="hypothetical protein" /protein_id="RID50309.1" /db_xref="Phytozome:Brara.H01048" /translation="MDDFSGLLGLKPQGKSAPMAPSSSSPARNKSDSSPLFDDISGGD DLLFSDSRSQTKPSDFDYDAMFKDPKPVYDKPVYDEEDVFESLKTPPGGSQSARFDDL FSSHSVHRKNNSSPFDDLIGNLSKPESEKRDERGSSAFDDLIPGFGRASSPPAKRPTS ETSHSQKPPYRTSSNLAEDPFVVLESASTPREPPPSGGYSDPLDDIGLFNSRKTDHSF ADIDPLDSLGKSGPDVSSRDKSHLRPGNGSGSQSPVESSHTGSYHGKKVSFDEVLEPQ NTSVPHATAPPYENKSLNSDGSFDLSDDVWLTVSEIPLFTLPTSAPPPSRPPPPRPTR PMKKKANEPHSHVRTSARASVNSPTAASQMDDLDDFSMGKNHTAANGEDSDGYSTAVA SAAAMKDAMDKAEAKFRQAKERREKDNLKASRSMEGDPVDSYDSRERELREKQVRLDR ERAEREAEMEKAQEREREERERKRVEKERERLLARQAVERATREARERAASEAHAKAQ RAAVGKANTDARERAERAAVQRAHAEARERAAAGAREKAERAAAEARERELSVAREKE AKVKAERAAVERAAAEARARATAEARARVAAQAKAKQQENNNDLDSFFDSVSRPSSAP RQRTNPLDPFQDTWNKGGSFESSRASSRVPSGAAENLRKTSSATNIVDDLSSIFGASA TQSGGFQDVEGETEERRRARLERHQRTQERAAQALAEKNDRDLRAQREQAEKNRIGET LDVEIKRWGAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAASVKKFYRKATLCI HPDKVQQKGANLQQKYIAEKVFDMLKEAWNKFNSEELF" gene 12317759..12320185 /locus_tag="BRARA_H01049" /db_xref="Phytozome:Brara.H01049" mRNA join(12317759..12318223,12318893..12319162, 12319242..12320185) /locus_tag="BRARA_H01049" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01049" CDS join(12317830..12318223,12318893..12319162, 12319242..12319918) /locus_tag="BRARA_H01049" /codon_start=1 /product="hypothetical protein" /protein_id="RID50310.1" /db_xref="Phytozome:Brara.H01049" /translation="MREILHIQGGQCGNQIGAKFWEVICGEHAIDPTGQYCGDSDLQL ERINVYYNEASGGKYVPRAVLMDLEPGTMDSLRSGPFGQIFRPDNFVFGQSGAGNNWA KGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYP DRMMMTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLS NPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSR GSQQYSALTVPELTQQMWDAKNMMCAADPRHGRYLTASALFRGKMSTKEVDEQMMNVQ NKNSSYFVEWIPNNVKSSVCDIAPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRK AFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATVGEEEYEEDEEEYEEEA" gene <12332857..>12339330 /locus_tag="BRARA_H01050" /db_xref="Phytozome:Brara.H01050" mRNA join(<12332857..12333120,12333392..12333428, 12333458..12333612,12333727..12334011,12334090..12334287, 12334398..12334462,12334561..12334644,12334720..12334840, 12334945..12334989,12335082..12335219,12335344..12335416, 12335526..12335602,12335686..12335793,12335870..12335986, 12336083..12336238,12336317..12336421,12336502..12336627, 12336731..12336808,12336909..12337004,12338027..12338107, 12338207..12338506,12338585..12339007,12339095..>12339202) /locus_tag="BRARA_H01050" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01050" CDS join(12332857..12333120,12333392..12333428, 12333458..12333612,12333727..12334011,12334090..12334287, 12334398..12334462,12334561..12334644,12334720..12334840, 12334945..12334989,12335082..12335219,12335344..12335416, 12335526..12335602,12335686..12335793,12335870..12335986, 12336083..12336238,12336317..12336421,12336502..12336627, 12336731..12336808,12336909..12337004,12338027..12338107, 12338207..12338506,12338585..12339007,12339095..12339202) /locus_tag="BRARA_H01050" /codon_start=1 /product="hypothetical protein" /protein_id="RID50311.1" /db_xref="Phytozome:Brara.H01050" /translation="MDYKQNQTYIDADDKEITQEDDDEEITQEDAWTVISAYFEEKGL VRQQLDSFDEFIQNTMQEIVDESSDVEIRAESQHNPGHQPDFAERNYNISFGQIYLKT ATLFPKAARLRNLTYSAPLYVDVCKTVIMKGHDGEEITETQDFTKVFIGKVPIMLRST YCTLHQNSEKDLTELGECPFDQGGYFIINGSEKVLIAQEKMSSNHVYVFKKRQPNKYS YVAEVRSMAENQNRPPSTMFVHMLSGSKGGSSGQFIRCTLPYIKKEIPIIIVFRALGF VADKDILERICYEFGDTQMMELLRPSLEEAFVIQSQQVALDYIGKRGAPVGTSKEKRI KYARDILQKEMFPHIGVGEFETPKAYYFGLIIHRLLRCALGRNPEDDRDHYGNRRLDL AGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKEVNLHFAIKAKTITSGLKYALATGNW GQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSQWGMMCPA ETPEGQACGLVKNLALMVYVTVGSAAYPILIFLEEWGLETLEEISPADIPQATKIFVN GKWVGIHRIPDMLVRTLRLLRRRNDIKTEVSVVRDIRLKELRIYTDYGRCSRPLFIVD NQRLLIKKKDIYSLQQRESAEEDGWHHLLVKGFIEYVDTEEEETTMISMTINDLVQAR LRPDEAYSDTYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNY QFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGIAQGKTSRYTRRDHSLSLRHSES GMVDQVLLTTNADGLKFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQDDMPWTIE GVTPDIIVNPHAIPSRMTIGQLIECIMGKVAAQMGKEGDATPFTDVTVDNISKALHEC GYQMRGFERMYNGHTGRPLPAMIFIGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAE GRSRDGGLRFGEMERDCMIAHGAASFLKERLFDQSDAYRVHVCETCGLIAIANLKNNT FECKGCKNTTDIVQVHIPYACKLLFQELMSMAIAPRMLTKDLKSAKGRK" mRNA join(12337985..12338107,12338207..12338506, 12338585..12339007,12339095..12339330) /locus_tag="BRARA_H01050" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01050" CDS join(12338096..12338107,12338207..12338506, 12338585..12339007,12339095..12339202) /locus_tag="BRARA_H01050" /codon_start=1 /product="hypothetical protein" /protein_id="RID50312.1" /db_xref="Phytozome:Brara.H01050" /translation="MVDQVLLTTNADGLKFVKVRVRSVRIPQIGDKFSSRHGQKGTVG MTYTQDDMPWTIEGVTPDIIVNPHAIPSRMTIGQLIECIMGKVAAQMGKEGDATPFTD VTVDNISKALHECGYQMRGFERMYNGHTGRPLPAMIFIGPTYYQRLKHMVDDKIHSRG RGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAASFLKERLFDQSDAYRVHVCET CGLIAIANLKNNTFECKGCKNTTDIVQVHIPYACKLLFQELMSMAIAPRMLTKDLKSA KGRK" gene complement(<12341360..>12341950) /locus_tag="BRARA_H01051" /db_xref="Phytozome:Brara.H01051" mRNA complement(join(<12341360..12341818,12341828..>12341950)) /locus_tag="BRARA_H01051" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01051" CDS complement(join(12341360..12341818,12341828..12341950)) /locus_tag="BRARA_H01051" /artificial_location="low-quality sequence region" /codon_start=1 /product="hypothetical protein" /protein_id="RID50313.1" /db_xref="Phytozome:Brara.H01051" /translation="MNNSHILVRRNRQVNREKPPPRICPRCNSDNIRFCYYNNYSPRY TCKNCRRLWTHGGTLRNISAGGSRIDQTSVAQVVPVETQQVNHHQPFLHGQETNDFLG SIGGSSSSAAVVGNHFGSLPETHGDMVFPFRSYPPMNRPVFNDGSFPQGYYHVGHVNN HNSYRVNQEDPNKPRQRFNNTMSMNHNTSTSGS" gene complement(<12346150..>12348154) /locus_tag="BRARA_H01052" /db_xref="Phytozome:Brara.H01052" mRNA complement(join(<12346150..12346475,12347071..12347330, 12347688..>12348154)) /locus_tag="BRARA_H01052" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01052" CDS complement(join(12346150..12346475,12347071..12347330, 12347688..12348154)) /locus_tag="BRARA_H01052" /codon_start=1 /product="hypothetical protein" /protein_id="RID50314.1" /db_xref="Phytozome:Brara.H01052" /translation="MSSVAQLFKNNPVNHDRIIPLDFTNTKTLPDSHVWSKPELEPEP MTRPIPVISISSPEKVLLRHACEEWGVFHITDHGVPLSLLHNVECQMKRLFSLPMHRK ILAVRSPDESTGYGVVRISMFYDKLMWSEGFSVMDSSLRRHATLIWPDDHAEFCNVIE EYQKEMANLSHRLVSMVMGSLGLTHKELRWLVPNITGSRTDSSQSFLQLNSYPVCPDP DLAMGLAPHTDSSLLTILYQGNIPGLEIQNLQAEKSRWIGVEPVEGGLVVIMGDLSHI ISNGRFKSTMHRAVVNKTHHRVSAAYFSGPPKNLQIGPLTADTDHPPLYRRLTWEEYL AAKATHFNKALSLFRC" gene complement(12364581..12369325) /locus_tag="BRARA_H01053" /db_xref="Phytozome:Brara.H01053" mRNA complement(join(12364581..12365309,12365418..12365514, 12365626..12365679,12365774..12365892,12365960..12366002, 12366083..12366619,12366748..12366825,12366930..12367035, 12367147..12367214,12367384..12367541,12367624..12367834, 12367916..12368392,12368563..12369325)) /locus_tag="BRARA_H01053" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01053" CDS complement(join(12365035..12365309,12365418..12365514, 12365626..12365679,12365774..12365892,12365960..12366002, 12366083..12366619,12366748..12366825,12366930..12367035, 12367147..12367214,12367384..12367541,12367624..12367834, 12367916..12368392,12368563..12368871)) /locus_tag="BRARA_H01053" /codon_start=1 /product="hypothetical protein" /protein_id="RID50315.1" /db_xref="Phytozome:Brara.H01053" /translation="MYNNSNRVQVYLEDGRVGEMEICPPRESHQEDHVMKQRRVMEKV KMGMGIRISRFSQPSERCPPLAVLATVSSCGLCFKLEASPSPAQEQLSLLYSSCLRGN KTAVMSLGEEELHLVAMYAENINNDRPCFWAFTVAPGIYDSCLVMLNLRCLGIVFDLD ETLVVANTTRTFEDKIEGLQRRINNEGDPQRIAAMVAEMKRYQDDRNLLKQYIESDQV IDNGEVVKVQSELVPALSENHQPLVRPLIRLPEKNIILTRINPMIRDTSVLVRMRPSW EELRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEGNLINASDLLARIVCVKSGF KKSLVNVFPDATCHPKMAMVIDDRLKVWEEKDQPRVYVVPAFVPYYSPQAEAAATPVL CVTRNVACRVRGGFFRDFDDSLLQRIAEISYENDVEDIPSPPDVSHYLVPEDETSGLN ENKDPLSLDGMAEVERRLKEAISVVLPAANIDPRIAAPVQYPMASASSVSAPVQVAVP VVQQAPQPSAMAFPSIQFQQPIAIAKLLVPSEPSLQSSPAREEGEVPESELDPDTRRR LLILQHGQDSRDAAPSEPPFPQRPPVQAPPPQAQPRNGWFPVEDMDPATLRRTVSKEY PVDSERPRHQSDRMPHENRRLPKELRPNNNLPGSHPFYGEEASWNQSSSRISDIGRSV SATENPAEALHEIAIKCGTKVDYRPGLVASTDLRFSVEAWFSGKKVGEGIGKSRREAL QKAAELSLQNLADIYLSVANGDAGPSHRDAIASPLANGNMIMGGNANTFDNLPFARDE TAMAVPSRSMLPLHKRQGSPRSFGGMSNKRLKPDFQRSSMQRMPS" gene 12375544..12380285 /locus_tag="BRARA_H01054" /db_xref="Phytozome:Brara.H01054" mRNA join(12375544..12375785,12375884..12375991, 12376065..12376193,12376274..12376336,12376801..12376908, 12377408..12377485,12377852..12377944,12378034..12378120, 12378229..12378330,12378412..12378531,12378630..12378692, 12378852..12378997,12379093..12379174,12379318..12379422, 12379666..12379757,12379847..12379916,12380003..12380285) /locus_tag="BRARA_H01054" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01054" CDS join(12375561..12375785,12375884..12375991, 12376065..12376193,12376274..12376336,12376801..12376908, 12377408..12377485,12377852..12377944,12378034..12378120, 12378229..12378330,12378412..12378531,12378630..12378692, 12378852..12378997,12379093..12379174,12379318..12379422, 12379666..12379757,12379847..12379916,12380003..12380062) /locus_tag="BRARA_H01054" /codon_start=1 /product="hypothetical protein" /protein_id="RID50316.1" /db_xref="Phytozome:Brara.H01054" /translation="MTVVSTTVPHVNSVVPNGDAFNGNVIPSSKKSRESDRRRRRRKQ KKNNKASRADAEEADVSGASDSKENADPQPQVEIEYVPEQPELEDGFSDEFKQIFEKF SFKELVASEDDAKKDESEENKDVKKKVNSDSEDEEDQGNEQKEKGISNKQKKLERRMK IAELKQVSARPDVVEVWDATSADPKLLVFLKSYRNTVPVPRHWSQKRKYLQGKRGIEK APFHLPDFIAATGIQKIRQAYIEKEDGKKLKQKQRERMQPKMGKMDIDYQVLHDAFFK YQTKPKLSALGDLYFEGKEFEVKLRETKPGTLSHGLKEALGMGEGAPPPWLINMQRYG PPPSYPHLKIPGLNAPIPQGASFGYHAGGWGKPPVDEFGRPLYGDVFGVQQQDQPNYE DEPIDKSKHWGDLEEEEEEEEEEEEEEQEEEMDEEELEDGMESVDTMSSTPTGIETPD AIELRKEQRKEPDRALYQVLEEKGESVAPGTLLAPTHTYVIKTGTQDKPGAKRVDLLK GQKTDRVDVSLQPEELDALENVLPAKYEEAREEEKLRNKPEDFSDMVAENSKKRKRDK EGKKKKDFKF" gene complement(<12381035..>12381496) /locus_tag="BRARA_H01055" /db_xref="Phytozome:Brara.H01055" mRNA complement(<12381035..>12381496) /locus_tag="BRARA_H01055" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01055" CDS complement(12381035..12381496) /locus_tag="BRARA_H01055" /codon_start=1 /product="hypothetical protein" /protein_id="RID50317.1" /db_xref="Phytozome:Brara.H01055" /translation="MLLSIYIQSNFLTKPTLTKITNMFTKPIILASLLLIVFVSATHS ARHKSGNDGSGFGGVPGFDGIPGFGNGFPGTGVGGGYGGGYGGPSGGFGKGGVVRPTV TCRVKGPCNGKKLRCPAKCFSSFSRSGKGYGGGGGGGGCTMDCKKKCIAYC" gene complement(12385333..12386673) /locus_tag="BRARA_H01056" /db_xref="Phytozome:Brara.H01056" mRNA complement(join(12385333..12385527,12385789..12385898, 12386251..12386358,12386440..12386673)) /locus_tag="BRARA_H01056" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01056" CDS complement(join(12385459..12385527,12385789..12385898, 12386251..12386358,12386440..12386611)) /locus_tag="BRARA_H01056" /codon_start=1 /product="hypothetical protein" /protein_id="RID50318.1" /db_xref="Phytozome:Brara.H01056" /translation="MIAEAETKDTMEEIQTQKKEEEEDEDEEEGPPPGWESTVLPPTP ISAVTTATAAEISEMAQMVCGSCRRLLSYPRGTKHVKCSCCQTVNLVLEAYQVGQVKC SNCELLLMYPYGAPSVRCSSCKSVTDIREDNKRPPWSVLQGPLKTFSSVR" gene complement(<12387238..>12388376) /locus_tag="BRARA_H01057" /db_xref="Phytozome:Brara.H01057" mRNA complement(join(<12387238..12387663,12387707..12388080, 12388133..>12388376)) /locus_tag="BRARA_H01057" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01057" CDS complement(join(12387238..12387663,12387707..12388080, 12388133..12388376)) /locus_tag="BRARA_H01057" /codon_start=1 /product="hypothetical protein" /protein_id="RID50319.1" /db_xref="Phytozome:Brara.H01057" /translation="MLTSHGARLELLLLKKRLSVKLLLPDDVIELILERLPVMSLLRF KSVSKKWKSTVEDRRFQERQLLCRKQSRGPDFLFMSLQIVYNMWFRSLCWSICHGSCD SLVCLYDTRVGVVVANPATRWYQTFPLARIQHYMSRHGVSVSPSPKLGFGKDKLNGTY KPVFLYNSFGFGLDNVTTCEVFDVSTNAWRYVHPASLYRINAYNNPKIYLSFDLHNQT FQVICKAPIAHVCDPSSVSMCILDNSLFVSENNWSTLEIWSLDCSRGNTKTWKKMCSV GLIKRVSFFRECALLPIAILDKTKLLLEGHECTHQLVMHVLHTINDEILYRSKKPIDS FCYIQSLFSALSN" gene complement(<12393479..>12395483) /locus_tag="BRARA_H01058" /db_xref="Phytozome:Brara.H01058" mRNA complement(join(<12393479..12393597,12393705..12393778, 12393910..12393977,12394136..12394228,12394306..12394425, 12394581..12394636,12394726..12394800,12394889..12395069, 12395370..>12395483)) /locus_tag="BRARA_H01058" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01058" CDS complement(join(12393479..12393597,12393705..12393778, 12393910..12393977,12394136..12394228,12394306..12394425, 12394581..12394636,12394726..12394800,12394889..12395069, 12395370..12395483)) /locus_tag="BRARA_H01058" /codon_start=1 /product="hypothetical protein" /protein_id="RID50320.1" /db_xref="Phytozome:Brara.H01058" /translation="MGLSLRTWVASILLLTHLVHGALGWGVDGHYAVCKIAQSYFEQK TLVAVKKILPAYANGELAAVCSWPDEIKRRPEWSWTYALHFVNTPDNECNYEYSRDCH NDKCVTGAIFNYTSQLMFQFHYNLTEALMFVSHFMGDIHQSLMWIDFGFCSQPLHEGF VGDQGGNKIKLNWYEQETNLHRVWDNKIIESAQEKYYNSSLSVMIHSLQHKLKYAWSN DVPSWESCPPHETACPNPYASESIDLACKYAYKNAAPGTTLGDDYFLSRLPIVEKRIA QGGIRLAATLNRIFSPKPSLAAA" gene complement(12399144..>12401291) /locus_tag="BRARA_H01059" /db_xref="Phytozome:Brara.H01059" mRNA complement(join(12399144..12399442,12399804..12399876, 12400115..12400207,12400383..12400466,12400576..12400631, 12400730..12400825,12400918..12401098, 12401178..>12401291)) /locus_tag="BRARA_H01059" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01059" CDS complement(join(12399324..12399442,12399804..12399876, 12400115..12400207,12400383..12400466,12400576..12400631, 12400730..12400825,12400918..12401098,12401178..12401291)) /locus_tag="BRARA_H01059" /codon_start=1 /product="hypothetical protein" /protein_id="RID50321.1" /db_xref="Phytozome:Brara.H01059" /translation="MGLTLRMWVASLLLLTHLLHGALCWGDDGHYAVCKIAQGYFEEE TVVAVKKLLPAYADGELAAVCSWPDEIKRLPQWKWTAALHFADTPDDKCNYDYSRDCP NDWCVTGAIFNYTNQLMSASKDSQSIVHYNLTEALMFLSHYMGDIHQPLHEGFLGDLG GNKVKVNWYNQETNLHRVWDDMIIESALEKYYFSSLSVMIHALQDKLKISSESIDLAC KYAYRNATAGTTLGDYYFHSRLPVVEKRIAQGGIRLAATLNRIFSVKPKLATA" gene 12410284..12411918 /locus_tag="BRARA_H01060" /db_xref="Phytozome:Brara.H01060" mRNA join(12410284..12410869,12410947..12411069, 12411157..12411447,12411531..12411918) /locus_tag="BRARA_H01060" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01060" CDS join(12410573..12410869,12410947..12411069, 12411157..12411447,12411531..12411734) /locus_tag="BRARA_H01060" /codon_start=1 /product="hypothetical protein" /protein_id="RID50322.1" /db_xref="Phytozome:Brara.H01060" /translation="MREYSGAGNTMMGLTKLKPPQITFYCSAFSVLITLHLTIQLVSQ HLFHWKNPKEQKAILVIVLMAPIYALVSFVGLLDVKGSETFFLFLESIKECYEALVIA KFLALMYSYLNISISKNIVPDGIKGREIHHSFPMTLFQPHVVRLDHRTLKLLKYWTWQ FVVIRPVCSILMIALQIVGFYPSWLSWTFTIVLNVSVSLALYSLVIFYHVFAKELAPH NPLAKFLCIKGIVFFCFWQGIALDILVAMGVIKSHHFWLEVEQIQEAIQNVLVCVEMV IFAAVQKHAYHVGPYSGETKKKLDKKTE" gene 12412375..12413851 /locus_tag="BRARA_H01061" /db_xref="Phytozome:Brara.H01061" mRNA join(12412375..12412481,12412605..12412739, 12412986..12413851) /locus_tag="BRARA_H01061" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01061" CDS 12413048..12413677 /locus_tag="BRARA_H01061" /codon_start=1 /product="hypothetical protein" /protein_id="RID50323.1" /db_xref="Phytozome:Brara.H01061" /translation="MEVKLWNDKRERETYENFSELYAIIKATEKLEKAYIRDLISPSD YDTECQKLIVHFKTLSASLKDLVPSIERFAETYKMDCSAAVYRLVTSGVPATVEHRAA AVASTSSSASVVAECVQNFITSMDSLKLNMVAVDQVYPLLSDLSASLSKLSILAPDFE GKVKMKEWLVRLSKMGASDELTEQQARQLHFDLESSYNSFMAALPSAGN" gene complement(12414045..12416812) /locus_tag="BRARA_H01062" /db_xref="Phytozome:Brara.H01062" mRNA complement(join(12414045..12414390,12414487..12414609, 12414693..12414950,12415132..12415202,12415299..12415376, 12415463..12415549,12415750..12415822,12415974..12416074, 12416150..12416272,12416398..12416812)) /locus_tag="BRARA_H01062" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01062" CDS complement(join(12414196..12414390,12414487..12414609, 12414693..12414950,12415132..12415202,12415299..12415376, 12415463..12415549,12415750..12415822,12415974..12416074, 12416150..12416272,12416398..12416719)) /locus_tag="BRARA_H01062" /codon_start=1 /product="hypothetical protein" /protein_id="RID50324.1" /db_xref="Phytozome:Brara.H01062" /translation="MAPAEVIVVDLVLIDGELGMVKLTANGVLEAIEYGEPSRYWTVK KDVLGFVVEGKYIRIKTVVEREEGICCGEFGGDYSRKDFVFEPFSEDAKNRFCFKLRH YLDSLGRPKRLLVFVNPFGGKKSAIKIFEKEVKPLFEDADIQLDVQETKYQLHAKEMV RSMDVSKYDGIVCVSGDGVLVEVVNGLLQRSDWQTVFKLPIGVIPAGTGNGMIKSLLD AVGLQCCANSATISIIRGHTRSLDVATISQGNTKFFSVLMLAWGLVADIDIESEKFRW MGSARMDFYAIQRIISLRQYNGRVLFLPAPGFESYGQPTSYRLHKEPPVKVLGYQGPD TKFEDVEWREIKGPFVSVWLHNVPWGAENNLVAPAAKFSDGFLDLIVVKNCPKLALLS LMTQISEGTHVQSPYVAYLKVKAFALEPGALVDEPDKEGIIDADGEVLARGRRTYKCE QIALMSYDKLQITVDQGLATLFSPEY" gene complement(12419098..12421569) /locus_tag="BRARA_H01063" /db_xref="Phytozome:Brara.H01063" mRNA complement(join(12419098..12419399,12419516..12419635, 12419732..12419809,12420067..12420185,12420285..12420366, 12420446..12420516,12421040..12421569)) /locus_tag="BRARA_H01063" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01063" CDS complement(join(12419340..12419399,12419516..12419635, 12419732..12419809,12420067..12420185,12420285..12420366, 12420446..12420516,12421040..12421343)) /locus_tag="BRARA_H01063" /codon_start=1 /product="hypothetical protein" /protein_id="RID50325.1" /db_xref="Phytozome:Brara.H01063" /translation="MTMTTEEKPASDGRGWGIFKIPFRNSHGNASSAATSPFPSGASS SSSHLHHHHHGYNGPHGDGSGQNQHPTPSPSVSSVAKSLLPVKRRLKLDPSEKLYFPY EPGKQVRSAIKIKNTSKSHVAFKFQTTEPKSCFMRPPGAILAPGETIIATVFKFVEPP ENNEKPVDQRSRVKFKIMSLKVKGPMDYVPELFDEQKEDVSKEQILRVIFLDPERPNP ALEKLKRQLAEADAAVEARKKPPEETGPKMIGEGLVIDEWKERRERYLAQQQGEGVDS V" gene <12449596..>12450812 /locus_tag="BRARA_H01064" /db_xref="Phytozome:Brara.H01064" mRNA join(<12449596..12449728,12449831..12449960, 12450044..>12450812) /locus_tag="BRARA_H01064" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01064" CDS join(12449596..12449728,12449831..12449960, 12450044..12450812) /locus_tag="BRARA_H01064" /codon_start=1 /product="hypothetical protein" /protein_id="RID50326.1" /db_xref="Phytozome:Brara.H01064" /translation="MGRSPCCEKNGLKKGPWTSEEDQKLLDYIQKHGYGNWRTLPKNA GLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIHLHSFLGNKWSAIAARLPGRTDN EIKNFWNTHIRKKLLRMGIDPVTHSPRLDLLDVSSILASSLHNSSSDHLKMSRLMMDA HRQHQQQQPLINPEILKLATSLFSQNHNQNFVMDHESKIHDNHTAYHHDVNQIGVNQY QTDHQELQYCLPPFPNEAHFNDMDHHGEHTFASNSSTSVQDCNIQPFNDYASSSFVLD HSYLDQSFNFADSVLNTPSSSPSMLNSSAMAYINSSSCSTEDEMENYCNNLMKFDIPN FLDVDGFIL" gene <12471047..>12475173 /locus_tag="BRARA_H01065" /db_xref="Phytozome:Brara.H01065" mRNA join(<12471047..12471184,12473137..12473251, 12474419..12474674,12475092..>12475173) /locus_tag="BRARA_H01065" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01065" CDS join(12471047..12471184,12473137..12473251, 12474419..12474674,12475092..12475173) /locus_tag="BRARA_H01065" /codon_start=1 /product="hypothetical protein" /protein_id="RID50327.1" /db_xref="Phytozome:Brara.H01065" /translation="MEIGGAIPVQETGSGFQKPVKPDGFVTIDVESFSPVLHTDFFSS SPLHRSVSRKGSSRNNNERKLHGDSNSNDKETSFPQIPLRGSSAPEKPSTVGPIDHAG TVTTANTAVPSSPLHQITITTSAATAGNMISDQNKERRFGFARKSSFKRSHTSWMLNP KKILLFCATLSSMGSILLIIFTLSISKSNPGDMPLD" gene complement(12482850..>12487553) /locus_tag="BRARA_H01066" /db_xref="Phytozome:Brara.H01066" mRNA complement(join(12482850..12483192,12483394..12483715, 12487099..>12487553)) /locus_tag="BRARA_H01066" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01066" CDS complement(join(12482953..12483192,12483394..12483715, 12487099..12487553)) /locus_tag="BRARA_H01066" /codon_start=1 /product="hypothetical protein" /protein_id="RID50328.1" /db_xref="Phytozome:Brara.H01066" /translation="MDPPFNETYKTLLYKQIKNTENSVPQTPFSFHVTAVVEEVELPL IDVSRLINGAKKEREKCKQDIASASREWGFFQVINHGISMDVLEKMRQEQIRVFREPF DKKSKSEKFSTGSYRWGTPSATCVRQLSWSEAFHVPMTDISDNKYFTTLSSTMEKFAS ESEALAYSLAEVLAEKSGRKSSFFKKTCVRNTCYLRMNRYPPCPNPSEVYGLMPHTDS DFLTILYQDQVGGLQLIKDNRWIAVKPNPRALIINIGDLFQAWSNGMYKSVEHRVMTN PTVERFSTAYFLCPSYDAVIECSGDCPAYRNFSFGEFRQQVQEDVKKLGYKVGLPRFI NDIY" gene complement(12502182..12503417) /locus_tag="BRARA_H01067" /db_xref="Phytozome:Brara.H01067" mRNA complement(join(12502182..12502544,12502644..12502748, 12503098..12503173,12503351..12503417)) /locus_tag="BRARA_H01067" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01067" CDS complement(join(12502452..12502544,12502644..12502748, 12503098..12503142)) /locus_tag="BRARA_H01067" /codon_start=1 /product="hypothetical protein" /protein_id="RID50329.1" /db_xref="Phytozome:Brara.H01067" /translation="MHPPLTPHRHPLCLEIIEEFQKCHLEHPIGKFFGECTELKVKLD RCFRQEKAVKRKVNFERSKKLQERLKTIRKEETAET" gene complement(12503643..12506295) /locus_tag="BRARA_H01068" /db_xref="Phytozome:Brara.H01068" mRNA complement(join(12503643..12504120,12504198..12504293, 12504377..12504499,12504581..12504722,12504807..12504970, 12505167..12505242,12505344..12505425,12505608..12505669, 12506097..12506295)) /locus_tag="BRARA_H01068" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01068" mRNA complement(join(12503643..12504120,12504198..12504293, 12504377..12504499,12504581..12504722,12504807..12504970, 12505167..12505242,12505344..12505425,12505608..12505734)) /locus_tag="BRARA_H01068" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01068" CDS complement(join(12503839..12504120,12504198..12504293, 12504377..12504499,12504581..12504722,12504807..12504970, 12505167..12505242,12505344..12505425,12505608..12505632)) /locus_tag="BRARA_H01068" /codon_start=1 /product="hypothetical protein" /protein_id="RID50330.1" /db_xref="Phytozome:Brara.H01068" /translation="MSHSAASSGKRRIRDLLTQSDNRVCADCGAPDPKWASANIGVFI CLKCCGVHRSLGTHVSKVLSVTLDEWSDEEVDSMIEIGGNASANSIYEAFIPDGSSKP GPDASHDHRMRFIRSKYEFQEFLKPSLRITSGKTSSSSYRSSSLSSNIIDSFRTTSSS QKPQLEGMVEFIGSLKVTLKKGTNLAIRDMMTSDPYVVLTLGQQTAQSTVMKSNLNPV WNEELMLSVPHDYGSVKLQVFDYDTFSADDIMGEAEIDIQPLITSAMAFGDPEMFGDM QIGKWLKSNDNALIEDSIINIADGKVKQEVQIKLQNVESGELELELEWLPLEQ" CDS complement(join(12503839..12504120,12504198..12504293, 12504377..12504499,12504581..12504722,12504807..12504970, 12505167..12505242,12505344..12505425,12505608..12505632)) /locus_tag="BRARA_H01068" /codon_start=1 /product="hypothetical protein" /protein_id="RID50331.1" /db_xref="Phytozome:Brara.H01068" /translation="MSHSAASSGKRRIRDLLTQSDNRVCADCGAPDPKWASANIGVFI CLKCCGVHRSLGTHVSKVLSVTLDEWSDEEVDSMIEIGGNASANSIYEAFIPDGSSKP GPDASHDHRMRFIRSKYEFQEFLKPSLRITSGKTSSSSYRSSSLSSNIIDSFRTTSSS QKPQLEGMVEFIGSLKVTLKKGTNLAIRDMMTSDPYVVLTLGQQTAQSTVMKSNLNPV WNEELMLSVPHDYGSVKLQVFDYDTFSADDIMGEAEIDIQPLITSAMAFGDPEMFGDM QIGKWLKSNDNALIEDSIINIADGKVKQEVQIKLQNVESGELELELEWLPLEQ" gene complement(12515168..12517115) /locus_tag="BRARA_H01069" /db_xref="Phytozome:Brara.H01069" mRNA complement(join(12515168..12515391,12515487..12517115)) /locus_tag="BRARA_H01069" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01069" CDS complement(12515489..12516493) /locus_tag="BRARA_H01069" /codon_start=1 /product="hypothetical protein" /protein_id="RID50332.1" /db_xref="Phytozome:Brara.H01069" /translation="MCARHIYGNLRRVYPGKELPKDLFWAVAKSFNVGEYEIALKQLK NFDVRVYEAVLLKNPHNFSRAFFSSTPVCEDVSNNFSESYNNTLNTAREMPLVEMLET VRRQAMIRMDMRKTKAFRWKGKYSEKVANTIKAEKKHLFECRVIPSGNGIYEVGENNH PHTVDMGAKTCVCRRWSMTGIPCRHALRVILKKKLDPLDYVSHWYLTSTWRKQYNDPI CPVNGINFWRSSGEATIQPPPRDVPQQTKQQKKRIKGKNESPQKKKKGKGVEAPTKKR VKLTIEGKTTHCGRCGVGGHNSRKCPNHGCPVYRPRKQPSGLSQDEGPSQASQNSNLG " gene complement(12518881..12522407) /locus_tag="BRARA_H01070" /db_xref="Phytozome:Brara.H01070" mRNA complement(join(12518881..12519715,12520119..12520781, 12521914..12522407)) /locus_tag="BRARA_H01070" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01070" CDS complement(join(12518994..12519715,12520119..12520781, 12521914..12522322)) /locus_tag="BRARA_H01070" /codon_start=1 /product="hypothetical protein" /protein_id="RID50333.1" /db_xref="Phytozome:Brara.H01070" /translation="MGSENGDDGLRRRGCSCTKDDFLPEESFKSMGNYFKALKETPSR FVDRLLTRSQDSVEIHDMKARSGHEMKKTLTWWDLMWFGVGAVIGSGIFVLTGQEARD SAGPAVVVSFVVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFIAAGNII LQYIVGGAAVARSWTSYFATLLNHKPDDFRIVANSLHEDYNHLDPISVGVCVIICVLA AIGTKGSSVFNYIASIIHMLVILFIVIAGFIRADFKNYSDFAPFGARGVFKSASVLFF AYIGFDAVSTMAEETKNPGRDIPIGLVGSMVLTTVCYCLMAAALCLMQPYGMIDPDAP FSVAFSAVGWDWAKYLVAFGALKGMTTVLLVGAIGQARYMTHIARAHMMPPWLAHVNA KTGTPINATVIMLTATALIAFFTKLGILADLLSVSTLFIFMLVAVALLVRRYYVTGET SSSDRTKFLVFLGLILASSAATAVYWALEKDSWIGYCVTVPIWFLSTAGMKFLVAQAR APKLWGVPLVPWLPSASIAINIFLLGSIDAKSYIRFAIWIGVLLVYYFLFGLHATYDT AKETLKEKMALQNAEEGSVAAESSGAATSNH" gene complement(12524803..12526410) /locus_tag="BRARA_H01071" /db_xref="Phytozome:Brara.H01071" mRNA complement(join(12524803..12525141,12525698..12525885, 12525957..12526054,12526262..12526410)) /locus_tag="BRARA_H01071" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01071" CDS complement(join(12524986..12525141,12525698..12525885, 12525957..12526050)) /locus_tag="BRARA_H01071" /codon_start=1 /product="hypothetical protein" /protein_id="RID50334.1" /db_xref="Phytozome:Brara.H01071" /translation="MPKIKTNRVKYPEGWELIEPTLRELDAKMREAEMDEHDGKRKCE ALWPIFKLSHQRSRYVYDLYYRREEISKELYEFCLDQGYADRSLIAKWKKSGYERLCC LRCIQPRDHNYGTTCVCRVPKHLREEKVVECVHCGCQGCASGD" gene complement(12526644..12527774) /locus_tag="BRARA_H01072" /db_xref="Phytozome:Brara.H01072" mRNA complement(join(12526644..12526882,12527147..12527308, 12527650..12527774)) /locus_tag="BRARA_H01072" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01072" CDS complement(join(12526835..12526882,12527147..12527305)) /locus_tag="BRARA_H01072" /codon_start=1 /product="hypothetical protein" /protein_id="RID50335.1" /db_xref="Phytozome:Brara.H01072" /translation="MLTETPFRPREKLLEKQRLFQSIQRHTYLKGPMDKVTSVAIPLA LAASSLYMIGTGIYNMSNGIGKKE" gene 12527955..12534465 /locus_tag="BRARA_H01073" /db_xref="Phytozome:Brara.H01073" mRNA join(12527955..12528182,12528478..12528526, 12528677..12528736,12528820..12528911,12529232..12529283, 12529405..12529467,12529607..12529656,12529851..12529926, 12530137..12530346,12530446..12530552,12530657..12530765, 12530971..12531171,12531260..12531475,12531818..12531928, 12532111..12532386,12532469..12532743,12532837..12533185, 12533276..12533560,12533658..12534465) /locus_tag="BRARA_H01073" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01073" CDS join(12528079..12528182,12528478..12528526, 12528677..12528736,12528820..12528911,12529232..12529283, 12529405..12529467,12529607..12529656,12529851..12529926, 12530137..12530346,12530446..12530552,12530657..12530765, 12530971..12531171,12531260..12531475,12531818..12531928, 12532111..12532386,12532469..12532743,12532837..12533185, 12533276..12533560,12533658..12534239) /locus_tag="BRARA_H01073" /codon_start=1 /product="hypothetical protein" /protein_id="RID50336.1" /db_xref="Phytozome:Brara.H01073" /translation="MSVWNYVVTAQKPTSVTHSCVGNFTSPQELNLIVAKCTRIEIHL LTPQGLQAILDVPLYGRIATLELFRPHGETQDFLFIATEGYKFCVLQWDSDSSELITR AMGDVSDRIGRQTDNGQIGIIDPDCRFIGLHLYDGLFKVVPFDNKGQLKEAYNIRLEE LQVLDIKFLYGCAKPTIAVLYQDNKDARHVKTYEVSQKEKDFVEGPWSQNNLDNGADL LIPVPSPLCGVLIIGEETIVYCSANALKAIPIRSSITKAYGRVDVDGSRYLLGDHAGL IHLLVITHEKEKVTGLKIELLGETSIASTISYLDNAVVFIGSSYGDSQLIKLNMQPDA NGSYVEIIEQYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRIVRNGIGINEQA SVELPGIKGMWSLKSSIDEAFDTFLVVSFISETRILAMNIEDELEDTEIEGFLSQVQT LFCHDAVYNQLVQITSNSVRLVSSTTRELRNKWDAPAGFTVNVATANASQVLLATGGG HLVYIEIGDGTLTEVKHAQLEYEVSCLDINPTGDNPNYSQLAAVGMWTDISVRIFVLP DLTLITKEQLGGEIIPRSVLLCAFEGISYLLCALGDGHLLNFQLDTRTGELRDRKKVS LGTQPITLRTFSSKSATHVFAASDRPSVIYSNNKKLIYSNVNLKEVSHMCPFNSGAFP DSLAIAREGELTIGTIDEIQKLHIRTIPIGEHARRICHQEQTRTFAICSLRNQPSAEE SEMHFVRLLDDRDFEFLATYPLDAFEYGCSILSCSFTDDKNVYYCVGTSYVLPEENEP TKGRILVFVVEEGKLQLVAEKETKGSVYSLNAFNGKLLAAINQKIQLYKWTLRDDGTR ELQSECGHHGHILALYVQTRGDFIVVGDLMKSISLLIYKHEEGAIEEIARDYNASWMT AVGILDDDTYLGADNCYNLFTVKRHSEAATDEERCRMEVVGEYHIGEFVNRFRHGSLV MRLPDSETSQIPTMIFGTVNGVIGVMASLPQEQYAFLEKLQTSMRKVIKGVGGLSHEQ WRSFKNEKRSADARNFLDGDLIESFMDMSRRKMEEISKEMDVQVEELCKRVEELTRLH " gene 12534718..12536623 /locus_tag="BRARA_H01074" /db_xref="Phytozome:Brara.H01074" mRNA join(12534718..12534830,12534966..12535057, 12535196..12535263,12535604..12535716,12535797..12535903, 12536008..12536057,12536142..12536224,12536324..12536623) /locus_tag="BRARA_H01074" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01074" CDS join(12535000..12535057,12535196..12535263, 12535604..12535716,12535797..12535903,12536008..12536057, 12536142..12536224,12536324..12536438) /locus_tag="BRARA_H01074" /codon_start=1 /product="hypothetical protein" /protein_id="RID50337.1" /db_xref="Phytozome:Brara.H01074" /translation="MLFHRLSRLGFRIAKELPKERHLSVCGRRILQRSYGQYLQSSAV TQMQTRTFQQAFFSNNHNLCTSFSTSSEKSGEETEKINVIFVDKDGEENHIKVPVGMN ILEAAHENDIELEGACECSLACSTCHVIVMDTEYYNKLEEPTDEENDMLDLAFALTET SRLGCQVIAKPEIDGIRLAIPSATRNFAVDGFVPKPH" gene complement(12537348..12541959) /locus_tag="BRARA_H01075" /db_xref="Phytozome:Brara.H01075" mRNA complement(join(12537348..12537754,12537843..12537961, 12538039..12538204,12538303..12538429,12538523..12538620, 12538700..12538886,12538970..12539070,12539152..12539874, 12539977..12540087,12540381..12540832,12541088..12541198, 12541277..12541347,12541450..12541503,12541648..12541959)) /locus_tag="BRARA_H01075" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01075" CDS complement(join(12537449..12537754,12537843..12537961, 12538039..12538204,12538303..12538429,12538523..12538620, 12538700..12538886,12538970..12539070,12539152..12539874, 12539977..12540087,12540381..12540832,12541088..12541198, 12541277..12541347,12541450..12541503,12541648..12541709)) /locus_tag="BRARA_H01075" /codon_start=1 /product="hypothetical protein" /protein_id="RID50338.1" /db_xref="Phytozome:Brara.H01075" /translation="MGDDGATHLEKMGRELKCPICLSLFNSAVSLTCNHVFCNACIVK SMKVDATCPVCKVPFHRREIRGAPHMDSLVSIYKNMEVASGVPMFVSQTKPSSPSEKE KHVGDGSIEKENGKKRQGSSKGRTSKKRGSRKTKETDVDSSGPIVMKPSSQTNKRVQL SQNHSSQSLTISTESAEKLKDYTDRTVIRLNEHPSLDKEENLAPLFWLRDEVDGESLS QPTESDQLLDVTPVDVPSFSDLKDSDHDTPSKAVEQEKPNPGDMFDSEMFEWTQRPCS PEILPSPVKAKDLGKGEIDLPQRKLSKDASSNKKRKAGSARNKVVNVRVGVSKEDHIA SSAGENIGEKQETGGTSGKSTRRDGNVKAKRATRNKGQTSGVQSGSVEAEGKQGTKKK RSSVKVSAEQPVAVSNELSVGTENVGKGDQEPEKQSPAEKPSLKKRRKSQTGDLSGRS EKKTSEKRSKLDSCATPSRVTQSRGKKILSDELNQAGDRQDSTNKKPSLGGSVHLRRC SGPPTNKFTCAFCQSSDETEASGKMSHYHKGEPVSADFSGGSNVIHVHKNCAEWAPNV YFNRRTAVNLDVELTRSQRITCSCCGLKGAALGCYNESCKNSFHVTCAKLIPECRWDD KNFVMLCPLDASSKLPCEEASPKGRKRKRAPEGPLQAQTNQVSEKSDISELQRKPFHG LPKKMVLCCSGLTDEENSVISEFAELSGVTVSRKWERRVTHVIASINENGACKRTLKF MMGILEGKWILSIDWIKACMKNGEYVSEEPYEISIDVHGTRQGPYIGRQRALNKEPKL FNGLKFYIMGDFEVAYKGYLQDMIVAAGGTILCRRPVCNDDNEASTIIVFNVEPSKKK TLTERRSDAEALARSVNARAASSSWVLDSIAGCQVLELI" gene 12541960..12543010 /locus_tag="BRARA_H01076" /db_xref="Phytozome:Brara.H01076" mRNA join(12541960..12542373,12542849..12543010) /locus_tag="BRARA_H01076" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01076" CDS join(12542211..12542373,12542849..12542874) /locus_tag="BRARA_H01076" /codon_start=1 /product="hypothetical protein" /protein_id="RID50339.1" /db_xref="Phytozome:Brara.H01076" /translation="MTDLLKFLQEILFREGIVVNYTRNSIISGRQLLQPPLLSVRRKM QPLNQDCLVDKRRQFSIT" gene complement(<12543844..>12544608) /locus_tag="BRARA_H01077" /db_xref="Phytozome:Brara.H01077" mRNA complement(<12543844..>12544608) /locus_tag="BRARA_H01077" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01077" CDS complement(12543844..12544608) /locus_tag="BRARA_H01077" /codon_start=1 /product="hypothetical protein" /protein_id="RID50340.1" /db_xref="Phytozome:Brara.H01077" /translation="MDYSSVFAERGNEVNQEKPPPRVCPRCNSTNTKFCYYNNHSVSQ PRYKCKECRRNWTHGGALRNIPIGGSGHKKKSTTIDQPFVSQAVSAEIQQVSRHRQPF LHAQETNQFVESFGGSSSGFDVDNHIGSFPEISGDGVLPFQSFPPMDRSYFHDGLFQQ DYYNAESNDLIGNHLINQSIGSYNVVSSNHNSYINQEDRDKWNQSLNNTMNMNHNAST SGSREWWDTDHKNKYKGKIKNNCVYESSYHLEKHGP" gene complement(<12549080..>12549313) /locus_tag="BRARA_H01078" /db_xref="Phytozome:Brara.H01078" mRNA complement(<12549080..>12549313) /locus_tag="BRARA_H01078" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01078" CDS complement(12549080..12549313) /locus_tag="BRARA_H01078" /codon_start=1 /product="hypothetical protein" /protein_id="RID50341.1" /db_xref="Phytozome:Brara.H01078" /translation="MNTSHVFVSANHQVNGEKPPPRICPRCNSDNTKFCYYTNSSLSQ PRYICKNCLRLWTHGKALRNIPIGSGGRKTKRI" assembly_gap 12557816..12567815 /estimated_length=unknown /gap_type="between scaffolds" assembly_gap 12568445..12578444 /estimated_length=unknown /gap_type="between scaffolds" gene complement(<12585141..>12585932) /locus_tag="BRARA_H01079" /db_xref="Phytozome:Brara.H01079" mRNA complement(<12585141..>12585932) /locus_tag="BRARA_H01079" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01079" CDS complement(12585141..12585932) /locus_tag="BRARA_H01079" /codon_start=1 /product="hypothetical protein" /protein_id="RID50342.1" /db_xref="Phytozome:Brara.H01079" /translation="MDYSSVLAEGGNEVNQEKPPPRVCPRCNSTNTKFCYYNNHSVSQ PRYKCKECRRNWTHGGALRNIPIGGSGRKKKSTMIDQPFVSQAVSAEIQQVSRRRQPF LHAQKTNQFVEYFGGSSYGFDVDNHVGSFPKICGDGVLPFQSFPPMDRSYFHDGLFQQ DYYNVESNDLIGNHLNNQSIGNHLINTSIGSYNVVSSNHNSYINQEHRDKWNQSLNNT MNMNHNASTSGSREWWDTDHMNNYNGNIKKNCVYESSYHLEKHGP" gene complement(<12589001..>12590152) /locus_tag="BRARA_H01080" /db_xref="Phytozome:Brara.H01080" mRNA complement(join(<12589001..12589597,12590009..>12590152)) /locus_tag="BRARA_H01080" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01080" CDS complement(join(12589001..12589597,12590009..12590152)) /locus_tag="BRARA_H01080" /codon_start=1 /product="hypothetical protein" /protein_id="RID50343.1" /db_xref="Phytozome:Brara.H01080" /translation="MASMQLARATLFSLSKAFPIVRSPLTLAASSTRKVSRVCFASSV SHSEGRDPVENARDSRADVPYGSKKWRENTEENYAQGAKDKANEGASKAADKAYETKE QAKGTAYEAKEKAKDYAELTKDEVNEGAYKAADKAEDTKERAKEKAEDTMDSAKAKAR DAKEKVKDYGEETKEKAEGFKETVKGKAEELGEKTKETVKGAWENTKDSARTVTEAVV GPEEDADEARADIDKGVEDLTKKAEKKR" gene complement(<12595830..>12597765) /locus_tag="BRARA_H01081" /db_xref="Phytozome:Brara.H01081" mRNA complement(join(<12595830..12595904,12595988..12596041, 12596124..12596282,12596371..12596473,12596555..12596661, 12596755..12596915,12597483..12597581, 12597672..>12597765)) /locus_tag="BRARA_H01081" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01081" CDS complement(join(12595830..12595904,12595988..12596041, 12596124..12596282,12596371..12596473,12596555..12596661, 12596755..12596915,12597483..12597581,12597672..12597765)) /locus_tag="BRARA_H01081" /codon_start=1 /product="hypothetical protein" /protein_id="RID50344.1" /db_xref="Phytozome:Brara.H01081" /translation="MDPNAKTIISFALFFLYLCFSNISLARGAEVDDETPFTYEQNPE KGPEGWGKINPHWKTCNTGKYKIELDTGFHNPNRKFQSPIDLTNARVSIIRDEAWRRQ YKPAPAVIVNRGHDVMVSWKGDAGKITIRRTEYKLVQCHWHSPSEHTVNGTRYDMELH MVHTSAGGKTAVIGVLYKLGKPNEFLTRLLDGIKTVGKEERDLGIVEPRTIRFQTKKF YRYIGSLTVPPCTEGVIWTVVKRVNTISMEQIAALRSAVDDGYETNSRPVQERNGRSV WFYDPNV" gene complement(<12598569..>12599222) /locus_tag="BRARA_H01082" /db_xref="Phytozome:Brara.H01082" mRNA complement(join(<12598569..12598643,12599004..>12599222)) /locus_tag="BRARA_H01082" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01082" CDS complement(join(12598569..12598643,12599004..12599222)) /locus_tag="BRARA_H01082" /codon_start=1 /product="hypothetical protein" /protein_id="RID50345.1" /db_xref="Phytozome:Brara.H01082" /translation="MSSSVEVSVPKRLPRIEIQETGPILHISLVTSLEHKFMFHEIIR ILTEELGAGLTHAGYSIVDDAVFHIFDCKVEDCDFGATSRISENLKKLVNSVN" gene complement(12602797..12604757) /locus_tag="BRARA_H01083" /db_xref="Phytozome:Brara.H01083" mRNA complement(join(12602797..12603784,12604222..12604757)) /locus_tag="BRARA_H01083" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01083" CDS complement(join(12602882..12603784,12604222..12604599)) /locus_tag="BRARA_H01083" /codon_start=1 /product="hypothetical protein" /protein_id="RID50346.1" /db_xref="Phytozome:Brara.H01083" /translation="MQIACLPIPISSITPRTSIPASPVSSNHRYIFNPASFQNPKHSF FTLSLKRSHRSRTGFKAPVLAAMRSEEAIDDDDAFYMRRCVELAKRATGCTSPNPLVG CVIVKDGKIVGEGFHPKAGQPHAEVFALRDAGDLAENATAYVSLEPCNHFGRTPPCTE ALIKAKVKRVVVGMVDPNPIVSSSGITRLTDAGIDVTVGVEEDLCKKMNEGFIHRMLT GKPFLALRYSMSVNGCFLDKIGEGASDTGGYYSKLLQEYDAVILSSSLSDKLSSISSQ EEANVSIQPIQIIVASNAQQSPILASSNMVEDSGLKVVVFTKEDMVAESGVETVVLES INLASILDYCYRRGLCSVLFDLRGDIKDLEVLLRDGFEQKLLQKIVVEVLPEWCVKDE ERQVTLSMDWLESKAVEDLQPKQLGGSVLLECYL" gene complement(12606084..12611050) /locus_tag="BRARA_H01084" /db_xref="Phytozome:Brara.H01084" mRNA complement(join(12606084..12606879,12607678..12609192, 12609282..12610383,12610529..12610585,12610716..12611050)) /locus_tag="BRARA_H01084" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01084" CDS complement(join(12606293..12606879,12607678..12609192, 12609282..12610332)) /locus_tag="BRARA_H01084" /codon_start=1 /product="hypothetical protein" /protein_id="RID50347.1" /db_xref="Phytozome:Brara.H01084" /translation="MMNISRLLLLSMFVLSAMGQLPSQDIMALLEFKKGIKHDPTGFV LNSWNDESIDFNGCPSSWNGIACNGANVAAVVLDNLSLSADADLSMFSNLTMLVKLSM ANNSISGVLPSNIGSFKSLQFLDLSDNLLSSSLPKEFGRSVSLKNLSLAGNNFSGEVP ESIGGLISLESLDLSRNSFSGPLPKSLTTLNDLLYLNLSSNGFTGKIPRGFDLVPSLQ VLDLHANSFDGNLDGVFFLSTNASYVDLSGNRLVTASGKLLPGVSESIKHLNLSHNQL EGSLTSGFQLFQNLKVLDLSYNQLSGELPGFNYVYDLQVLKLSNNRFSGSLPNNLLKG DSLDLTTLDLSGNNLSGPISAIMSTTLHTLDLSSNSLTGELPLLTGRCVLLDLSNNQF EGNLTRWSKWENVEYLDLSQNRFTGSFPDVAPQLLRANHLNLSHNKLTGSLPERIPTH YPKLGVLDISSNSLDGPLPSTLLSMPTLEEIHLQNNGMSGNIGPLPSSSGSRIRLLDL SHNRFDGDLPSAFGSLNKLQVLNLAANNLSGSLPSSMNEMFSLSSLDLSQNHFTGPLP SNLSSSLVALNVSYNDLSGTVPENLKNFPPPSFYPGNSMLILPAGSTSASEVSKGKPM NLLIKIVIIVSCAIALIILILVAILLFCICKSRRREERSITGKDINRQAQTIPSGSGV VSAEDLVASRKGSSSGILSPDEKLAVATGFSPSKTSNLSWSPGSGDSLPADQQLARLD VRSPDRLVGELQFLDESIKLTPEELSRAPAEVLGRSSHGTSYRATLDNGVFLTVKWLR EGVAKQRKEFAKEVKKFANIRHPNVVTLRGYYWGPTQHEKLILSDYISPGSVASFLYD RPGRKGPPLAWIQRLKIAVDVARGLNYLHFDRAVPHGNLKATNILLEGEELNARVSDY CLHRLMTQAGTVEQILDAGILGYRAPELAASRKPLPSFKADVYAFGVILLEILTGRCA GDVITGEQEGVDLTDWVRLRVAEGRGAECFDSVLAQEMGSDPVTEKGTKEVLGVALRC IRPVSERPGIKTIYEDLSSI" gene 12611771..12614178 /locus_tag="BRARA_H01085" /db_xref="Phytozome:Brara.H01085" mRNA join(12611771..12611976,12612097..12612185, 12612279..12612393,12612601..12612751,12612909..12612991, 12613110..12613205,12613285..12613427,12613512..12613596, 12613708..12613787,12613869..12614178) /locus_tag="BRARA_H01085" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01085" CDS join(12611851..12611976,12612097..12612185, 12612279..12612393,12612601..12612751,12612909..12612991, 12613110..12613205,12613285..12613427,12613512..12613596, 12613708..12613787,12613869..12613956) /locus_tag="BRARA_H01085" /codon_start=1 /product="hypothetical protein" /protein_id="RID50348.1" /db_xref="Phytozome:Brara.H01085" /translation="MAIRRAQALLSISKLKTSFVLGSFSRCHRFSSSSSQHSTQFQNV GFIGLGNMGSRMVNNLVKAGFNVTVHDINRDVMKMFTEIGVSARETPYEVAQDSQVVI TMLPSSSHVMDVYTGTNGLLHGDNAIRPALLIDSSTIDPQTTRKISLAVSNCNLKDRR DNWDKPVMLDAPVSGGVLAAEAATLTFMVGGPKDAYLAARPILESMGRTSIYCGGSGN GSAAKICNNLAMAVSMLGTSEALALGQSLGLSATTLTEVLNTSSGRCWSSDKYNPVPG VMEGVPSSRDYNGGFASKLMAKDLNLAAASAEEVGHKSALISKAQEIYKKMCEDGHET KDFSCVFRHFYNGKDEV" gene complement(12614872..>12621552) /locus_tag="BRARA_H01086" /db_xref="Phytozome:Brara.H01086" mRNA complement(join(12614872..12615276,12615347..12615769, 12615856..12616155,12616247..12616368,12617311..12617410, 12617496..12617573,12617677..12617802,12617891..12617995, 12618073..12618228,12618320..12618436,12618522..12618629, 12618710..12618786,12618959..12619031,12619151..12619288, 12619380..12619424,12619531..12619651,12619729..12619815, 12619914..12619978,12620090..12620287,12620356..12620640, 12620738..12620959,12621316..>12621552)) /locus_tag="BRARA_H01086" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01086" mRNA complement(join(12614872..12615276,12615347..12615769, 12615856..12616155,12616247..12616371)) /locus_tag="BRARA_H01086" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01086" CDS complement(join(12615169..12615276,12615347..12615769, 12615856..12616155,12616247..12616368,12617311..12617410, 12617496..12617573,12617677..12617802,12617891..12617995, 12618073..12618228,12618320..12618436,12618522..12618629, 12618710..12618786,12618959..12619031,12619151..12619288, 12619380..12619424,12619531..12619651,12619729..12619815, 12619914..12619978,12620090..12620287,12620356..12620640, 12620738..12620959,12621316..12621552)) /locus_tag="BRARA_H01086" /codon_start=1 /product="hypothetical protein" /protein_id="RID50350.1" /db_xref="Phytozome:Brara.H01086" /translation="MENEQDQPYIDHEEEEITQEDAWTVISAYFEEKGLVRQQIDSFD QFIQNTMQEIVDESSDIEIRSASQHNPGHQSDFAETIYNISFGQIYLSKPMMTESDGE MATLFPKAARLRNLTYSAPLCVDVSKRVIKKGHDGVEVTETQDFTQVFIGKVPIMLRS TYCTLNGNSEKDLTELGECPFDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKY SYVAEVRSMAENQNRPPSTMFVRMLSGSKGGSSGQFIRCTLPYIKKEIPIIIVFRALG FVADKDILERICYEFGDTQMMELLRPSLEEAFVIQSQQVALDYIGKRGAPVGTPKEKR IKYAKDILQKEMLPHEGVGESCESHKAYVFGYIINRLLRCALGRKPEDDRDHYGNKRL DLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKEVNLLFAINAKTITSGLKYALATG NWGQANATGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLSKPRQLHNSQWGMMC PAETPEGQACGLVKNLALMVYVTVGSAASPILAILSDLGTENLEESSPSVIPKATKIF VNGEWVGIHRDPDMLVKTLRLLRRSNGINTEVSVVRDIRLKELRIYTDYGRCSRPLFI VDNQRLLIKKNDIYALQQRVSAEEDGWHQLLANGYIEYIDTEEEETTMISMTINDLVH SRLCPDEAYSDTYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVT NYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGIVNVIIGKTTPISQDEAQRQT SRYTRRDHSISLRHSETGMVDQVLLTTNADGLKFVKVRVRSVRIPQIGDKFSSRHGQK GTVGMTYTQEDMPWTIEGVTPDIIVNPHAIPSRMTIGQLIECIMGKVAAQMGKEGDAT PFTDVTVDNISKALHECGYQMRGFERMYNGHTGRPLPAMIFIGPTYYQRLKHMVDDKI HSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAASFLKERLFDQSDAYRVH VCETCGLIAIANLKNNTFECRGCKNTTDIVQVHIPYACKLLFQELMSMAIAPRMLTTD VKSAKGRK" CDS complement(join(12615169..12615276,12615347..12615769, 12615856..12616155,12616247..12616258)) /locus_tag="BRARA_H01086" /codon_start=1 /product="hypothetical protein" /protein_id="RID50349.1" /db_xref="Phytozome:Brara.H01086" /translation="MVDQVLLTTNADGLKFVKVRVRSVRIPQIGDKFSSRHGQKGTVG MTYTQEDMPWTIEGVTPDIIVNPHAIPSRMTIGQLIECIMGKVAAQMGKEGDATPFTD VTVDNISKALHECGYQMRGFERMYNGHTGRPLPAMIFIGPTYYQRLKHMVDDKIHSRG RGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAASFLKERLFDQSDAYRVHVCET CGLIAIANLKNNTFECRGCKNTTDIVQVHIPYACKLLFQELMSMAIAPRMLTTDVKSA KGRK" gene <12627890..>12639284 /locus_tag="BRARA_H01087" /db_xref="Phytozome:Brara.H01087" mRNA join(<12627890..12628122,12630188..12630305, 12630587..12631097,12636718..12636845,12636938..12637039, 12637210..12637410,12637499..12637673,12637931..12638082, 12638717..12638812,12638892..>12639284) /locus_tag="BRARA_H01087" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01087" CDS join(12627890..12628122,12630188..12630305, 12630587..12631097,12636718..12636845,12636938..12637039, 12637210..12637410,12637499..12637673,12637931..12638082, 12638717..12638812,12638892..12639284) /locus_tag="BRARA_H01087" /codon_start=1 /product="hypothetical protein" /protein_id="RID50351.1" /db_xref="Phytozome:Brara.H01087" /translation="MYPPNMFESYHHMFDMTKSSDNDLGLTGSREDAFETKSGAEVTL ENPLEEELQDPDQRPNKKKRYHRHTQRQIQELESFFKECPHPDDKQRKELSRELNLEP LQIKFWFQNKRTQMKAQHERHENSILKSDNDKLRAENNRYKDALSNATCPNCGGPAAI GEMSFDEQHLRIENARLREEIDRISSIAAKYVGKPMLPHSSPFSQLTTSTHIPTRSLD LEVGSFGNNNSSQTGFVGEMYGTSDIMRPVSIPREAEKPMIVELAVAAMEELVRMAQT GDPLWVSSDSSDEYFRTFPRGIGPKPIGLRSEASRESTVVIMNQINLVEILMDVNQWS SVFCGIVSRALTLDVLSTGVAGNYNGALQVLTAEFQVPSPLVPTRENYFVRYCKQHSD TTWAVVDVSLDSLRPSPITRSRRRPSGCVIQELQNGYSKVTWVEHTEVDDISVHTMYK PLVNTGLAFGAKRWVATLDRQCERLASSMASNIPTGDLSGSDDVRVMTRKSMDDPGRP PGIVLSAATSFWIPMGPKRVFDFLRDENSRSQWDILSNGGLVEEMAHIANGRDPGNSV SLLRVNSSNSGESNMLILQESCTDASGSYVIYAPVDMMAMNVVLSGGDPDYVALLPSG FAILPDGSTRGGNASVVADGEVVTSTASNCGSLLTVAFQILVDSVPTAKLSLGSVATV NSLIKCTVQRIKDALACDGA" gene <12640338..>12641891 /locus_tag="BRARA_H01088" /db_xref="Phytozome:Brara.H01088" mRNA join(<12640338..12640370,12640549..12640669, 12640744..12640934,12640996..12641286,12641355..12641560, 12641639..>12641891) /locus_tag="BRARA_H01088" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01088" CDS join(12640338..12640370,12640549..12640669, 12640744..12640934,12640996..12641286,12641355..12641560, 12641639..12641891) /locus_tag="BRARA_H01088" /codon_start=1 /product="hypothetical protein" /protein_id="RID50352.1" /db_xref="Phytozome:Brara.H01088" /translation="MEDPMESSGEEKCVEESHKLVDSLDNLRVSAESSSSNFKKKPVI IIVVGMADNLLCANKRGYVLNLDPAVMSLPFGANIDIRDTVKYKEVMKQYNLGPNGGI MTSLNLFATRFDEVVSVIEKRADQLDYVLVDTPGQIEIFTWSATGAIITEAFASTFPT VVTYVVDTPRSTSPITFMSNMLYACSILYKTRLPLVLAFNKTDVADHKFALEWMKDFE VFQAEIQSDNSYASTLANSLSLSLYEFYRNIRSVGVSAITGAGMDDFFKAIEASAEEY VETYKADLDKRKAEKEQLEEERREKEMEKLRKDIESSQGGTVILNTGLKDRDAAEKMM LEDDDEDFQIEEDSDGATDENDEEMNRFYV" gene complement(12642034..12643791) /locus_tag="BRARA_H01089" /db_xref="Phytozome:Brara.H01089" mRNA complement(join(12642034..12642417,12642503..12642556, 12642647..12642730,12642814..12643028,12643390..12643489, 12643594..12643791)) /locus_tag="BRARA_H01089" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01089" CDS complement(join(12642235..12642417,12642503..12642556, 12642647..12642730,12642814..12643028,12643390..12643489, 12643594..12643692)) /locus_tag="BRARA_H01089" /codon_start=1 /product="hypothetical protein" /protein_id="RID50353.1" /db_xref="Phytozome:Brara.H01089" /translation="MAQAVEEWYKQMPIITRSYLTAAVVTTVGCSLEIISPYNLYLNP TLVVKQYQLWRLVTNFLYFRNMDLDFLFHMFFLARYCKLLEENSFRGKTADFLYMLLF GATVLTGIVLIGGTIPYLSVSLSQIIFLSNSLTFMMVYVWSKQNPYVHMSFLGLFTFT AAYLPWVLLGFSVLVGASPWGDLLGMIAGHAYYFLAFVYPRMTDRRPLKTPSFLKALF ADEPVVIARPEDVRFAPAPFDEIHQD" gene complement(12650869..12652132) /locus_tag="BRARA_H01090" /db_xref="Phytozome:Brara.H01090" mRNA complement(join(12650869..12651365,12651486..12651642, 12651886..12652132)) /locus_tag="BRARA_H01090" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01090" CDS complement(join(12651207..12651365,12651486..12651642, 12651886..12652004)) /locus_tag="BRARA_H01090" /codon_start=1 /product="hypothetical protein" /protein_id="RID50354.1" /db_xref="Phytozome:Brara.H01090" /translation="MATTATSAAPSPGSVQKHDEEWRAVLSPEQFRVLREKGTEARFK GEYTKLFEEGTYACAGCATPLYKSTTKFDSGCGWPAFFDAIPGAIIQTLEPDGKRVEI TCAKCDGHLGHVFKGEGFPTATDERHCVNSVSLKFNSSETSS" gene <12663826..>12673095 /locus_tag="BRARA_H01091" /db_xref="Phytozome:Brara.H01091" mRNA join(<12663826..12663880,12664871..12664963, 12665163..12665351,12672914..>12673095) /locus_tag="BRARA_H01091" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01091" CDS join(12663826..12663880,12664871..12664963, 12665163..12665351,12672914..12673095) /locus_tag="BRARA_H01091" /codon_start=1 /product="hypothetical protein" /protein_id="RID50356.1" /db_xref="Phytozome:Brara.H01091" /translation="MPSIFMRNIEANTCSMTHLYPRVKAKDKTFNCNQSGSCLNSNYL QSRASENKQEEDLHIIVAKIPKIYIPSVLMSESQSKDMKKHIRGAEIEPIQKPKTSPV LRPRAVVSSPDNDALIGSINKSEENKAKTGLKSNGHVSKRASQRKNIDTNVKFSHRPV ATKSGTSLKDHK" gene complement(<12671052..>12672447) /locus_tag="BRARA_H01092" /db_xref="Phytozome:Brara.H01092" mRNA complement(join(<12671052..12671273,12671309..12671651, 12671840..>12672447)) /locus_tag="BRARA_H01092" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01092" CDS complement(join(12671052..12671273,12671309..12671651, 12671840..12672447)) /locus_tag="BRARA_H01092" /codon_start=1 /product="hypothetical protein" /protein_id="RID50355.1" /db_xref="Phytozome:Brara.H01092" /translation="MADSLQQRLKSMSLEEEEPLTLPDSPRFRVFDGNSISLLGRLLN PECQPMAKMIEYMPTAWRVYGRVRGIALSRDSFQFIFQREEDLQIVLKDRPWSYNHWA MVLERWVADPPPDFLRYMTIWIRIRHIPMKFFTGDTMHRLASEIGHVEEIAYDPKVSH TKEYVRAMITFDTENPAKASRKLMVSKEDSVTIEFEYERIHKKASPQERKTALLYISH SDDTERQARIVRVRQAIEDQGQSHVATLTRFTSDLDKGKGHVFSYPEPQLSPRDRIRS TSTVSLPLLEATTFQSDYSESECSGTADILASSISTGFQIGGAKGARRRPPSWKRKAQ AGNSSSKITTSSVLTPTALESGGKRKPEVTSMSSSKKLSTLPPNSVASSLKPLLPQ" gene complement(12674509..12675199) /locus_tag="BRARA_H01093" /db_xref="Phytozome:Brara.H01093" mRNA complement(join(12674509..12674900,12674988..12675199)) /locus_tag="BRARA_H01093" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01093" CDS complement(join(12674635..12674900,12674988..12675129)) /locus_tag="BRARA_H01093" /codon_start=1 /product="hypothetical protein" /protein_id="RID50357.1" /db_xref="Phytozome:Brara.H01093" /translation="MDSQTIQPTLWECVQSSQSLSDNQTPQQNRIRWSQSPDSHTFSV DLPGLRKEDIKVEIEDSIYLIIRTKTTEISPVRSLKRKFRLPESIDMIGITANYEDGV LTVIVPKRVLRRRSFFIDPSDVPQSLHVLARAA" gene complement(12676282..12677999) /locus_tag="BRARA_H01094" /db_xref="Phytozome:Brara.H01094" mRNA complement(join(12676282..12677245,12677803..12677999)) /locus_tag="BRARA_H01094" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01094" CDS complement(12676423..12677244) /locus_tag="BRARA_H01094" /codon_start=1 /product="hypothetical protein" /protein_id="RID50358.1" /db_xref="Phytozome:Brara.H01094" /translation="MNPFNLASTISPPPVANHLSAKRKRGRPRKDATMTRQKRSPGSP KEDVNLVGKTVSGVVERSCEAGFIINVKVKDSDTRLRGFVFSRGKVVPVTPENDVAPH VKMIVREEIKNQTEYRDQSCPRNDQTMKDAVTDLETECARALILLPHGINGEDPKEVM EEREAAATRLLEFSQTPETTIVTPQQNLVLARKETNEHQNSPGEARGFDLMAEEPVHP VKEVPQELQLELGNKTIWRGDNNKNGTAMEIDPESSVPRNGFIEKLLKGKEKVDC" gene complement(12680458..12682604) /locus_tag="BRARA_H01095" /db_xref="Phytozome:Brara.H01095" mRNA complement(join(12680458..12680702,12680789..12680850, 12680976..12681062,12681150..12681268,12681353..12681591, 12681680..12681736,12681972..12682604)) /locus_tag="BRARA_H01095" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01095" CDS complement(join(12680702,12680789..12680850, 12680976..12681062,12681150..12681268,12681353..12681591, 12681680..12681736,12681972..12682597)) /locus_tag="BRARA_H01095" /codon_start=1 /product="hypothetical protein" /protein_id="RID50359.1" /db_xref="Phytozome:Brara.H01095" /translation="MGSAVETLCGQAYGAQRYDMLGIYLQRATIVLALVGLPMTVLYT FSYPILLMLGEPKSVSYKASLYIAGLLPQIFAYAINFTAQKFLQAQSVVIPSAYISGA ALLLQILLTWFAVNVMGMGLIGIACVLTVSWWVMVVAQTLYITCNERFKHTWTGLSSR SFQGLWSFFKLSVGSAVMICLEMWYSQILVLLAGLLEEPALSLDCLSICMAVSALSFM VSVGFNAAASIRTSNELGAGNPKSALFSTWTATFVSFVISVGEALILMASRDYISYIF TSDADVAKAVSDLCPYLAVTVILNGIQPVLSGVAVGCGWQTFVAYVNVGCYYVVGIPI GCVLGFTFNLQAKGIWTGMIGGTLMQTLILLYVTYRTDWDKEVEKAKKRLDMWDDKKD AVQN" gene complement(12685431..12687273) /locus_tag="BRARA_H01096" /db_xref="Phytozome:Brara.H01096" mRNA complement(join(12685431..12685526,12685617..12685692, 12685763..12685894,12685994..12686144,12686886..12687273)) /locus_tag="BRARA_H01096" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01096" CDS complement(join(12686103..12686144,12686886..12687212)) /locus_tag="BRARA_H01096" /codon_start=1 /product="hypothetical protein" /protein_id="RID50360.1" /db_xref="Phytozome:Brara.H01096" /translation="MGVSSDTVEKSDLQRPLVDPTNSDPKPPKDVGLESVLTDSSLSC RRRVYLGACIELKVLFRLALPAILVYLVNSGMSISARIFSGHLGGQELAAASLGNSCF FLVYGLMLSPSPFPSREIYK" gene complement(12702300..12707906) /locus_tag="BRARA_H01097" /db_xref="Phytozome:Brara.H01097" mRNA complement(join(12702300..12702508,12702612..12702677, 12702792..12702878,12702950..12703068,12703167..12703405, 12703821..12703877,12704209..12704840,12707519..12707906)) /locus_tag="BRARA_H01097" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01097" mRNA complement(join(12702300..12702508,12702589..12702677, 12702792..12702878,12702950..12703068,12703167..12703405, 12703821..12703877,12704209..12704840,12707519..12707906)) /locus_tag="BRARA_H01097" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01097" CDS complement(join(12702615..12702677,12702792..12702878, 12702950..12703068,12703167..12703405,12703821..12703877, 12704209..12704840,12707519..12707830)) /locus_tag="BRARA_H01097" /codon_start=1 /product="hypothetical protein" /protein_id="RID50361.1" /db_xref="Phytozome:Brara.H01097" /translation="MDTSSEATERADLQRPLVEQKPPSDVGLESVLTESSIPYRKRLY LGACIEMKLLFRLALPAILVYIVNSGMSISARIFAGHLGGQELAAASIGNSCFSLVYG LMLGMGSAVETLCGQAHGAHRYDMLGIYLQRATIVLALVGLPMTLLYTFSYPILVLLG EPKTVSYMGSLYIAGLIPQIFAYAVNFTAQKFLQAQSIVTPSAYISAAALLLQISLTY ITVYVMGLGLMGIAYVLTICWWVIVGAQTLYITKSQRFRHTWTGLSWRSFQGLWSFFK LSAGSAVMICLEMWYSQILVLLAGLLKDPALSLDSLSICMSISALSFMVSVGFNAAAS VRTSNELGAANPKSALFSTWTATVVSFIISVAEALAVMAARDYISYIFTSDPEVAKAV SDLCPFLAVTIILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIVGIPVGCILGFTFNF QAKGIWTGMIGGTLMQTLILLYVTYRTDWDKEVEKARKRLDMWDDKKEPLQN" CDS complement(join(12702615..12702677,12702792..12702878, 12702950..12703068,12703167..12703405,12703821..12703877, 12704209..12704840,12707519..12707830)) /locus_tag="BRARA_H01097" /codon_start=1 /product="hypothetical protein" /protein_id="RID50362.1" /db_xref="Phytozome:Brara.H01097" /translation="MDTSSEATERADLQRPLVEQKPPSDVGLESVLTESSIPYRKRLY LGACIEMKLLFRLALPAILVYIVNSGMSISARIFAGHLGGQELAAASIGNSCFSLVYG LMLGMGSAVETLCGQAHGAHRYDMLGIYLQRATIVLALVGLPMTLLYTFSYPILVLLG EPKTVSYMGSLYIAGLIPQIFAYAVNFTAQKFLQAQSIVTPSAYISAAALLLQISLTY ITVYVMGLGLMGIAYVLTICWWVIVGAQTLYITKSQRFRHTWTGLSWRSFQGLWSFFK LSAGSAVMICLEMWYSQILVLLAGLLKDPALSLDSLSICMSISALSFMVSVGFNAAAS VRTSNELGAANPKSALFSTWTATVVSFIISVAEALAVMAARDYISYIFTSDPEVAKAV SDLCPFLAVTIILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIVGIPVGCILGFTFNF QAKGIWTGMIGGTLMQTLILLYVTYRTDWDKEVEKARKRLDMWDDKKEPLQN" gene complement(<12735873..>12737492) /locus_tag="BRARA_H01098" /db_xref="Phytozome:Brara.H01098" mRNA complement(<12735873..>12737492) /locus_tag="BRARA_H01098" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01098" CDS complement(12735873..12737492) /locus_tag="BRARA_H01098" /codon_start=1 /product="hypothetical protein" /protein_id="RID50363.1" /db_xref="Phytozome:Brara.H01098" /translation="MVPRCSSLMELPFSIGNLINLENLDITRCSSLVELPFSIGNITT LKKLELHGCSSLVELPFYIGNMANLKKLELIGCSSLVELPFSIGNMTNLEKLKLDRCS SLMELSSSVGNMANLKDLDMMRCSSIVKLPSSIGNMTNLEDLNLEGCSSLVELPSSIG NMTNLETLILQKCLSLAELPPSIGNMTNLKIMDLKRCSSLVKLPSSIGDMTNVEKLNL DRCSSLVELPFSIGNITSLPMLSLQSCSSLVELPSSIGNMTNLKELQLYNCSRLVELP CSIGNITNLKNLSMGSCSSLVELPYYIGNLTNLEILHLDDCSSLVELPCSIGNMTNIK NLSLSCCSSLVELPSSIGNMTNIKNLCLINCSSFVELTSSIGNMTNLVSLQLFYCSSF IKLPSSIGNLHNLKSLRLNGCSKLKALPVNINMKSLDDLHLGDCWLLKSFPEISTNIR VLKLNGTAIEEIPQSIRSWSRLERLHMSYSEDLGKSQHAFDLITELHLSDKGIQEIAP WVKEMSRLERLVVKGCTKLVSLPQFQIHYKS" gene <12739230..>12740414 /locus_tag="BRARA_H01099" /db_xref="Phytozome:Brara.H01099" mRNA <12739230..>12740414 /locus_tag="BRARA_H01099" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01099" CDS 12739230..12740414 /locus_tag="BRARA_H01099" /codon_start=1 /product="hypothetical protein" /protein_id="RID50364.1" /db_xref="Phytozome:Brara.H01099" /translation="MDSLSPPCDVPRWSKLPSDLLHMVFERLGFSDFQRAKSVCPFWR SASIESAPNNQIPWMILFPEKGKDYCLFFNPEENNKTYRIQDLGVNFANSHCLAICGS WFFMRDPRYKLYIMNLFTRERIDLPSVESQVGMLLIERTTDDMFLVTSKEGIGYKYNQ EDLRIDLPFFWIDQKTKDYFVTCYLEGRVTAYAENGDKFWKQADLKISGDVVYKEHKL YLYDYKRDVKVFDFSGDSPRKIVETQVNHDPLCTKGMSRRVYPELGDVWDIKDTHLVV TVKGEFLRVKSIVKSDGDVWSFRIYKMDSSQSEWEKITSLGDEAILLDQRTTLLANAV QGIKRNSIYFSGIHHDYVFHRVWSEKDILNYSLDTHKIERPPPSFFSNVQSSHARWFV PN" gene complement(12748005..12749996) /locus_tag="BRARA_H01100" /db_xref="Phytozome:Brara.H01100" mRNA complement(join(12748005..12748436,12748825..12748963, 12749063..12749166,12749256..12749996)) /locus_tag="BRARA_H01100" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01100" CDS complement(join(12748215..12748436,12748825..12748963, 12749063..12749166,12749256..12749975)) /locus_tag="BRARA_H01100" /codon_start=1 /product="hypothetical protein" /protein_id="RID50365.1" /db_xref="Phytozome:Brara.H01100" /translation="MTLLSNICLFVALFLCQSLVLAVNNGYYGYNPSVASYLPEKPQN IMNPVDSCWRHNSDWSANRKDLADCAVGFGSSALGGKKGNLYVVTNPNDNAANPKPGS LRYGVIQDKPLWITFAKDMVITLENELMVNSYKTIDGRGAKVEIAYGPCITIQQVTNV IVHGISIHDCKPAKYGMVRSSTTHVGHRKGSDGDAIAISGSSNIWIDHCYLASCTDGL IDVIHASTGITISNNYFTQHVKVMLLGHNDNFVQDVNMKVTVAFNHFGPGLVERMPRV RRGCAHVANNRYDKWIMYAIGGSADPTIFSEGNYFIASDKSNSKEVTKREVKGGWNNW RWRTSKDVFKNGAYFVPSGYGSVDLPYSSAQRFTVAPGNMVPSLTADAGPLNCNRNLP CY" gene complement(<12751102..>12753719) /locus_tag="BRARA_H01101" /db_xref="Phytozome:Brara.H01101" mRNA complement(join(<12751102..12751366,12751406..12751502, 12751582..12751693,12751793..12752171,12752269..12752384, 12752464..12752719,12752811..12752898,12752969..12753040, 12753129..12753204,12753375..12753503, 12753591..>12753719)) /locus_tag="BRARA_H01101" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01101" CDS complement(join(12751102..12751366,12751406..12751502, 12751582..12751693,12751793..12752171,12752269..12752384, 12752464..12752719,12752811..12752898,12752969..12753040, 12753129..12753204,12753375..12753503,12753591..12753719)) /locus_tag="BRARA_H01101" /codon_start=1 /product="hypothetical protein" /protein_id="RID50366.1" /db_xref="Phytozome:Brara.H01101" /translation="MKRVLSLISIFLVLALSGRCSDVYSRNDFPKGFSFGSATSAYQW EGAAGEDGKKPSVWDTFLHSRNLCHFHFFRCLDPQENNLNWQEDVQLMVETGLEAFRF SISWSRLIPNGRGPVNPKGLQFYKNFIRELVTYGIEPHVTLFHYDHPQYLEDEYGGWI NSRIIQDFTAYADVCFREFGNHVKFWTTINEANIFTIGGYNDGVTPPGRCSSSPGRNC SSGNSSTEPYIVGHNLLLAHASASRLYKQQYKEMQGGCVGFSIFTIGFTPSTSSKDDE IAVQRAKDLFFGWMLGPLIFGDYPDEMKRTVGSRLPVFSLEESEQVKGSSDFIGIIHY LAASVTSIKFKPFLSGHPDFYSDIGASMTCWNPKLISFESQKNTLFSTSYTYVFLFVC VIIISSWWYCLGGTDLGNFSAFELFCFCLDKYAVAPWAMEGVLEYIKQSYGNPPVYIL ENGRPLKQDLQLQQKDTPRIDYLHAYIGAVLKSISAVMYYKCRNGSDTRGYFVWSFMD LYELLRGYEFSFGLYSVNFSDPYRNRSPKLSAYWYTSFLKGNTTFLGSQATMRLQSNF SSSVSS" gene complement(<12755083..>12755579) /locus_tag="BRARA_H01102" /db_xref="Phytozome:Brara.H01102" mRNA complement(join(<12755083..12755270,12755516..>12755579)) /locus_tag="BRARA_H01102" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01102" CDS complement(join(12755083..12755270,12755516..12755579)) /locus_tag="BRARA_H01102" /codon_start=1 /product="hypothetical protein" /protein_id="RID50367.1" /db_xref="Phytozome:Brara.H01102" /translation="MKSTLFLVSCVFMFLFLSHIQEVDGVRPMAQCPRKQIFGGGGCG SDGNKTCIKSFVKQGGDKPISCECDDIVDEHLCRCIFNC" gene complement(12761536..12765171) /locus_tag="BRARA_H01103" /db_xref="Phytozome:Brara.H01103" mRNA complement(join(12761536..12762280,12762361..12762931, 12763003..12763424,12763497..12763616,12763696..12763797, 12763891..12764026,12764114..12764272,12764347..12764699, 12765104..12765171)) /locus_tag="BRARA_H01103" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01103" mRNA complement(join(12761536..12762280,12762361..12762931, 12763003..12763424,12763497..12763616,12763696..12763797, 12763891..12764026,12764114..12764272,12764347..12764699, 12764868..12764965,12765104..12765171)) /locus_tag="BRARA_H01103" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01103" CDS complement(join(12761777..12762280,12762361..12762931, 12763003..12763424,12763497..12763616,12763696..12763797, 12763891..12764026,12764114..12764272,12764347..12764660)) /locus_tag="BRARA_H01103" /codon_start=1 /product="hypothetical protein" /protein_id="RID50368.1" /db_xref="Phytozome:Brara.H01103" /translation="MATLQDIGVSAGINILSAFIFFIIFAILRLQPFNDRVYFSKWYL KGLRSSPSRGGAFVQRFVNLDLRAYLKFLNWMPQALKMPEPELIDHAGLDSVVYLRIY WLGLKIFAPIAVLAWAVLVPVNWTNDTLELANQLRNVTSSDIDKLSVSNIPDYSMRFW THIVMAYAFTIWTCYVLMKEYETIANMRLQFLASEARRPDQFTVLVRNVPPDADETVS ELVEHFFLVNHPDHYLTHQVVCNANKLADLVEKKKKLQNWLDYYQLKYARNNTQRIMV KLGFLGLWGQRVDAIDHYIAEIDKTSKEIAKEREGVVNDPKSIMPAAFVSFKTRWAAA VCAQTQQTRNPTQWLTEWAPEPRDVYWPNLAIPYVALTVRRLLMHVAFFFLTFFFIVP IAFVQSLATIEGIVKVAPFLKVIVEDDFMKSVIQGFLPGIALKLFLIFLPSILMIMAK FEGFTSISSLERRSAFRYYIFNFVNVFLASVITGAAFEQLSAFLNQSPNQIPKTIGVA IPMKATFFITYIMVDGWAGVAGEILMLKPLIMFHLKNTFLVKTEKDREEAMDPGSIGF NTGEPRIQLYFLLGLVYAPVTPMLLPFILVFFALAYIVYRHQIINVYNQEYESAAAFW PDVHGRVIAALIISQVLLMGLLGTKHAALAAPFLIALPVLTIGFHRFCKGRYEPAFVR YPLQEAKMKDTLESAREPNLNLKGYLQSAYVHPVFKGGEDDDDDDDYGDDKLGKFEDE AIIVPTKRQSRRNTPAPSRISGESSPCLPFSGKEV" CDS complement(join(12761777..12762280,12762361..12762931, 12763003..12763424,12763497..12763616,12763696..12763797, 12763891..12764026,12764114..12764272,12764347..12764660)) /locus_tag="BRARA_H01103" /codon_start=1 /product="hypothetical protein" /protein_id="RID50369.1" /db_xref="Phytozome:Brara.H01103" /translation="MATLQDIGVSAGINILSAFIFFIIFAILRLQPFNDRVYFSKWYL KGLRSSPSRGGAFVQRFVNLDLRAYLKFLNWMPQALKMPEPELIDHAGLDSVVYLRIY WLGLKIFAPIAVLAWAVLVPVNWTNDTLELANQLRNVTSSDIDKLSVSNIPDYSMRFW THIVMAYAFTIWTCYVLMKEYETIANMRLQFLASEARRPDQFTVLVRNVPPDADETVS ELVEHFFLVNHPDHYLTHQVVCNANKLADLVEKKKKLQNWLDYYQLKYARNNTQRIMV KLGFLGLWGQRVDAIDHYIAEIDKTSKEIAKEREGVVNDPKSIMPAAFVSFKTRWAAA VCAQTQQTRNPTQWLTEWAPEPRDVYWPNLAIPYVALTVRRLLMHVAFFFLTFFFIVP IAFVQSLATIEGIVKVAPFLKVIVEDDFMKSVIQGFLPGIALKLFLIFLPSILMIMAK FEGFTSISSLERRSAFRYYIFNFVNVFLASVITGAAFEQLSAFLNQSPNQIPKTIGVA IPMKATFFITYIMVDGWAGVAGEILMLKPLIMFHLKNTFLVKTEKDREEAMDPGSIGF NTGEPRIQLYFLLGLVYAPVTPMLLPFILVFFALAYIVYRHQIINVYNQEYESAAAFW PDVHGRVIAALIISQVLLMGLLGTKHAALAAPFLIALPVLTIGFHRFCKGRYEPAFVR YPLQEAKMKDTLESAREPNLNLKGYLQSAYVHPVFKGGEDDDDDDDYGDDKLGKFEDE AIIVPTKRQSRRNTPAPSRISGESSPCLPFSGKEV" gene 12777973..12781622 /locus_tag="BRARA_H01104" /db_xref="Phytozome:Brara.H01104" mRNA join(12777973..12778252,12778483..12778615, 12778721..12778792,12778887..12778952,12779029..12779100, 12779178..12779249,12779320..12779391,12779482..12779547, 12779623..12779688,12779780..12780847,12780940..12781096, 12781176..12781622) /locus_tag="BRARA_H01104" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01104" CDS join(12778126..12778252,12778483..12778615, 12778721..12778792,12778887..12778952,12779029..12779100, 12779178..12779249,12779320..12779391,12779482..12779547, 12779623..12779688,12779780..12780847,12780940..12781096, 12781176..12781328) /locus_tag="BRARA_H01104" /codon_start=1 /product="hypothetical protein" /protein_id="RID50370.1" /db_xref="Phytozome:Brara.H01104" /translation="MECDHHRHSMATGDRAMFPVLVLIFSAISGFSVVHCVTDPSDVQ ALQVLYTSLNSPSQLTSWKNGGGDPCGESWKGITCERSAVVSIDISDSGVSGTLGYLL SDLMSLRKLDVSGNSIHDTLPYQLPPNLTSLNLARNNLSGNLPYSISAMASLTYMNVS GNSLSMSIGDIFADHKSLSTLDLSHNNFSGALPSSLSTVSQLSALYVQNNELTGTIDV LSGLPLTTLNVANNHFNGSIPKELTSIKTLIYDGNSFDNAPASPQPQRPDKKRKPSGS KKHKNSPKAEGKSSDKGLSGGVVTGIVFGSLFVAGIIALVLYLCLHKKRKKVGGSTKR ASQRSLPLSGTTEVQEQRVKDLKSSPAEKVTVDRVMKNGSISRMRSPITASPYTVSSL QVATNSFSQENIIGEGSLGRVYRAELPNGKLMAIKKIDNAALSLQEEDNFLEAVSNMS RLRHPNIVPLAGYCTEHGQRLLVYEYVGNGNLDDMLHLNDERSMNLTWNARVKVALGT AKALEYLHEVCLPSIVHRNFKSANILLDEELNPHLSDCGLAALNPNTERRVSTQMVGS FGYSAPEFALSGIYTVKSDVYTFGVVMLELLTGRKPLDSSRTRAEQSLVRWATPQLHD IDALSKMVDPSLNGMYPAKSLSRFADIIALCIQPEPEFRPPMSEVVQQLVRLVQRASV VKRRSSDDNGFSYRTPEHDHMDFSF" gene complement(12781704..12783934) /locus_tag="BRARA_H01105" /db_xref="Phytozome:Brara.H01105" mRNA complement(join(12781704..12782064,12782425..12782563, 12782657..12782725,12782791..12783133,12783649..12783934)) /locus_tag="BRARA_H01105" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01105" CDS complement(join(12782038..12782064,12782425..12782563, 12782657..12782725,12782791..12783133,12783649..12783745)) /locus_tag="BRARA_H01105" /codon_start=1 /product="hypothetical protein" /protein_id="RID50371.1" /db_xref="Phytozome:Brara.H01105" /translation="MAKTRPGVPSKIKTGRKELDTYTIKGTNKVVRAGDYVLMRPSDA GKPPYVARVEKIEADARNNMKVHCRWYYRPEESLGGRRQFHGAKELFLSDHFDVQSAH TIEGKCIVHTFKNYTRLENVGAEDYYCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDL MVQCDGCKDWYHPACVGMTTEEAKLLDHFACAECSSDDDVKKSQNGFAASPADDVKVE AKRRKR" gene complement(12787416..12789117) /locus_tag="BRARA_H01106" /db_xref="Phytozome:Brara.H01106" mRNA complement(join(12787416..12787797,12788143..12788197, 12788752..12789117)) /locus_tag="BRARA_H01106" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01106" CDS complement(join(12787656..12787797,12788143..12788197, 12788752..12789049)) /locus_tag="BRARA_H01106" /codon_start=1 /product="hypothetical protein" /protein_id="RID50372.1" /db_xref="Phytozome:Brara.H01106" /translation="MMLRQAAKKALGLTSRQSTPWSVGISRSYHENVIDHYDNPRNVG SFDKNDPSIGTGLVGAPACGDVMKLQIKVDEKTGQIVDARFKTFGCGSAIASSSVATE WVKGKAMEEVLTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYKEKRLKTNGVGE SSQA" gene 12792459..12794182 /locus_tag="BRARA_H01107" /db_xref="Phytozome:Brara.H01107" mRNA join(12792459..12792736,12792864..12792980, 12793070..12793204,12793396..12793521,12793627..12793728, 12793831..12794182) /locus_tag="BRARA_H01107" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01107" CDS join(12792506..12792736,12792864..12792980, 12793070..12793204,12793396..12793521,12793627..12793728, 12793831..12793980) /locus_tag="BRARA_H01107" /codon_start=1 /product="hypothetical protein" /protein_id="RID50373.1" /db_xref="Phytozome:Brara.H01107" /translation="MFLCKINRKKICKEIQTCVQRNQIEKKNKMEKKKKVVPKRLQGK VAIVTASTQGIGFGIVERLGLEGASVVVSSRKQKNVDEAVEKLKAQEIDAFGIVCHVS NAQHRQILVQKTIQRYGKIDIVVCNAAVNPSTDPILSTQESALDKLWEVNVKSSILLL QDIAPHLEKGSSVIFITSIAAFQPQVPTAMYGVTKTALLGLTKALAAEMGPDTRVNAV APGVVPTHFASFITRNSEVRRASEEKTLLNRLGTTDDMAAATAFLASDDADYITGETL VVAGGMPSRL" gene complement(<12794805..>12805982) /locus_tag="BRARA_H01108" /db_xref="Phytozome:Brara.H01108" mRNA complement(join(<12794805..12795864,12805540..12805644, 12805906..>12805982)) /locus_tag="BRARA_H01108" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01108" CDS complement(join(12794805..12795864,12805540..12805644, 12805906..12805982)) /locus_tag="BRARA_H01108" /codon_start=1 /product="hypothetical protein" /protein_id="RID50374.1" /db_xref="Phytozome:Brara.H01108" /translation="MRYFSPIIINPEEKRNSFTTFKWRHTHETQTSNSVRELSDPIPV DLLIDIFSRVPLKSIDRFRCVSKHWASVLRRSDFTELFWTKSLTRPRLLFTINFNGKL FFYSVPQPHNPDDNSSLVATPYQTCSFPKRLLANTFKPLCGLALLMDEPVICNPATGE FLTLPKVLLEEKDLPNPKEFSKMFCLGYDPVGKQSKVLCMTSSSFDERLTTHLVLTLE SRKPLWRKVELKFLFVRNRRMSFGSICINGVLYFGAEFGKSTVMVCFDVRSEKFRFIN TDEVMELDRRFELFNYKGKVGIHRNRYNRYENRYENRFVWWVLEDARNHKWFKHVLEL SPYEEKMVENTKIVGMTGTGEIVFASYSHLPISWCRVVFYNMERKTFTRVKIEGFEVE EPRVKKYIDTFIDYAENVEFM" gene 12798613..12800496 /locus_tag="BRARA_H01109" /db_xref="Phytozome:Brara.H01109" mRNA join(12798613..12799135,12799257..12799368, 12799465..12799636,12799705..12799913,12800011..12800164, 12800252..12800496) /locus_tag="BRARA_H01109" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01109" CDS join(12798711..12799135,12799257..12799368, 12799465..12799636,12799705..12799913,12800011..12800124) /locus_tag="BRARA_H01109" /codon_start=1 /product="hypothetical protein" /protein_id="RID50375.1" /db_xref="Phytozome:Brara.H01109" /translation="MVSDQDLAKGVETLLRQSDPTSLTSLTSVVHQLEAKLGLDLSDK TNFIRDHINLLLHSPPPPASASSSTPHPPPPQYLQQLHSGVNVPAKGHFSLHPPSHFA LHPSPYHSYDLNFQQPYPPYMPPQHHQRQGPSVSQSPKASAPAGTKRKGGPGGLNKVC RVSPELQVVVGEPALPRTEIVRQLWAYIRKNNLQDPSNKRKIICDDALRLVFETDCTD MFKMNKLLSKHILPLDPSKDSGQAKRAKAEVETKTETETETKEPVSSTSSSVSLSEPL AKFFGTGETEMTEEEIIRRVWEYIKLNHLEDSANPMAIQCDEKLRELLGCESISAVGI NEMLRRHIS" gene 12801172..12802831 /locus_tag="BRARA_H01110" /db_xref="Phytozome:Brara.H01110" mRNA join(12801172..12801386,12801462..12801555, 12801690..12801809,12801888..12801936,12802014..12802113, 12802211..12802272,12802358..12802416,12802517..12802831) /locus_tag="BRARA_H01110" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01110" CDS join(12801235..12801386,12801462..12801555, 12801690..12801809,12801888..12801936,12802014..12802113, 12802211..12802272,12802358..12802416,12802517..12802621) /locus_tag="BRARA_H01110" /codon_start=1 /product="hypothetical protein" /protein_id="RID50376.1" /db_xref="Phytozome:Brara.H01110" /translation="MAEDLVLDTAIRDWVLIPLSVVMVLIGVLRYFVSKLMRSSPTPD AKIVKEGQVVIRARNLKAGANFIPPKSFRARRFYFSNEENGLLHVPKDQAQNPQAQMF SDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLS TVDVSYVSSRSWYFLNLFGLRGLFSLILGDENAIDDTQRMMQMGGFGFDASKSLGAEK DGLDIIQHEWALPGFEHRAESVLRKLVQ" gene <12809641..>12811731 /locus_tag="BRARA_H01111" /db_xref="Phytozome:Brara.H01111" mRNA join(<12809641..12809650,12810693..12810938, 12811037..>12811731) /locus_tag="BRARA_H01111" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01111" CDS join(12809641..12809650,12810693..12810938, 12811037..12811731) /locus_tag="BRARA_H01111" /codon_start=1 /product="hypothetical protein" /protein_id="RID50377.1" /db_xref="Phytozome:Brara.H01111" /translation="MVSDVTVSKDGSGKFTTVKEAVASAPENSKTRYTILVKRGTYLE NVIIGKNKTNLTILGEGSNLTTITGSWNHVDGKGTYDSATLAVDGEGFMAQDICIENT AGPTKEQAVALRVSADKAVIYRCRIDAYQDTLYAHIERQFYRECYITGMVVFICGEAT AVFQHCRIEARKPLKEQSNMITAQQRYSKSKISWFSIQKCEIKATPDLDQVKGTVQTF LGRPWGVYSTVVVMQSLIDDVIDPAGWAPWDNDKGRLSTLFYGEYQNSGPGAGTVKRI TWKGFKVITNPEEAEQFTVEKLLQGQSWIRSTGVPYDEGF" gene <12816153..>12816719 /locus_tag="BRARA_H01112" /db_xref="Phytozome:Brara.H01112" mRNA <12816153..>12816719 /locus_tag="BRARA_H01112" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01112" CDS 12816153..12816719 /locus_tag="BRARA_H01112" /codon_start=1 /product="hypothetical protein" /protein_id="RID50378.1" /db_xref="Phytozome:Brara.H01112" /translation="MVAYLKNNFFLVLTIVVLPFVVSSSYGNFSTMVTKDEINIICTK EYVNSSLCFELLKSIPKISALDFNGLTKFLIKYQSRNVSDALNQIKMYAGNATDLQTI DLCVRLYENTLYDTDHILKALATKKYFIVNIYITGLDANMGICREELVVMKPRLEVLI TRNKVITNLSSIILCILECYLAKEKAYC" gene complement(12818547..12820382) /locus_tag="BRARA_H01113" /db_xref="Phytozome:Brara.H01113" mRNA complement(join(12818547..12818811,12818886..12818970, 12819056..12820382)) /locus_tag="BRARA_H01113" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01113" CDS complement(join(12818931..12818970,12819056..12820236)) /locus_tag="BRARA_H01113" /codon_start=1 /product="hypothetical protein" /protein_id="RID50379.1" /db_xref="Phytozome:Brara.H01113" /translation="MADMPMDIITDLFLRLPASTLVRCRVLSKPCFSLIDSPDFISSH LHHTLQTGDHLMILLRGPRLLVTVDLDSPDKVSDVEHPLKAGGLTEVFGSCNGLIGLS NSPTDMALFNPSTRQIHRLPAEPVDFPEGSRTRGYVFYGFGYDSVNEDYKVVRMIQCK GGAADELVFGFPYEVKVFSLKMNTWKRVKRVLPAIQLLFYFYYHLLYRRGYGVLACNS LHWVLPRRPGLIAFNTIIRFDLAAEEFEILTFPESLAHENIDIGVLEGCLCLMCNREF TSVDVWVMKEYKVEGSWSKVFSVPKPKSVESFDFMRPLLYSKDRRKVLIEVNNAKLLW FDLESKRLRTLRIKDCDSSYSAELLVSSLVLGCKGDPSEAKRRRERRALEDKMMQQRS KRDDFLSKGFKLVL" gene complement(<12824996..>12826396) /locus_tag="BRARA_H01114" /db_xref="Phytozome:Brara.H01114" mRNA complement(join(<12824996..12825292,12825638..>12826396)) /locus_tag="BRARA_H01114" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01114" CDS complement(join(12824996..12825292,12825638..12826396)) /locus_tag="BRARA_H01114" /codon_start=1 /product="hypothetical protein" /protein_id="RID50380.1" /db_xref="Phytozome:Brara.H01114" /translation="MAPKGVTNTLVFVLALISILFIGQTKAQGRPSPRLPPPPPLQRP SSPPPPLLVCPPCVCPILSPPPPNTPPPTPQTSPITPVAPPPQTPPSNLPPAFPPNNP SATTPPQTSPTPPPQTPSVSPPITPTISPQLPPSNITPTPTEEILPVRPSVPPSQTPP VHSPIFSPKSPSILPPQVPSVPLPITPSETPSTIPPQTPLQSPPQTPPTTPPQTPLAP PPNTPQPSSPPLNFQPPPPPPQTPPSPPPNSQPPSTPPSPPPNSQPPPPPTCPRNASQ LRACSNTIRRFGNFLDFGRAQPCCSLIRDLSDAEVAACLCGLVQPQSQRYSTPSRNIF IICRACGRPMPRGFMCP" gene 12832708..12833242 /locus_tag="BRARA_H01115" /db_xref="Phytozome:Brara.H01115" mRNA 12832708..12833242 /locus_tag="BRARA_H01115" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01115" CDS 12832723..12833076 /locus_tag="BRARA_H01115" /codon_start=1 /product="hypothetical protein" /protein_id="RID50381.1" /db_xref="Phytozome:Brara.H01115" /translation="MASKNMTRIIPLYLTLVLFGFASAQPPLGQPPVCPLTLLQIRGC IRILRIGVILNTRNVGPCCTILDQLDPPRASVCACDAASINLGILGITVNLRVNQLLR LCRVPTTPDFRCSLI" gene complement(12833978..12840073) /locus_tag="BRARA_H01116" /db_xref="Phytozome:Brara.H01116" mRNA complement(join(12833978..12834439,12835304..12835505, 12835590..12835703,12835785..12836015,12836087..12836227, 12836314..12836481,12836564..12836719,12836790..12837133, 12837297..12837393,12837552..12837914,12839411..12840073)) /locus_tag="BRARA_H01116" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01116" mRNA complement(join(12833978..12835174,12835304..12835505, 12835590..12835703,12835785..12836015,12836087..12836227, 12836314..12836481,12836564..12836719,12836790..12837133, 12837297..12837393,12837552..12837914,12839411..12840073)) /locus_tag="BRARA_H01116" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01116" CDS complement(join(12835332..12835505,12835590..12835703, 12835785..12836015,12836087..12836227,12836314..12836481, 12836564..12836719,12836790..12837133,12837297..12837393, 12837552..12837914,12839411..12839824)) /locus_tag="BRARA_H01116" /codon_start=1 /product="hypothetical protein" /protein_id="RID50382.1" /db_xref="Phytozome:Brara.H01116" /translation="MRVKELHPLCCITLESPHGIDDNNRPPVTNFTRSRSLPATSLAG GSNRRKVTSVGSESVAGILHKWTNFGKGWRSRWFLLRNGILSYSKIRRPENLNLLSSS EDVRLIGDVSAGRLSRMDSSSGRRKPKKTVGIVHLKVSSFRESKSEDRKFYIFTATKT LHLRTDSISDRAAWLRALASTKCIFPLRSLNGDFSFTSPKDLFISTERLKKRFHEEGI NDNLVKECEQIMLSEFSEMHEQVKLLHEERTNLFDALRQLETANLEAEASGNDDNGYQ LTKHAFSSLGRGKYSECSTTASSDDKQEFEDVSEEDEPSFHDTKEYFNEPNVGSGSNG YTDIKRRTKLPDPAEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLE YSYLLDRAYEHGKSGNGLLRALNVAAFAVSGYASTEGRHCKPFNPLLGETYEADFPEK GIRFFSEKVSHHPTVIACHCEGKGWKFWGDTNLKSKFWGRSIQVEPVGVLTLEFDDGE VFQWSKVTSTIYNIILGKLYCDHHGVMQIRGNRQYSCTLKFKEQSILERNPHQVNGYV EDVSAKKAATVFGKWDDSLYYVAGDGVSKTKVSDPASNALLLWRRTKPPPNVTRYNLT SFAITMNELTPGLEEMLPPTDSRLRPDQRHLENGEYEKANLEKQRLERRQRMSRQLQE SGWRPRWFEKQGESETFKYTGGYWEARGRRTWYDCPDIFGEFTEEQLADSA" CDS complement(join(12835332..12835505,12835590..12835703, 12835785..12836015,12836087..12836227,12836314..12836481, 12836564..12836719,12836790..12837133,12837297..12837393, 12837552..12837914,12839411..12839824)) /locus_tag="BRARA_H01116" /codon_start=1 /product="hypothetical protein" /protein_id="RID50383.1" /db_xref="Phytozome:Brara.H01116" /translation="MRVKELHPLCCITLESPHGIDDNNRPPVTNFTRSRSLPATSLAG GSNRRKVTSVGSESVAGILHKWTNFGKGWRSRWFLLRNGILSYSKIRRPENLNLLSSS EDVRLIGDVSAGRLSRMDSSSGRRKPKKTVGIVHLKVSSFRESKSEDRKFYIFTATKT LHLRTDSISDRAAWLRALASTKCIFPLRSLNGDFSFTSPKDLFISTERLKKRFHEEGI NDNLVKECEQIMLSEFSEMHEQVKLLHEERTNLFDALRQLETANLEAEASGNDDNGYQ LTKHAFSSLGRGKYSECSTTASSDDKQEFEDVSEEDEPSFHDTKEYFNEPNVGSGSNG YTDIKRRTKLPDPAEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLE YSYLLDRAYEHGKSGNGLLRALNVAAFAVSGYASTEGRHCKPFNPLLGETYEADFPEK GIRFFSEKVSHHPTVIACHCEGKGWKFWGDTNLKSKFWGRSIQVEPVGVLTLEFDDGE VFQWSKVTSTIYNIILGKLYCDHHGVMQIRGNRQYSCTLKFKEQSILERNPHQVNGYV EDVSAKKAATVFGKWDDSLYYVAGDGVSKTKVSDPASNALLLWRRTKPPPNVTRYNLT SFAITMNELTPGLEEMLPPTDSRLRPDQRHLENGEYEKANLEKQRLERRQRMSRQLQE SGWRPRWFEKQGESETFKYTGGYWEARGRRTWYDCPDIFGEFTEEQLADSA" gene complement(<12855201..>12855416) /locus_tag="BRARA_H01117" /db_xref="Phytozome:Brara.H01117" mRNA complement(<12855201..>12855416) /locus_tag="BRARA_H01117" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01117" CDS complement(12855201..12855416) /locus_tag="BRARA_H01117" /codon_start=1 /product="hypothetical protein" /protein_id="RID50384.1" /db_xref="Phytozome:Brara.H01117" /translation="MCCFLWCYYVCCKNRGTQSRMVHLEQYPPLENVFYYEFTWFFPL DQTRTHPVSILMTIFRSVPYVSDFLWL" gene <12860745..>12861524 /locus_tag="BRARA_H01118" /db_xref="Phytozome:Brara.H01118" mRNA <12860745..>12861524 /locus_tag="BRARA_H01118" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01118" CDS 12860745..12861524 /locus_tag="BRARA_H01118" /codon_start=1 /product="hypothetical protein" /protein_id="RID50385.1" /db_xref="Phytozome:Brara.H01118" /translation="MPNGWIKFTSSLHCKSKAFDDVYDFNSYSCRKSSHCSKDVIDTR IGKKNLKPDPKLRRLKSCRAEPELNPPTRTRRSKSARTSDALTELPDGHPSRNVVEII FQSSWSSDEFPGRVEMIYKVENGSRTVTRFEEYREVVKSRAGIKGDREGTCEEDVRCL ADGNEMMRFYPLPDGVNGGAWAFSGGKSQAVCTFSSSGEAHASGGGGGGRRAMLICRV IAGQVANRIGFGSDSVAGRDGELYVFDTRAVLPCFLLIFRL" gene 12884816..12885599 /locus_tag="BRARA_H01119" /db_xref="Phytozome:Brara.H01119" mRNA 12884816..12885599 /locus_tag="BRARA_H01119" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01119" CDS 12884874..12885353 /locus_tag="BRARA_H01119" /codon_start=1 /product="hypothetical protein" /protein_id="RID50386.1" /db_xref="Phytozome:Brara.H01119" /translation="MKRLRGFKIGHRLVKIFKWIIRTRRNQTGKRQCLTGLLNPVPKI CSFARCLRRGADTLCGGKKTGQTRLGNEPKPQAVPKGHLVVHVGECGDDTRRVVVPVV YLNHPLFGELLEEKERAYGFDQPGRITIPCRVSDFEKVQMRIAACDHCRRKRAFKIF" gene 12890438..12891477 /locus_tag="BRARA_H01120" /db_xref="Phytozome:Brara.H01120" mRNA join(12890438..12890964,12891194..12891477) /locus_tag="BRARA_H01120" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01120" CDS join(12890496..12890964,12891194..12891330) /locus_tag="BRARA_H01120" /codon_start=1 /product="hypothetical protein" /protein_id="RID50387.1" /db_xref="Phytozome:Brara.H01120" /translation="MVMIYINKVPAAVAIALLFLVVVIAPQWTKAQPLPPFPPMSPLP PLPPMSPLPPLPPMSPLPPLPPMSPLPPMPKVDPVCTTAILDIVQNCYSTLSAVPSEE CCNGLKSASKTQVTCLCDNFIAHPVFSNLTRPYYDQVSNACGVLDKYACNGTGEGGDS KGGDSKGGDPKGGDGNAGAINKIAGSMGLFGLITCLFFLLF" gene complement(<12892222..>12893885) /locus_tag="BRARA_H01121" /db_xref="Phytozome:Brara.H01121" mRNA complement(join(<12892222..12892310,12892764..12893010, 12893089..12893174,12893257..12893529,12893743..12893788, 12893871..>12893885)) /locus_tag="BRARA_H01121" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01121" CDS complement(join(12892222..12892310,12892764..12893010, 12893089..12893174,12893257..12893529,12893743..12893788, 12893871..12893885)) /locus_tag="BRARA_H01121" /codon_start=1 /product="hypothetical protein" /protein_id="RID50388.1" /db_xref="Phytozome:Brara.H01121" /translation="MSLSSMANPHEPHFFKPLLPGKTNQKTWKLRSDASDETWEVIQE GRRLTRGWKDFTTAHDLQIGDLVIFKHEGDMVFHVTPFGPSCCEIQYTHPHIIKEEAD AGDADDNEIRGTGAMSSFSFDYCFLAEVTASNLKADKLYLPKRATSSTALNKQCQEMI LVNKEGNSWTANLRFSESGGMYYITRGWGKFCRDNRCDIGDLFVFNLVGDGKSTPLLC VCPEKLTTIIKWTITIINLELNRLTEPDASSSI" gene 12897648..12898752 /locus_tag="BRARA_H01122" /db_xref="Phytozome:Brara.H01122" mRNA join(12897648..12898042,12898436..12898752) /locus_tag="BRARA_H01122" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01122" CDS join(12897697..12898042,12898436..12898590) /locus_tag="BRARA_H01122" /codon_start=1 /product="hypothetical protein" /protein_id="RID50389.1" /db_xref="Phytozome:Brara.H01122" /translation="MAYVNKVSAVAAILFFAVAVAPLLAEPQTPMFPKMDPVCASLMP NLLEKCFSTVRETPTDDCCSDLKSATTTQVTCLCDNYIANPAVVNFTGPYSAGITTKC GVFDKYSCNGSSNGGGEGSSSNSSSSSNGKDNSKSEGSGGRANKVAASIMAVFGLVAS LIFVMF" gene 12899690..12902157 /locus_tag="BRARA_H01123" /db_xref="Phytozome:Brara.H01123" mRNA join(12899690..12899859,12900138..12900195, 12900269..12900430,12900498..12900666,12900744..12900808, 12900897..12901037,12901126..12901242,12901323..12901637, 12901738..12901795,12901888..12902157) /locus_tag="BRARA_H01123" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01123" CDS join(12899750..12899859,12900138..12900195, 12900269..12900430,12900498..12900666,12900744..12900808, 12900897..12901037,12901126..12901242,12901323..12901637, 12901738..12901795,12901888..12901988) /locus_tag="BRARA_H01123" /codon_start=1 /product="hypothetical protein" /protein_id="RID50390.1" /db_xref="Phytozome:Brara.H01123" /translation="MDATKLSELKVFIDQCKSDPSLLSTPSLSFFREYLQSLGAKLPS AAASEEHKDTKEKSFVVEEDSDDDMEETEEPKPTVEVEEEEEDEIVESDVELEGDTVE PDNDPPQKMGDSSVEVTDENREASQEAKGKAMEALSEGKLDEAIEHLTQAITLNPTSA IMYGNRASVYIKLKKPNAAIRDANAALEINPDSAKGYKARGMAHAMLGEWAEAAKDLH LASTIDYDEEISAVLKKVEPNAHKLEEHRRKYDRLRKERDDKKAARDRQRRRAEAQAA YDKAKKEEQSSSSRGGGFPGGFPGGFPTGMGGMPGGFPAGMGGMPGGFPAGMGGMPGG FPAGMGGGMPAGMGGGMPGAGGMPGAGGAGAGGMPGGIDFSKILNDPELMTAFSDPEV MAALQDVMKNPANLAKHQANPKVAPVIAKMMGKFGGAPK" gene complement(<12910485..>12911716) /locus_tag="BRARA_H01124" /db_xref="Phytozome:Brara.H01124" mRNA complement(join(<12910485..12911028,12911454..>12911716)) /locus_tag="BRARA_H01124" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01124" CDS complement(join(12910485..12911028,12911454..12911716)) /locus_tag="BRARA_H01124" /codon_start=1 /product="hypothetical protein" /protein_id="RID50391.1" /db_xref="Phytozome:Brara.H01124" /translation="MGRQPCCDKLGVKKGPWTTEEDKKLINFILNNGHCCWRALPKLA GLRRCGKSCRLRWTNYLRPDLRRGLLSHEEEQLVIDLHAHMGNKWSKIASRLRGRTDN EIKNHWNTRIKKKLVKMGIDPVTHQPLNQDPNSTDNPKNSCSVSDDISMVTKSSSTKN IETNGTRTEDESISTITDQNSSMDNDNHQLSNIYNDEELFSYLWSDENTKAETPWSDS NYDVGGTLYHDNNVSCAGADFPICSPERINGVDWTFQDYCQDFGVHDFGF" gene 12927143..12929029 /locus_tag="BRARA_H01125" /db_xref="Phytozome:Brara.H01125" mRNA 12927143..12929029 /locus_tag="BRARA_H01125" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01125" CDS 12927187..12928761 /locus_tag="BRARA_H01125" /codon_start=1 /product="hypothetical protein" /protein_id="RID50392.1" /db_xref="Phytozome:Brara.H01125" /translation="MDATSSNFTLSKILNTEDPYSSIMLAVAALLAVICYFWIQGKSK SRNGPPLPPGPWPLPIVGNLPFLNSDILHTQFQALTQKHGPLMKIHLGSKLAIVISSP DMAREVLKTHDITFANHDLPEVGKINTYGGEDILWSPYGTHWRRLRKLCVMKMFTTPT LEASYSTRREETRQTVVYMSEMARDGAPVNLGEQIFLSIFNVVTRMMWGATVEGEERT SLGNELKTLISDISDIEGIQNYSDFFPWFARFDFQGLVKQMKVHVKKLDLLFDRVMES HVKMVGKKSEEEEDFLQYLIRVKDEDEKAPLSLTHVKSLLMDMVLGGVDTSVNASEFA MAEIVSRPEVFKKIRQELDQVVGTDSIVEESHLPKLPYLQAVMKETLRLHPTLPLLVP HRNSETSVVAGYTVPKDSKIFINVWAIHRDPKHWDEPNEFKPERFLENSLDFNGGDFK YLPFGSGRRICAAINMAERLVLFNIASLLHSFDWVAPKGQKFEVEEKFGLVLKLKSPL VAIPVPRLSDPKLYAA" gene 12930100..12939926 /locus_tag="BRARA_H01126" /db_xref="Phytozome:Brara.H01126" mRNA join(12930100..12930256,12930389..12933221, 12934097..12934486,12934598..12937791,12937888..12937951, 12938240..12938488,12938569..12939071,12939148..12939926) /locus_tag="BRARA_H01126" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01126" CDS join(12930432..12933221,12934097..12934486, 12934598..12937777) /locus_tag="BRARA_H01126" /codon_start=1 /product="hypothetical protein" /protein_id="RID50393.1" /db_xref="Phytozome:Brara.H01126" /translation="MDPKSFGDRVAKGRPQELEDKLKKKKERDVVADDTVSARRSKRR RLREESVLTDTDDVVYQPKTKETRAAYEALLSVIQQQLGGLPLSIASGASDEILSLLK NDAVKNPEKKVEIKKLLSTEDDQIDQVFDQLVSIGKLITDFQEGGDSVGGHANEDEGL GNAFGVAVEFEGSEEDDDDGELDMVEEEEDEEDEEPQKTGGMQVDAGINEEDANEGTS LNVQDIGAYWLQKKISQAYEQKMDSQKCHVLAEELLKILAEGDDRGVEDKLLMHLQYE KFSLVKFLLRNRLKVVWCTRLARAEDQEERNRIEEEMRGLGLEVAAIVEQLHATRATA KEREENLQKSINEEARRLRDETVGDGGRGRRDVADRDLESGWLKGQHQMLDLESLSFD QGGLLMANKKCDLPPGSYRTHGKGYEEVHLPAVSKKVDVSEKLVKIAEMPDWAQPAFK GMQQLNRVQSKVYETALFKADNILLCAPTGAGKTNVAMLTILHQIELNRNDGGTFNHG NYKVVYVAPMKALVAEVVSNLSNRLKDYGVTVRELSGDQSLSGKEIEETQIIVTTPEK WDIITRKSGDRTYTQLVRLLIIDEIHLLHDNRGPVLESIVARTLRQIETTKENIRLVG LSATLPNYEDVALFLRVDLKKGLFKFDRSYRPVPLRQKYIGISVRKPLQRFQLMNDLC YQKVVAGAGKRQVLIFVHSRKETAKTAHAIVETAMANDKLSKFLKEDSASREVLQSQI GLIKNGELRKLLPYGFAIHHAGLTRGDREIVEALFGEGHVQVLVSTATLAWGVNLPAH TVIIKGTQVYNPEKGAWRELSPLDVMQMLGRAGRPQYDRSGEGIIITGHSELQYYLSL MNEQLPIESQFISKLADQLNAEIVLGTVQNAKEACHWLGYTYLYIRMVRNPTLYGLAP DALVKDVVLEERRADLIHSAATLLDKNNLVKYDRKSGYFQVTDLGRIASYYYISHGTI ATYNEHLKPTMGDIDLYRLFSLSEEFKYVSVRQDEKMELCKLLDRVPVPIKETLEESS AKINVLLQAYISQLKLEGLSLTSDMVYITQSAGRLVRALYEIILKRGWAQLAEKALNL SKMVGKRMWSAQTPLRQFHGIPNEILMNLEKKDLVWERYYDLSSQELGELIRSPKMGR PLHKFIHQFPKLVLAAQVQPITRTVLRVELTITPDFQWDEKIHKYVEPFWITVEDNDC EKILHHECFLLKKQYISEDHTLTFTVPIFEPLPPQYFVRVVSDKWLGSQTVLPVSFRH LVLPEKHPPPTELLDLQPLPVTALRNPNYESLYQDFKHFNPVQTQVFTVLYKTYDNVL VAAPTGSGKTICAEFAILKNHQEVLLRQEDDATMRVVYIAPLEAIAKEQFRIWERKFG KGLGLRVVELTGETALDLKLLEKIQIIISTPEKWDALSRRWKQRKYVQQVSLFIVDEL HLIGEGQGGTVLEVIVSRMRYISSQGDNKIRIVALSTSLANAKDLGEWIGASSHGLFN FPPGVRPVPLEIHIQGVDISSFEARMQAMTKPTYTAIVQHAKNKKPAIVFVPTRTHVR LTAVDLMAYSQMDNPQSPDFLLGKLEELEPFVKKISEETLKETLRHGVAYLHEALCSM DQEIVTQLFEAGRIQTCVMSSSFCWGTPLTAHLVVVMGTQCYDGRENSHADYAVSDLL QMMGRASRPLLDNAGKCVIFCHAPRKEYYKKFLYEAFPVESHLQHFLHNNFNAEVVAG VIENKQDAVDYLTWTFMYRRLPQNPNYYNLQGVSHRHLSDHLSELVENTLSDLEASKC IEIEDEMDLSALNLGMIASYYYISYTTIERFSYLLSSKTKMKGLREILTSASEYDMIP IRPGEEDRVRRLVNHQRFSFENPNCTDPHVKANALLQAHFSRQDIITNLEIDQREVLL SATRLLQAMVDVISSNGWLNLALLAMEASQMVTQGMWERDSMLLQLPHFTKELAKRCQ ENNIETVFDLVEMKAEERLELLSISDTELLDIAKFCNRFPNIDLTYEVVGSEDVTPGK EVTLQVMLERDMEGRTEVGAVDAPRYPKTKEEGWWLVVGDTKTNQLVAIKRVSLQKKA KVKLDFQVPSEAGEKPYTLYFMCDSYMGCDQEYAFSVDVKESMEE" gene complement(12939148..12941502) /locus_tag="BRARA_H01127" /db_xref="Phytozome:Brara.H01127" mRNA complement(join(12939148..12939926,12940011..12940086, 12940173..12940253,12940324..12940481,12940561..12940954, 12941320..12941502)) /locus_tag="BRARA_H01127" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01127" mRNA complement(join(12939148..12939926,12940011..12940086, 12940173..12940253,12940324..12940481,12940561..12940961, 12941320..12941502)) /locus_tag="BRARA_H01127" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01127" mRNA complement(join(12939148..12939926,12940011..12940086, 12940173..12940253,12940324..12940481,12940561..12941009)) /locus_tag="BRARA_H01127" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01127" CDS complement(join(12939727..12939926,12940011..12940086, 12940173..12940253,12940324..12940481,12940561..12940942)) /locus_tag="BRARA_H01127" /codon_start=1 /product="hypothetical protein" /protein_id="RID50394.1" /db_xref="Phytozome:Brara.H01127" /translation="MQGGGGRDPFNFGGPFGGSFGGPRSLMSSFFGGRDPFDDPFFTQ PFGGGMFQSNFFGPTMDPFSAMRPPSGFIENHHQPPQMRRSHGPIIEEIESDDEKEGD KEKKVRLGKHGRSSSEVETEEARALERRRNRRMHNMNVNGQWQPQARSYSFQSSTVTY GGHDGNYYTSSKTRRTGSDGLTLEESKEANTATREAAHRISRGLHNKGHTVARKLNSD GRVDTRQTLHNLNEDELADFEQSWSGNARMQLPGRSGSFGSNYREQPMLLPSTDPSPS PARAGSFRRTKAATNVRGHGRN" CDS complement(join(12939727..12939926,12940011..12940086, 12940173..12940253,12940324..12940481,12940561..12940942)) /locus_tag="BRARA_H01127" /codon_start=1 /product="hypothetical protein" /protein_id="RID50395.1" /db_xref="Phytozome:Brara.H01127" /translation="MQGGGGRDPFNFGGPFGGSFGGPRSLMSSFFGGRDPFDDPFFTQ PFGGGMFQSNFFGPTMDPFSAMRPPSGFIENHHQPPQMRRSHGPIIEEIESDDEKEGD KEKKVRLGKHGRSSSEVETEEARALERRRNRRMHNMNVNGQWQPQARSYSFQSSTVTY GGHDGNYYTSSKTRRTGSDGLTLEESKEANTATREAAHRISRGLHNKGHTVARKLNSD GRVDTRQTLHNLNEDELADFEQSWSGNARMQLPGRSGSFGSNYREQPMLLPSTDPSPS PARAGSFRRTKAATNVRGHGRN" CDS complement(join(12939727..12939926,12940011..12940086, 12940173..12940253,12940324..12940481,12940561..12940942)) /locus_tag="BRARA_H01127" /codon_start=1 /product="hypothetical protein" /protein_id="RID50396.1" /db_xref="Phytozome:Brara.H01127" /translation="MQGGGGRDPFNFGGPFGGSFGGPRSLMSSFFGGRDPFDDPFFTQ PFGGGMFQSNFFGPTMDPFSAMRPPSGFIENHHQPPQMRRSHGPIIEEIESDDEKEGD KEKKVRLGKHGRSSSEVETEEARALERRRNRRMHNMNVNGQWQPQARSYSFQSSTVTY GGHDGNYYTSSKTRRTGSDGLTLEESKEANTATREAAHRISRGLHNKGHTVARKLNSD GRVDTRQTLHNLNEDELADFEQSWSGNARMQLPGRSGSFGSNYREQPMLLPSTDPSPS PARAGSFRRTKAATNVRGHGRN" gene complement(<12945746..>12947981) /locus_tag="BRARA_H01128" /db_xref="Phytozome:Brara.H01128" mRNA complement(join(<12945746..12946078,12947523..12947675, 12947745..>12947981)) /locus_tag="BRARA_H01128" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01128" CDS complement(join(12945746..12946078,12947523..12947675, 12947745..12947981)) /locus_tag="BRARA_H01128" /codon_start=1 /product="hypothetical protein" /protein_id="RID50397.1" /db_xref="Phytozome:Brara.H01128" /translation="MVQPQTKKHRGPTKMKDIARDPNARIRVEFTEFGEPCGEGSVKL SSYLGPLVREHVPVVIDDWKQIGEERKTVLWKSVKLRFELDGEYEKTAVMKQMGCLWR ASKSRLINQIIKADNHADRLKLRPDNTPSVNKRTPQPKCIIYDWCDKESKVAEGRFLS SDVMEFVNNVSLGPNSSKVVVETAFEEDAFLWRPAPKMYTIGQAVGETVAWPQDSVLV LDEEFSPDDIPSKVRFSFLISF" gene complement(<12948464..>12949793) /locus_tag="BRARA_H01129" /db_xref="Phytozome:Brara.H01129" mRNA complement(join(<12948464..12949069,12949146..12949251, 12949346..12949630,12949711..>12949793)) /locus_tag="BRARA_H01129" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01129" CDS complement(join(12948464..12949069,12949146..12949251, 12949346..12949630,12949711..12949793)) /locus_tag="BRARA_H01129" /codon_start=1 /product="hypothetical protein" /protein_id="RID50398.1" /db_xref="Phytozome:Brara.H01129" /translation="MVHLCVHLGREARLGGPVHFRWMYPFERYMKVLKDYVRNTARPE GCIAESYLAEECMKFCSAFLKTTTNVEEKGDRNTEYESHSILEGRPISAGRSFTLTDS DKKIAHLAVIQNTAMVDPYVDAHLQHLQDSNGRCKRDATYLWRMHTEKFAAWLKQQIP IDSVHEEETLKWLAYGPRSIARSYTGYIVNGLRFHTNLVHRLSQNSGVYYEATTMCRS SARDTSQVVDVVSYYGRVVDIILLDYNAFYVPIFRCQWAVKGNGVKVEDGFTLVNFNH SHISFAKDPFILASQATQIFYSRENDESSWYVVMKGPSRRYSNEKVEDLHAEVGPLPS DMDMSLEDISDEAENVRDDCEGIYV" gene complement(<12950826..>12952038) /locus_tag="BRARA_H01130" /db_xref="Phytozome:Brara.H01130" mRNA complement(join(<12950826..12950878,12950956..12951464, 12951509..12951913,12952007..>12952038)) /locus_tag="BRARA_H01130" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01130" CDS complement(join(12950826..12950878,12950956..12951464, 12951509..12951913,12952007..12952038)) /locus_tag="BRARA_H01130" /codon_start=1 /product="hypothetical protein" /protein_id="RID50399.1" /db_xref="Phytozome:Brara.H01130" /translation="MLDKSWVHLCRVDSAYERGAWGFVNAVTAKLGVNGKIVCPCARC RNLDRHTSEEVVSHLVINGMDDAYKVRSDWFHHGDGNSVAVLEGEDRCWNAEILSLYE AANCLDEDLAIRGSQLRESVEGEDRKEDEFLAKLAEAETPLYPTIKSQNGWSDKSFDD LLQTLPNMLPEDNVLHTSTYDVKKFLKSFDMGYQKIHACVNDCCLFRKKLKTTESCPK CKASRWKTNMHTGELKKGVPQKVLRYFPLIPRLKRMFRQEQLAMDLRWHFNNKSTDGK LRHPVDSVTWQSMNDKYPSFAAEERNLRLGLSTDGFNPFRGEHHAQSADSRTASTG" gene 12953736..12955493 /locus_tag="BRARA_H01131" /db_xref="Phytozome:Brara.H01131" mRNA join(12953736..12954292,12954563..12954767, 12955155..12955493) /locus_tag="BRARA_H01131" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01131" CDS join(12953936..12954292,12954563..12954767, 12955155..12955372) /locus_tag="BRARA_H01131" /codon_start=1 /product="hypothetical protein" /protein_id="RID50400.1" /db_xref="Phytozome:Brara.H01131" /translation="MSNSTKMSNSTHRRRVPTPVGNGGRSLRMRRTASRCVSDKNRSK SINQVFERSFSDTSLDFRRDGDGSCMRRSSPLSGLPTEESGPIFYLPRIRSEVMASSP SLLRFSSPSSPFPTNQEENKREATKVVINVAVEGSPGPVRTMVKLSCNVEETIKLVVE KYCEEGRTPKLDKGAAYELHQSHFSIQCLEKGEIIGEIGSRNFYLRKGTHETGVSFAG IAPMRMSFIPSSNMIESCIAQFIGKILRRTRKLWNILVCTQ" gene 12967637..12971272 /locus_tag="BRARA_H01132" /db_xref="Phytozome:Brara.H01132" mRNA join(12967637..12967906,12968085..12968225, 12968351..12968431,12968606..12968738,12968824..12968926, 12969010..12969060,12969167..12969264,12969348..12969423, 12969515..12969577,12969673..12969759,12969870..12969928, 12970037..12970115,12970189..12970254,12970362..12970424, 12970520..12970582,12970665..12970709,12970793..12970884, 12970997..12971272) /locus_tag="BRARA_H01132" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01132" CDS join(12967732..12967906,12968085..12968225, 12968351..12968431,12968606..12968738,12968824..12968926, 12969010..12969060,12969167..12969264,12969348..12969423, 12969515..12969577,12969673..12969759,12969870..12969928, 12970037..12970115,12970189..12970254,12970362..12970424, 12970520..12970582,12970665..12970709,12970793..12970884, 12970997..12971015) /locus_tag="BRARA_H01132" /codon_start=1 /product="hypothetical protein" /protein_id="RID50401.1" /db_xref="Phytozome:Brara.H01132" /translation="MEMDEDMEIEPTAFEAKEIDLEYEFDASRWFDFTRMESAEESQS AEFWFHSAPSYAPSPFVTKLLLSEEVSDDKTEAAASTRSETAEDVCERDRETYQPSHI NKTGNGMRFGMFSSQQGSHLKKLPSQPICKGPTVSNHNQNDKPKFRAKSSIRSTPRSS TLMRPTASQLAKQNNASKFHMQVDQIHEKGICGTEVQAAKRQKLDGGLLRKVADTKQE MNFVHKIPKKDTTLDRNSQQTRTKITIAQEPDFATSQRAHRTRHKNDAKLEQDSTTVY RFKARPFNRKIFDAPSLPIRKKSTPKLPEFQEFHLKTSERAMQHSSAVTTRSNQGSDV YKGSDKSNITDALDGVNRANRRPSAMDISKHDVSEGKHIFKARPLNKKILSSKGDMGI FKNSKRETTVPLEFSFHSEKRVQPDLPTDLFSKLSIKSELKQNNGSGTRFHQAKGFKE NRVNSFQAGNEVTNRLAAGQQIQSSNSGVVINQTNQRWTASRSLGIR" gene complement(12971805..12973087) /locus_tag="BRARA_H01133" /db_xref="Phytozome:Brara.H01133" mRNA complement(join(12971805..12972190,12972261..12972428, 12972504..12972677,12972750..12973087)) /locus_tag="BRARA_H01133" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01133" CDS complement(join(12971903..12972190,12972261..12972428, 12972504..12972677,12972750..12972884)) /locus_tag="BRARA_H01133" /codon_start=1 /product="hypothetical protein" /protein_id="RID50402.1" /db_xref="Phytozome:Brara.H01133" /translation="MCSLSASLLLPARLKPGYSDKRGNSSNSLLVSNGRSKMKSQGIV PMTRLFGPAIFESSKLKVLFVGVDEKKHPPTLPRTYTLTHSDITAKLTLAISHSINNS QLQGWANKLYRDEVVAEWKKVKGKMSLHVHCHISGGHILLDIFAKFRYYIFCKELPIV LKAIVHGDGDLLNKYPELQEAPVWVHFHSNVDEFNRVECWGPLWEATTTTSPDDDGHR RTHTLPESQCVDECTCCSPPVISIPWSHNPEKMSNS" gene complement(12974867..12977746) /locus_tag="BRARA_H01134" /db_xref="Phytozome:Brara.H01134" mRNA complement(join(12974867..12975410,12975491..12975650, 12975726..12975963,12976056..12976266,12976351..12976472, 12976547..12976684,12976858..12977746)) /locus_tag="BRARA_H01134" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01134" CDS complement(join(12975081..12975410,12975491..12975650, 12975726..12975963,12976056..12976266,12976351..12976472, 12976547..12976684,12976858..12977677)) /locus_tag="BRARA_H01134" /codon_start=1 /product="hypothetical protein" /protein_id="RID50403.1" /db_xref="Phytozome:Brara.H01134" /translation="MNLISILCFVLISFGVASVSAETTCPNNGGGFTPNGTYDANRRL ILPYLPSNVTAQKGLFYNGSIGQEPNRIYVTGMCMPGSDTVVCSDCIKMASDDLLQRC PNQTEAYSWAPHPTLCYVRYSNTSFLGSLDLSPWQSITNRANVTSDLTEFRKIWEDLA VRMIDAASTSKSTPSSSDNYYTANTAALNPFQEIYALMQCTPDLSSSDCKTCLRESVR HYNDRPCCNRRQGTRVRRPSCYLRMETYTFSKASFVNFTAASPLPVDDQPSKINNGED SKRLSTGIVVAITVATVVGVLILLVLGYVLCRRRNSYQITQFENDSDISTTHSSQYDF KTIEVATNNFSSSNKLGEGGFGEVYKGTLSTGTEVAVKRLSKMSGQGTREFRNEAVLV SKLQHRNLVRLLGFCLEGEEKILIYEFVPNKSLDYFLFDPEKQGQLDWSQRYKIIGGI ARGILYLHQDSQLTIIHRDLKASNILLDADMNPKISDFGLSTIFGIDQTQGNTNRIAG TYAYMSPEYALQGQFSMKSDVYSFGVLVLEIISGKKNSNVYQMDETSTAGNLVNNAWR LWRNGSPLELLDPSIGRNNQSNEVTRCIHIALLCVQDNPEDRPMLSTIILMLTSNTIT LPVPQLPSFFPRSRPEFEQVSVSESSQSTVKSVSDSICDTTITELEPR" gene 12978804..12981735 /locus_tag="BRARA_H01135" /db_xref="Phytozome:Brara.H01135" mRNA join(12978804..12979677,12979890..12980030, 12980105..12980226,12980317..12980527,12980620..12980857, 12980935..12981094,12981174..12981735) /locus_tag="BRARA_H01135" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01135" CDS join(12978837..12979677,12979890..12980030, 12980105..12980226,12980317..12980527,12980620..12980857, 12980935..12981094,12981174..12981506) /locus_tag="BRARA_H01135" /codon_start=1 /product="hypothetical protein" /protein_id="RID50404.1" /db_xref="Phytozome:Brara.H01135" /translation="MKKLSFFLILCFVLISFVAASFSEETCSSNGMVFTPNGTYDANR RLILSYLPSNVTAQKGLFYNASIGQEPNRIYATGMCIQGSDPVDCSDCIRTTSDALIQ KCPNQTEAYSWPGGPTLCHVRYSNTSFLGSVDLSPRDSITHGSNVTSDLTEFKKIWED LAVRMFDAASTSRSTPSSSDNHYTANIAALTPFKDVYALMQCTPDISSSDCRTCLRQS AFEYSQPCCNTKQGTRIVRPSCYFRMELYSFSKATFVNFTAASPPPMAVPQPPDGQAN MTNNGEDSKRLSTGIVVAITVATVVGVLILLVLGYVLCRRRRNSYQRTQFENDSDIST THSSQYDFKTIEVATNNFSSSNKLGEGGFGEVYKGTLSTGTEVAVKRLSKMSGQGTRE FRNEAVLVSKLQHRNLVRLLGFCLEGKEKILIYEFVPNKSLDYFLFDPEKQSRLDWTQ RYKIIGGIARGILYLHQDSQLTIIHRDLKASNILLDAGMLPKISDFGLSTIFGIEQTQ GNTNRIAGTYAYMSPEYAMQGQFSMKSDVYSFGVLVLEIISGKKNSNVYQMDETSTAG NLVNNAWRLWRNGSPLELLDPAIRRNNQSNEITRCIHIALLCVQDNPEDRPMLSTIIL MLTSNTITLPVPNLPSFFPRNRLELEKVSEGFESSRSTVKSAGYSVSDSSITDLEPR" gene complement(12982520..12986797) /locus_tag="BRARA_H01136" /db_xref="Phytozome:Brara.H01136" mRNA complement(join(12982520..12982877,12982974..12983015, 12983186..12983227,12983804..12983903,12983995..12984056, 12984214..12984292,12986146..12986363,12986590..12986797)) /locus_tag="BRARA_H01136" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01136" CDS complement(join(12982719..12982877,12982974..12983015, 12983186..12983227,12983804..12983903,12983995..12984056, 12984214..12984292,12986146..12986327)) /locus_tag="BRARA_H01136" /codon_start=1 /product="hypothetical protein" /protein_id="RID50405.1" /db_xref="Phytozome:Brara.H01136" /translation="MVRGKTEMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVA LIIFSPTSKLYEFSSSSISKTIERYQRRVTEIGINHKRDADSQQARGETYGLTKKIEQ LEIIKRKMLGEGIDVCSIEELHQLENQLERGLTRIRAKKYQLLREEIDKLKEEERNLI KENKELNEKLSGMGAIVVASSSSTLTSSEVNTDGNDSMEVETGLFIGPPEPRQSKKIY PQC" gene complement(13021976..13023951) /locus_tag="BRARA_H01137" /db_xref="Phytozome:Brara.H01137" mRNA complement(13021976..13023951) /locus_tag="BRARA_H01137" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01137" CDS complement(13022203..13023876) /locus_tag="BRARA_H01137" /codon_start=1 /product="hypothetical protein" /protein_id="RID50406.1" /db_xref="Phytozome:Brara.H01137" /translation="MSSHFLQEASEACFNGCCSSPFSTQSITKKQKEEEDHEFSLITT GASFLTRDIKFTSQESLPSLHTSFYDLITAFPDYLQTSQADHLRTTEYQNLSYSSSHV LLNHTGQQQPLFSYSQSISGSDQSLFTLSRKQVSSGEELLSFATEESRFQTRMRRRIT SFMNLEESEYDMILTQDRSSAFKILAELYCFKTCPNLLTVYNYEDEAVEEMIRISEKK GVKPKAAEFSWPSTEIVSEKLKRNIARSKRRRDKRGLFVFPLQSLVTGASYSYSWMSL AHENEWHVLLDTSALSSKDMETLGLSLFRPDFLICSFTEVLGQDDGPGFGCLFVKKSS SQALSEAPEHITSPANLTVVKAEPACENDENALGESSLDHEEDHTDIVEVEEEDDKTI IEFRGLDHADSLGLVLISRRLKSLTLWLVRALTSLKHPGSHQPEMHLVKICGPKTRPK RGPSISFNVFDWQGEKVDPLLVERLAEREKIGLRCAYLQKISRIGKDKKGSNEKQNLS LRVAVVCVRLGFMTNFDDVFRVWGFVSRFLDADFVEKEKWRKKALEKNK" gene 13054637..13059323 /locus_tag="BRARA_H01138" /db_xref="Phytozome:Brara.H01138" mRNA join(13054637..13055270,13056116..13056281, 13058200..13058412,13058505..13058591,13058681..13059323) /locus_tag="BRARA_H01138" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01138" CDS join(13055188..13055270,13056116..13056281, 13058200..13058412,13058505..13058591,13058681..13059145) /locus_tag="BRARA_H01138" /codon_start=1 /product="hypothetical protein" /protein_id="RID50407.1" /db_xref="Phytozome:Brara.H01138" /translation="MLAGSTDTEAVATVLRPLRYNLHFVLIWYPDLSLSPTIPPRPTG TGEKGPGGQTETMLNPLRPELELVMASTSAEVPLLPDHEAKRALRALKGLVKLQAIVR GHIERKKMSVHLRRMHALVRAQARVRARRVVVSSESSSSKSNNTKSSHFQNPIDKGPT TPEKLEYSISSRSSKLGHSHLSKRNGSKARGNRPDIFYSSHLVLDNSGWSGPVYAMPF SPSSSHEETVSQFCNAENSTQLYSATSINKPSVFTTSSIAPSESTKSCCYTDHPSYMA CTESSRARARSASAPKSRPQLYHEQSLSKQFGDALQTSFMNKAYPGSGRLNRLGMPIG YRY" gene <13064111..13064654 /locus_tag="BRARA_H01139" /db_xref="Phytozome:Brara.H01139" mRNA <13064111..13064654 /locus_tag="BRARA_H01139" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01139" CDS 13064111..13064425 /locus_tag="BRARA_H01139" /codon_start=1 /product="hypothetical protein" /protein_id="RID50408.1" /db_xref="Phytozome:Brara.H01139" /translation="MVETLFWLLSHLFCRNGCFCPHEFRNILLPSTLPSFDNLQHLDV SHVVPLQLVLHSSLLLHLSSYALVLSLQLGLATVPQRTHNWTHLPNHNSSPECLYQLW MV" gene complement(13067975..13071099) /locus_tag="BRARA_H01140" /db_xref="Phytozome:Brara.H01140" mRNA complement(join(13067975..13068528,13068628..13068755, 13068838..13069075,13069154..13069364,13069810..13069931, 13070004..13070144,13070240..13071099)) /locus_tag="BRARA_H01140" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01140" mRNA complement(join(13067975..13068528,13068602..13068755, 13068838..13069075,13069154..13069364,13069810..13069931, 13070004..13070144,13070240..13071099)) /locus_tag="BRARA_H01140" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01140" CDS complement(join(13068220..13068528,13068602..13068755, 13068838..13069075,13069154..13069364,13069810..13069931, 13070004..13070144,13070240..13071071)) /locus_tag="BRARA_H01140" /codon_start=1 /product="hypothetical protein" /protein_id="RID50409.1" /db_xref="Phytozome:Brara.H01140" /translation="MITSWIMPVYTSLNSLFLLAFFITYLRASAQLQDPTYVSQLCTN RISRNTTYIFNLQTLLTSLSSSSAFFSMGSQSLTKGQNDDMVFGLYLCKGDLSPEACR DCVLFSTKDAPTRCPGGKEFLIQYDECMLGYSDRNIFSDAVTTTRIITWNPQKITEDQ DLSDRFNHAVVALINKSAKEAANSTTKKFAANKTNFTSSRTIYTSVQCNPELSGEDCV TCLQRSIKDFYFNSVGGRVLVPSCNSRYELYPFYNETFLTSLSPPVNSPPLVSGPPLP PGKGRDWTVIILAICVPFSVFVLFLVAVISYRVTKRVKKTYDTTAADDEGDDITTAGS LQFDFKVIEAATDKFLISNKLGQGGFGKVYKGTLPNGLQVAVKRLSKTSGQGEKEFKN EVVLVAKLQHRNLVKLLGFCLEREEKILVYEFVSNKSLDYFLFDSSKQSQLDWNTRYK IIGGIARGILYLHQDSRLTIIHRDLKAGNILLDADMNPKVADFGMARIFEMDQTEANT RRVVGTYGYMSPEYAMYGQFSMKSDVYSFGVLVLEIISGRKNSSLYQMDGSVCNLVTY TWRLWSKGSPLELVDMSFGNNYQRNEISRCIHIALLCVQEDTGDRPTMSGIVQMLTTS SISLAVPRPPGLFFKSSQEQTGPSMDKSVLCSIDDAPITSVTPR" CDS complement(join(13068467..13068528,13068628..13068755, 13068838..13069075,13069154..13069364,13069810..13069931, 13070004..13070144,13070240..13071071)) /locus_tag="BRARA_H01140" /codon_start=1 /product="hypothetical protein" /protein_id="RID50410.1" /db_xref="Phytozome:Brara.H01140" /translation="MITSWIMPVYTSLNSLFLLAFFITYLRASAQLQDPTYVSQLCTN RISRNTTYIFNLQTLLTSLSSSSAFFSMGSQSLTKGQNDDMVFGLYLCKGDLSPEACR DCVLFSTKDAPTRCPGGKEFLIQYDECMLGYSDRNIFSDAVTTTRIITWNPQKITEDQ DLSDRFNHAVVALINKSAKEAANSTTKKFAANKTNFTSSRTIYTSVQCNPELSGEDCV TCLQRSIKDFYFNSVGGRVLVPSCNSRYELYPFYNETFLTSLSPPVNSPPLVSGPPLP PGKGRDWTVIILAICVPFSVFVLFLVAVISYRVTKRVKKTYDTTAADDEGDDITTAGS LQFDFKVIEAATDKFLISNKLGQGGFGKVYKGTLPNGLQVAVKRLSKTSGQGEKEFKN EVVLVAKLQHRNLVKLLGFCLEREEKILVYEFVSNKSLDYFLFDSSKQSQLDWNTRYK IIGGIARGILYLHQDSRLTIIHRDLKAGNILLDADMNPKVADFGMARIFEMDQTEANT RRVVGTYGYMSPEYAMYGQFSMKSDVYSFGVLVLEIISGRKNSSLYQMDDLEAMEQGV SIRARGYVFWK" gene complement(13073969..>13076184) /locus_tag="BRARA_H01141" /db_xref="Phytozome:Brara.H01141" mRNA complement(join(13073969..13074230,13074307..13074544, 13074631..13074841,13074929..13075029,13075120..13075235, 13075334..>13076184)) /locus_tag="BRARA_H01141" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01141" CDS complement(join(13074032..13074230,13074307..13074544, 13074631..13074841,13074929..13075029,13075120..13075235, 13075334..13076184)) /locus_tag="BRARA_H01141" /codon_start=1 /product="hypothetical protein" /protein_id="RID50411.1" /db_xref="Phytozome:Brara.H01141" /translation="MAKKSSVSILCFLIITFSAISVSAQTCDETAGNFKPDSPYDMNR RLINFTLASNVTVHDGYFYGWMGLDPDIVYAVGMCSPGAGPEACSQCIQDTSDSLLKS CPNQTDSFTWLGEEFLCLVHYSRKPFTGVLILEPSKVLYNSVDIEKENLKEFDGVWDE LMSRTMTSLVRNNLSTPTSKYYENEIAPVPGYKNISVLMQCTPDVSSEDCKLCLEKSV DYYKTLHHGKRGGIVLRPSCFLRWQLYTFSGVFDHIRMPPPSSPPLPSPPPSKTPFVV TNMPKKGNLSGGIISAIVIFILFSIILVAIVVAIFKRKRKQKIKLPTESVQFDLKTIE AATSNFSASNKLGQGGFGEVYKGMLMNGTEVAVKRLSKTSRQGEVEFKNEVVVVAKLQ HRNLVRLLGFSLQGEEKLLVYEFVPNKSLDYFLFDPKKIIQLDLTMRRNIIDGITRGI LYLHHDSRLKIIHRDLKASNILLDVDMNPKIADFGMARTFCMDQNVANTRRVVGTFGY MPPEYVTHGQFSIKSDVYSFGVLILEIISGKKNSSFYQMEGLVNNLVTYVSYKLVSPK LCLIL" gene complement(<13077371..>13078747) /locus_tag="BRARA_H01142" /db_xref="Phytozome:Brara.H01142" mRNA complement(join(<13077371..13077703,13077792..13077945, 13078026..13078263,13078340..13078478,13078521..13078550, 13078649..>13078747)) /locus_tag="BRARA_H01142" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01142" CDS complement(join(13077371..13077703,13077792..13077945, 13078026..13078263,13078340..13078478,13078521..13078550, 13078649..>13078747)) /locus_tag="BRARA_H01142" /codon_start=1 /product="hypothetical protein" /protein_id="RID50412.1" /db_xref="Phytozome:Brara.H01142" /translation="ESIQFDLSTIEAATNKFSERNKLGEGGFGEVYKGMLMNGTEVAF KNEVIVVAKLQHRNLVRLLGFSLQGEEKLLVYEFVPNKSLNYFLFDSKKRTQLDWTVR RNIIGGITRGILYLHQDSRLKIIHRDLKASNILLDADMNPKIADFGMARIFGINQTVA NTSKVVGTFGYMPPEYVANGQFSTKSDVYSFGVLILEIISGKKNSSFYQMEGLVNNLV TYVWRLWENKSLHELVDPCIREDCKSDEVIRYIHIGLLCVQENPADRPTMSTIHQMLT TSSIALPVPLPPGFFCSNEPRLTPLAQGFEPGHSNSKSFTCSVDEATITDIIPR" gene complement(13078885..13079916) /locus_tag="BRARA_H01143" /db_xref="Phytozome:Brara.H01143" mRNA complement(13078885..13079916) /locus_tag="BRARA_H01143" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01143" CDS complement(13079020..13079910) /locus_tag="BRARA_H01143" /codon_start=1 /product="hypothetical protein" /protein_id="RID50413.1" /db_xref="Phytozome:Brara.H01143" /translation="MAKKSYETVLCFFFFFIIIISFSSISVSAQVCENTTGIFIPNST YDNNRRFILSTLPSNVTAHDGYFQSSIGLGLDRVYAMGMCAPGAEPNVCSQCIETASD GLLQNCLNQVDAFSWSGDKTLCLVRYTNRSFSGLLGMEPRGAIASTADTNLNQTYFDS VWTKLMFGMISNISSYSSAGNISSKFYADEDDVVQLPDFRNISALMQCTPDVSSEDCD VCLRTNVIDYQTCCRRKQGGVISRPSCFFRWELYPFIGASDLIFLQPSTPPPMTPSPV SKDPSLSVPSHVSKKGKFYF" gene 13082852..13085000 /locus_tag="BRARA_H01144" /db_xref="Phytozome:Brara.H01144" mRNA join(13082852..13083061,13083444..13083675, 13083756..13083835,13083909..13084062,13084134..13084212, 13084288..13084375,13084463..13084509,13084631..13085000) /locus_tag="BRARA_H01144" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01144" CDS join(13082956..13083061,13083444..13083675, 13083756..13083835,13083909..13084062,13084134..13084212, 13084288..13084375,13084463..13084509,13084631..13084813) /locus_tag="BRARA_H01144" /codon_start=1 /product="hypothetical protein" /protein_id="RID50414.1" /db_xref="Phytozome:Brara.H01144" /translation="MWFWGSKGASGFSSSSTAEDVTHGVDGTGLTAIVTGASSGIGVE TARVLALRGVHVVMAVRNTVSGAKVKQDILNQVPGAKLDVMELDLSSIESVRRFASDY KSIGLPLNILINNAGVMACPFMLSKDNIELQFATNHLGHFLLTKLLLDTMKNTSRESK REGRIVNLSSEAHRFSYPEGVRFDKINDTSSYSSISAYGQSKLCNVLHANELARQLKE DGVNITVNSLHPGAIMTSLWRHFNSYLAGAVSALASYFIKTIPQGAATTCYLALSPQV AGVTGEYFRNCNITKPSSFGQDSELAKKIWDFSTKLIDSHSGESHS" gene complement(13085333..13085975) /locus_tag="BRARA_H01145" /db_xref="Phytozome:Brara.H01145" mRNA complement(join(13085333..13085608,13085697..13085780, 13085908..13085975)) /locus_tag="BRARA_H01145" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01145" CDS complement(join(13085412..13085608,13085697..13085760)) /locus_tag="BRARA_H01145" /codon_start=1 /product="hypothetical protein" /protein_id="RID50415.1" /db_xref="Phytozome:Brara.H01145" /translation="MRPFPAPIDKLKPIYSVSRSHGKVVRTIIPKKLENVNNSERETM KKTEETVDPVVAFSKPPPFTPFVGPLLVYSLLQSWFSGDEDG" gene complement(<13087595..>13087990) /locus_tag="BRARA_H01146" /db_xref="Phytozome:Brara.H01146" mRNA complement(join(<13087595..13087672,13087769..>13087990)) /locus_tag="BRARA_H01146" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01146" CDS complement(join(13087595..13087672,13087769..13087990)) /locus_tag="BRARA_H01146" /codon_start=1 /product="hypothetical protein" /protein_id="RID50416.1" /db_xref="Phytozome:Brara.H01146" /translation="MSRGGSSGGGQSSLGYLFGSDNETPKNLLSAAPKPTPPYGIDPT DDDKSDQKPKISNNNNYYRAQGQNSGNFVTDRPTTKVKSVPGGDSSLGYLFGVKE" gene 13098459..13099894 /locus_tag="BRARA_H01147" /db_xref="Phytozome:Brara.H01147" mRNA 13098459..13099894 /locus_tag="BRARA_H01147" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01147" CDS 13098585..13099673 /locus_tag="BRARA_H01147" /codon_start=1 /product="hypothetical protein" /protein_id="RID50417.1" /db_xref="Phytozome:Brara.H01147" /translation="MSPATELHGSFLSFRRSQIVSMDVNHEQQLQELEYFQNHVSERF SEFISPSPPSDPVLSIPWLRNLLHVFISCETEFKEVLPTTAQISKTPSLEKVLSEMLD RILKSLDICNAVVNGIESVKQSRRLAEIAVTALKQRPLCSGSVRRAKRALASLLVSLN ADVKDRNSGGGSSRRRTTSRSWSFGQRSSHVSKNWSAAKQIQAMVANLVLPRGAEAMP VYIMSSVMVLVMWVLVAAVPCQTSSVPVAPLQLPKHQSWGSNAVNVQERVGEEVKGKE KRGGGLMEEMQRMESVGLSLMEFTERFRFPGEEEEEVEVEEKVDEMDEICRRMEVGLE DLQRQVRAVFQRLVRIRLEIVSVLDLNL" assembly_gap 13107526..13117525 /estimated_length=unknown /gap_type="between scaffolds" gene complement(13119144..13121330) /locus_tag="BRARA_H01148" /db_xref="Phytozome:Brara.H01148" mRNA complement(join(13119144..13119609,13119696..13119774, 13119860..13120099,13120724..13121330)) /locus_tag="BRARA_H01148" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01148" CDS complement(join(13119335..13119609,13119696..13119774, 13119860..13120099,13120724..13121221)) /locus_tag="BRARA_H01148" /codon_start=1 /product="hypothetical protein" /protein_id="RID50418.1" /db_xref="Phytozome:Brara.H01148" /translation="MSRRSLTLLKHLANSRTQTRSVTYMPRPGDGAPRAVTLIPGDGI GPLVTNAVEQVMEAMHAPIYFEKYDVQGEMSRVPAEVMESIRKNKVCLKGGLKTPVGG GVSSLNVQLRKELDLFASLVNCFNLPGLPTRHENVDIVVIRENTEGEYAGLEHEVVPG VVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVAKK YPGITYNEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVG ADHAVFEQGASAGNVGKDSIVRENKANPVALLLSSAMMLRHLQFPSFADRLETAVKKV ISEGKCRTKDLGGQSTTQEVVDAVIAKLE" gene 13123416..>13128335 /locus_tag="BRARA_H01149" /db_xref="Phytozome:Brara.H01149" mRNA join(13123416..13124035,13125521..13126866, 13126946..13127223,13127293..13127324,13127929..>13128335) /locus_tag="BRARA_H01149" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01149" CDS join(13123777..13124035,13125521..13126866, 13126946..13127223,13127293..13127324,13127929..>13128335) /locus_tag="BRARA_H01149" /codon_start=1 /product="hypothetical protein" /protein_id="RID50419.1" /db_xref="Phytozome:Brara.H01149" /translation="MSCVLVLYLSFIVLSGDGIISEGASRPHVVNVGAIFGLNTLHGK VANIAMKAAEDDVNSDPSFLGGSKLRILMNDAKRSGFLSIIGALKFMETDAVAIIGPQ TSIMAHVLSYIANELKVPMLSFTALDPSLSPLQFPFFVQTAPSDLFLMRAIAEMITYY GWSDVVALYNDDDNSRNGITSLGDELEGRRCKISYKAVLPLDVVITSPREIIDELTKI QGMESRVIIVHTFPKTGRMIFEEAKKLGMMEQGYVWIATTWLTSLLDSYSPLPPKKIE AIRGVLTLRIHTRESRKRRDFVARWNKLSNGTVGLNVYGLYAYDTVWIIARAVKSLLD SGANITFSSDSKLTSLKGGTLNLGALSIFDQGPQFLEFIVKTKMSGVTGPVQFLPDRS MLQPAYDIINIVGDGFKQIGYWSNHSGLSVIPPESLYSKPPNRSSSNQHLYNVTWPGG TSETPRGWVLPNNGRRLRIGVPNRASFKDFVSSVNGSNKVEGYSIDVFEAAIELLPYP VAHEFVLFGDGLKNPNYNELVNNVSTGVFDAVVGDIAIVKRRIRIVDFTQPYIESGLV VVAAVTKLNDTPWAFLRPFTPPMWAVTAAFFLIIGSVIWVLEHRINDEFRGPPGSQVV TILWFAFSTMFFSHRENTVSTLGRVVLLIWLFVVLIITSSYTASLTSILTVQQLNSPI KGVDTLMSSSERVGFQVGSYAENYMTDELNIARSRLVALGSPKEYATALQNGTVSAIV DERPYVDLFLSEFCGFAIRGQAFTRSGWGFVSNP" gene complement(13149066..13150809) /locus_tag="BRARA_H01150" /db_xref="Phytozome:Brara.H01150" mRNA complement(join(13149066..13149659,13149749..13149865, 13150363..13150809)) /locus_tag="BRARA_H01150" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01150" CDS complement(join(13149212..13149659,13149749..13149865, 13150363..13150724)) /locus_tag="BRARA_H01150" /codon_start=1 /product="hypothetical protein" /protein_id="RID50420.1" /db_xref="Phytozome:Brara.H01150" /translation="MGEVAYMDEGDLEAIVRGYLGSGDAFSGESSGGFSPPFCLPIET ASFYEPEMETTGLDELGELFKPFYPFASQTILTSSVSVPGDSRSFRDDKKQRTHGCLQ SNGSRVDHIRIPVSKSKKSKKNQLKRVVEQVKEENLLSDAWAWRKYGQKPIKGSPYPR SYYRCSSSKGCLARKQVERNPQNPEKFTITYTNEHNHELPTRRNSLAGSTRAKSSQTK PAVTKKSVKQVVSSPTSNPMITSTDVSSVAVQDMRVAETSTYQITVETKGTSNTLPSD LLSGSGTFPTCTGDFDELLNSHEFLNGYLWNN" assembly_gap 13154451..13164450 /estimated_length=unknown /gap_type="between scaffolds" gene complement(<13167422..>13171002) /locus_tag="BRARA_H01151" /db_xref="Phytozome:Brara.H01151" mRNA complement(join(<13167422..13167494,13167594..13167988, 13168421..13168552,13168664..13168755,13168786..13169001, 13170969..>13171002)) /locus_tag="BRARA_H01151" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01151" CDS complement(join(13167422..13167494,13167594..13167988, 13168421..13168552,13168664..13168755,13168786..13169001, 13170969..13171002)) /locus_tag="BRARA_H01151" /codon_start=1 /product="hypothetical protein" /protein_id="RID50421.1" /db_xref="Phytozome:Brara.H01151" /translation="MTHTLGVYVSTFITGGKTIKQLLHIMSEDDTVPLTTLQCFLIFS ILAIVMSQFPNMNSLFGLSLVGSVMAVAYSTAVWTLPLATTKDTSFDNIFNAIGLIAV AFRGNNLILEIQGTLPSDSKNPSSKTMWRAVMISHVIIAVCMFLVTIVVYWAYGDKYI VVFAMALNLVFETIDALMLIRIYVVDSGWGGPIGNYLKLFEQDYSKRSACFIHLTFIF NCLCSYPINSMPACDNAEMVYITKTQKPCSFFVRMMLRVLLGLVCFFVAVGFSFLPYL AVLIGAVGLLVTSTVLHVVASALRLADKGLHANFFKP" gene 13171570..13174307 /locus_tag="BRARA_H01152" /db_xref="Phytozome:Brara.H01152" mRNA join(13171570..13171771,13171867..13171964, 13172075..13172105,13172186..13172285,13172688..13172758, 13172880..13173023,13173144..13174307) /locus_tag="BRARA_H01152" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01152" CDS join(13171664..13171771,13171867..13171964, 13172075..13172105,13172186..13172285,13172688..13172758, 13172880..13173023,13173144..13173278) /locus_tag="BRARA_H01152" /codon_start=1 /product="hypothetical protein" /protein_id="RID50422.1" /db_xref="Phytozome:Brara.H01152" /translation="MEIVKSRFKRVCVFCGSSSGNRECYRDAAIDLAQELIKKRLNLV YGGGSIGLMGLVSQAVHEAGCHVLGIIPRTLMDKEITGETYGEVRAVADMHQRKAEMA SHSDCFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYDYLLTFIDKAVDDG FIKPSQRHIFVSAPNAKELVQKLEAYEPVSDGTIAKSKWEAEKKVQQAQQQQQVVFCS NTSIQTEIAL" gene <13182264..>13183037 /locus_tag="BRARA_H01153" /db_xref="Phytozome:Brara.H01153" mRNA <13182264..>13183037 /locus_tag="BRARA_H01153" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01153" CDS 13182264..13183037 /locus_tag="BRARA_H01153" /codon_start=1 /product="hypothetical protein" /protein_id="RID50423.1" /db_xref="Phytozome:Brara.H01153" /translation="MSISFHARSNSVPSMQHPQAAHVDEQLTRLRSSEAASSSSSSSI YQRLSNLQDLHDSLDKMLRLSITNKALSQVQVEKLLDGSLRILDLCNVAKDALSQMKE GLKEIQSILRRKRGDLSAEVKKYLATRKFLKKSFQKVVKNLKVSQNKESTDKSLVVFG EAEAITVGVFERMFCFMSGSKACGKWSLVTKLMSQTKSTCEDEANEFTRLDFVFESGK LLNMEDVQLLESCIQDLEDGTESLSKSMIKYRVSLLNIL" gene <13183929..>13184687 /locus_tag="BRARA_H01154" /db_xref="Phytozome:Brara.H01154" mRNA <13183929..>13184687 /locus_tag="BRARA_H01154" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01154" CDS 13183929..13184687 /locus_tag="BRARA_H01154" /codon_start=1 /product="hypothetical protein" /protein_id="RID50424.1" /db_xref="Phytozome:Brara.H01154" /translation="MALSFHVRSNSFPSRQHPQAAHVDEQLNRLRSSETASSSSSSSI RQRLSNLQDLHDSLDKMLRLSITQQTLSQEQVEMILDGSVKILDLCNVSKDGLSQMKE SLKEIQSILRRKRGDLSAEIKKYLASRKFIKKSFQKVLKNLKTGQNKNKESLAVFREA EAVTVSLFESMFSFISGPKSCGKWSLVSKMMSQNKAACEANEFTRVELEFESEKSLKI EDVQNLDSCIQDLEDGIESLSKSLIKYRVSVLNI" gene complement(13185557..13188474) /locus_tag="BRARA_H01155" /db_xref="Phytozome:Brara.H01155" mRNA complement(join(13185557..13186053,13186498..13186611, 13186689..13186853,13186922..13187110,13187205..13187290, 13187462..13187513,13187608..13187741,13187858..13187993, 13188067..13188474)) /locus_tag="BRARA_H01155" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01155" mRNA complement(join(13185557..13186053,13186498..13186611, 13186689..13186853,13186922..13187110,13187205..13187290, 13187408..13187513,13187608..13187741,13187858..13187993, 13188067..13188474)) /locus_tag="BRARA_H01155" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01155" CDS complement(join(13185799..13186053,13186498..13186611, 13186689..13186853,13186922..13187110,13187205..13187290, 13187462..13187513,13187608..13187741,13187858..13187993, 13188067..13188399)) /locus_tag="BRARA_H01155" /codon_start=1 /product="hypothetical protein" /protein_id="RID50426.1" /db_xref="Phytozome:Brara.H01155" /translation="MGCCQSLCPDEQNPLRKDGAQPPQPAQTHRGGATTAAGLDNGGD GGVIPSFSEFSLADLKAATNNFSSDNIVSESGEKAPNLVYKGRLQNRRWIAVKKFTKM AWPHPKQFAEEAWGVGKLRHNRLANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQ TIEWAMRLRVGYYIAEALDYCSTEGLPLYHDLNAYRVLFDEDGDPRLSCFGLMKNSRD GRVTPESVTYSFGTVLLDLLSGKHIPPSHALDMIRGKNIIQLMDSHLEGKFSTEEATV VVELASQCLQYEPRERPNTKDLVATLAPLQTKSEVASCIMLGINKQEEAPSTPQKPLS PLGEACSRLDLTAIHQILVMAHYRDDEGTNELSFQEWTQQIKDMLDARKRGDQAFREK DFKTAIDCYSQFVDVGTMVSPTVFGRRSLCYLLCDQPDAALRDAMQAQCVYPDWPTAF YMQSVALAKLNMNTDAADMLNEAAQLEEKRQRGGKGS" CDS complement(join(13185799..13186053,13186498..13186611, 13186689..13186853,13186922..13187110,13187205..13187290, 13187408..13187513,13187608..13187741,13187858..13187993, 13188067..13188399)) /locus_tag="BRARA_H01155" /codon_start=1 /product="hypothetical protein" /protein_id="RID50425.1" /db_xref="Phytozome:Brara.H01155" /translation="MGCCQSLCPDEQNPLRKDGAQPPQPAQTHRGGATTAAGLDNGGD GGVIPSFSEFSLADLKAATNNFSSDNIVSESGEKAPNLVYKGRLQNRRWIAVKKFTKM AWPHPKQFAEEAWGVGKLRHNRLANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQ TIEWAMRLRVGYYIAEALDYCSTEGLPLYHDLNAYRVLFDEDGDPRLSCFGLMKNSRD GKSYSTNLAYTPPEYLRNGRVTPESVTYSFGTVLLDLLSGKHIPPSHALDMIRGKNII QLMDSHLEGKFSTEEATVVVELASQCLQYEPRERPNTKDLVATLAPLQTKSEVASCIM LGINKQEEAPSTPQKPLSPLGEACSRLDLTAIHQILVMAHYRDDEGTNELSFQEWTQQ IKDMLDARKRGDQAFREKDFKTAIDCYSQFVDVGTMVSPTVFGRRSLCYLLCDQPDAA LRDAMQAQCVYPDWPTAFYMQSVALAKLNMNTDAADMLNEAAQLEEKRQRGGKGS" gene complement(13192257..13195642) /locus_tag="BRARA_H01156" /db_xref="Phytozome:Brara.H01156" mRNA complement(join(13192257..13193497,13193577..13193800, 13193876..13194030,13194109..13195642)) /locus_tag="BRARA_H01156" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01156" CDS complement(join(13192780..13193497,13193577..13193800, 13193876..13194030,13194109..13195303)) /locus_tag="BRARA_H01156" /codon_start=1 /product="hypothetical protein" /protein_id="RID50427.1" /db_xref="Phytozome:Brara.H01156" /translation="MGCTSSKLDDLPAVALCRERCNFLEAAIQQRYDLAESHVAYTHS LKGIGHSLHLFINHHHRCVGDSPRLNLPPQRKGHPEEQENKGVEHSPKKPKLPSPHHP GSGTDSGHLEFDSDSEDDDDEEDHLDLDHHHHLGNFETGPYMGSQPGYTRYPNPEMMG TPYMHMNYMKNSSMPPSLIYEQRPSCPQKVYIGESGPGPGYYGSSTDAPSSKPPPPPP SPPRSNGWDFLNPFDTYYPSYTPSRDSRELREEEGIPELEEDDDSQYEVVKEVHARDN QPTPAVVYREESDSPSVSPRLSVDKSGASTSGGGAAMYQTRPSVSVEKNGVEYEVHVV EKTVVEDGGNESNATATRGGGGGGPRAVPEVAKEIENQFVRAAESGSEIAKLLEVGKR PYGRKHASSKLLHGVTPSVAEPPTYADIEEELASRSRNLSSTLHKLHLWEKKLYREVK AEEKLRVAHEKKVRKLKRLDERGAEATKVDTTRKLVRDMNTKIRIAIQVVDKISVTIN KIRDEDLWPQLNALIQGLTRMWKEMLECHQSQCQAIKEARGLGSIRASKKLGDEHLEA TSLLGHELINWILGFSSWVSAQKCYVRELNKWLMKCLLYEPEETADGIAPFSPGRLGA PPIFVICNQWSQALDRISEKEVIEAMRSFTTSVLQLWEQDRLETTVMGQEKVRSMDRE EQRIHREIQALEKKMVLVAPGDGLLVSGNVVYQSDTSSDSLQGSLQRIFEAMERFTDE CMRAYDDLLVRAEEVTAPRESEE" gene complement(13207789..13210076) /locus_tag="BRARA_H01157" /db_xref="Phytozome:Brara.H01157" mRNA complement(join(13207789..13208200,13208300..13208440, 13208525..13208620,13208705..13208797,13209180..13209374, 13209456..13209554,13209629..13210076)) /locus_tag="BRARA_H01157" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01157" CDS complement(join(13208024..13208200,13208300..13208440, 13208525..13208620,13208705..13208797,13209180..13209374, 13209456..13209554,13209629..13210015)) /locus_tag="BRARA_H01157" /codon_start=1 /product="hypothetical protein" /protein_id="RID50428.1" /db_xref="Phytozome:Brara.H01157" /translation="MASLRLPAQLVTRGTLNHHNSSSSAAPCVSLSWRRSLTPDNSFP NLPSSSPLNRKPSTVVPVTCSAAAVNLAPGTPVRPTSILVVGATGTLGRQIVRRALDE GYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGVHTVIDCATGRPEEPI KTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKYCTEKFLQESGLNHI TIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRVAYMDTQDIARLTLIALRNEKVNGK LLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVSVLRVTRQLTRFFQWTNDVADRLA FTEVLSSDTVFSVPMTETNSLLGVDQKDLVTLEKYLQDYFSNILKKLKDLKAQSKQSD IYF" assembly_gap 13210608..13220607 /estimated_length=unknown /gap_type="between scaffolds" gene 13229004..13232077 /locus_tag="BRARA_H01158" /db_xref="Phytozome:Brara.H01158" mRNA join(13229004..13229815,13231490..13232077) /locus_tag="BRARA_H01158" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01158" CDS join(13229033..13229815,13231490..13231813) /locus_tag="BRARA_H01158" /codon_start=1 /product="hypothetical protein" /protein_id="RID50429.1" /db_xref="Phytozome:Brara.H01158" /translation="MEECKRNLVDEEAKASLDIWRYVFGFADIAAAKCAIDLKIPEAI ENHPSSQPMTLAELSSRVSASPSHLRRIMRFLVHQGIFKEVPIKDGLTTGYTNTPLSR RMMITKRDGKSLAPFVLFETSHEMLAPWLRLSSVVSSPVNGSHPPPFDAVHNKDVWAL AEDNPCFSEMINEAMACDTRRVVPRVARACHDLFNGVATVVDVGGGNGEAVGILVKEF PWIKGFNFDLPHVIDVAQVLDGVENVGGDMFDSIPKCDAVFIKWVLHDWGDKDCIKIL KNCKEAVPPNIGKVFIVESVIRENKKTMIVEERDEKLEHVRLMLDMVMMAHTSTGKER TLKEWDFVLNEAGFARYEVRDIDDVQCVIIAYRS" gene <13233617..>13235764 /locus_tag="BRARA_H01159" /db_xref="Phytozome:Brara.H01159" mRNA join(<13233617..13233622,13234947..13235129, 13235633..>13235764) /locus_tag="BRARA_H01159" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01159" CDS join(13233617..13233622,13234947..13235129, 13235633..13235764) /locus_tag="BRARA_H01159" /codon_start=1 /product="hypothetical protein" /protein_id="RID50430.1" /db_xref="Phytozome:Brara.H01159" /translation="MLWVLHDWGDEDCIKISKNCKEANIGKVFIVESIIRDTKKTMMV EERDKKLEYVRLMLDIAMMMAHTTTGKERTLKEWDFVLNEAGFARYEVRDIDDVHCVI IAYP" gene 13238794..13240681 /locus_tag="BRARA_H01160" /db_xref="Phytozome:Brara.H01160" mRNA join(13238794..13239022,13239187..13239328, 13239423..13239712,13239791..13240681) /locus_tag="BRARA_H01160" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01160" CDS join(13239205..13239328,13239423..13239712, 13239791..13240522) /locus_tag="BRARA_H01160" /codon_start=1 /product="hypothetical protein" /protein_id="RID50431.1" /db_xref="Phytozome:Brara.H01160" /translation="MNFNQKAHPDCLYSDNPFHECASACLEKIAQGHVKKKTKKQGLK ALSLSGSFGRKKKESYPQPLSPLSARPYQNGGGSFGNAIFPKVHHAVVAAPVAVKNKT VSDTNKSFSSSSSDDFFKHKPEKKLSQIIPLSPEKMVDKSKPVSPKPGKQEGEIEAGV ETTLFNFLNSPIPHGKESSDDDEEEEESNNEIGVELDLESVMSDTIVSVGKYRVRSGL AAILKAIIEKHGDIAQNCKLESGSMRSRYLECLCSLMQELKSTPVGKLTKVKVKEMLA VLRDLESVNIEVAWLRLVLEEFARSQEDVESEKERQESLLKAKREELEAQEADLVGME EEVAKARLRIEETRDLVVEMESEWVRMEKMGFKIEKFKGKTFIDELL" gene complement(13243510..13246538) /locus_tag="BRARA_H01161" /db_xref="Phytozome:Brara.H01161" mRNA complement(join(13243510..13243847,13243948..13244088, 13245806..13246101,13246168..13246538)) /locus_tag="BRARA_H01161" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01161" CDS complement(join(13243728..13243847,13243948..13244088, 13245806..13246101,13246168..13246456)) /locus_tag="BRARA_H01161" /codon_start=1 /product="hypothetical protein" /protein_id="RID50432.1" /db_xref="Phytozome:Brara.H01161" /translation="MSKEVSEEGHTQSHGKDYVDPPPAPFLDMGELKSWSFYRALIAE FIATLLFLYVTVATVIGHKKQTGPCDGVGLLGIAWAFGGMIFVLVYCTAGISGGHINP AVTFGLFLARKVSLVRAVGYMIAQCLGAICGVGFVKAFMKTPYNTLGGGANTVAPGYS KGTALGAEIIGTFVLVYTVFSATDPKRSARDSHIPVLAPLPIGFAVFMVHLATIPITG TGINPARSFGAAVIYNNEKAWDDHWIFWVGPFVGALAAAAYHQYILRAAAVKALASFR SSATN" gene 13252078..13254495 /locus_tag="BRARA_H01162" /db_xref="Phytozome:Brara.H01162" mRNA join(13252078..13252189,13252270..13252366, 13252463..13252740,13252823..13253599,13253695..13253784, 13253862..13253929,13254016..13254109,13254199..13254495) /locus_tag="BRARA_H01162" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01162" CDS join(13252175..13252189,13252270..13252366, 13252463..13252740,13252823..13253599,13253695..13253784, 13253862..13253929,13254016..13254109,13254199..13254258) /locus_tag="BRARA_H01162" /codon_start=1 /product="hypothetical protein" /protein_id="RID50433.1" /db_xref="Phytozome:Brara.H01162" /translation="MDPYKYRPASSYNSPFFTTNSGAPVWNNNSSMTVGPRGPILLED YHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISNLTCADFLRAPGVQTPVIVR FSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFIRDGMKFPDMVHALKP NPKSHIQENWRVLDFFSHHPESLNMFTFLFDDIGIPQDYRHMEGSGVNTYMLINKSGK AHYVKFHWKPTCGVKSLLEEDAVRVGGTNHSHATQDLYDSIAAGNYPEWKLFIQVIDP ADEDKFDFDPLDVTKTWPENLLPLQPVGRMVLNKNIDNFFAENEQLAFCPAIIVPGIH YSDDKLLQTRVFSYADTQRHRLGPNYLQLPVNAPKCAHHNNHHEGFMNFMHRDEEVNY FPSRYDPVRHAEKYPTPPAVCSGKRERCVIEKENNFKEPGERYRSFTPERQERFIRRW IEALSDPRITHEIRSIWISYWSQADKTLGQKLASSLNVRPSI" gene complement(13263198..13264521) /locus_tag="BRARA_H01163" /db_xref="Phytozome:Brara.H01163" mRNA complement(join(13263198..13263986,13264066..13264521)) /locus_tag="BRARA_H01163" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01163" CDS complement(join(13263480..13263986,13264066..13264314)) /locus_tag="BRARA_H01163" /codon_start=1 /product="hypothetical protein" /protein_id="RID50434.1" /db_xref="Phytozome:Brara.H01163" /translation="MAIQAQLHYNASNVNQIGTGGSLFNNNGGIGIDQSYMNNHNLLS QKDLNQHALFQHQQYRSQSVLDAYMERQKQEIDQFIRVQNERLRYALQEQRRQEMETM LRKMEAKALVLMTQKEEEMSRALSKNMELEDLLRKMEMENQTWQRMARENEAMVATLN STLEQARERAVAAACHNEDIAVEDEGSCCGGDDFRAKKVSGCCWNCGSNGETRVLFLP CRHLCCCTGCEDGLVLCPMCSAPKKNRIEAFIF" gene 13290264..13291138 /locus_tag="BRARA_H01164" /db_xref="Phytozome:Brara.H01164" mRNA join(13290264..13290514,13290590..13291138) /locus_tag="BRARA_H01164" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01164" CDS join(13290437..13290514,13290590..13290976) /locus_tag="BRARA_H01164" /codon_start=1 /product="hypothetical protein" /protein_id="RID50435.1" /db_xref="Phytozome:Brara.H01164" /translation="MGVLDHVSEYFDCSSHGSSKRHKSLQTVDVRVLIDCEGCERKVR RALEGMKGVRDVTIEANAQKVTVVGYVEPNRVVARIIHRTGKRAELYPFVPYDVVAHP YASGVYDNRAPVGYVRNTEYDPHVSRLARASSTEVRYTTAFSDENASGCVIM" gene complement(13292528..13294084) /locus_tag="BRARA_H01165" /db_xref="Phytozome:Brara.H01165" mRNA complement(join(13292528..13292856,13292973..13294084)) /locus_tag="BRARA_H01165" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01165" CDS complement(join(13292837..13292856,13292973..13293720)) /locus_tag="BRARA_H01165" /codon_start=1 /product="hypothetical protein" /protein_id="RID50436.1" /db_xref="Phytozome:Brara.H01165" /translation="MEDGELEFSNQEVFSTSDMNGLPLTNCSMDTFFDELLKDSNAAC THTHTCNPTGPENAHTHTCFHVHTKILPEDSDEKVSTDDTAESCGKKGDNKRPLGNRE AVRKYREKKKAKAASLEDEVARLTAVNHQLMKRLQSQGALEAEVSRLKCLLVDLRGRI DGEIGSFPYQKPNVPSFSHMMMNPCNVECGDEVYCLQDGFGGSSQGGVSINDGCGFDQ LQQCVANHNLVGNGNGSFNSSANASASNKRKGGHKAA" gene complement(13295902..13297710) /locus_tag="BRARA_H01166" /db_xref="Phytozome:Brara.H01166" mRNA complement(join(13295902..13296236,13296328..13296403, 13296489..13296671,13296769..13296792,13296863..13296910, 13296985..13297066,13297398..13297710)) /locus_tag="BRARA_H01166" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01166" CDS complement(join(13296077..13296236,13296328..13296403, 13296489..13296671,13296769..13296792,13296863..13296910, 13296985..13297066,13297398..13297496)) /locus_tag="BRARA_H01166" /codon_start=1 /product="hypothetical protein" /protein_id="RID50437.1" /db_xref="Phytozome:Brara.H01166" /translation="MSKEMSFWVQPRCLLLLAALTIFLVFALSHTRKEEEKQVTEDCQ VTHRVFLDIDIEGQRLGRIVIGLYGNVVPKTVENFRALCTGEKGQASSGKPLHYKGTQ FHRIVSGFVVQGGDIIHGDGKGSESTYGGTFPDENFKAKHSHAGVVAMGNTGPDSNGS QFFITTIKATWLEGEHVVFGKVIQGMDNVFAIEGGAGTYSGKPRKRVVIADSGEIPKD KWDEE" gene 13306222..13308838 /locus_tag="BRARA_H01167" /db_xref="Phytozome:Brara.H01167" mRNA join(13306222..13306572,13306841..13307712, 13308161..13308838) /locus_tag="BRARA_H01167" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01167" CDS join(13306243..13306572,13306841..13307712, 13308161..13308632) /locus_tag="BRARA_H01167" /codon_start=1 /product="hypothetical protein" /protein_id="RID50438.1" /db_xref="Phytozome:Brara.H01167" /translation="MGLGRSSSSSALKWLGFVTAVWVQSISGNNYTFSNYSDALKSLM NLTQVELNSLSVAKDVGKAFGILAGLASDRLSTPVILLIGCFEGLLGYGVQWLVVSRT IQPLPYWQMCVFLCMGGNSTTWMNTAVLVTCIRNFRRNRGPVSGILKGYVGLSTAIFT VVCTALFSSDPASFLVLLSVVPFAVCLTAVFFLREVPPATSTAEENEESRYFAVFNIV AIVVAVYLQSYDVIGIKTGAVSVAFASVLLILLASPIAVPFHAYVRSLNLNEEDVEGR ADEPLLRSEITEETVVGAAAAADNELPPYPTLLKEEENNQGGIEKRRPVLGEDHTILE AALTVDFWVLFVSFLCGVGTGLAVMNNMGQIGLALGYTDVSIFVSMMSIWGFFGRILS GTISEHFIKKNGTPRPLWNAASQVVMAVGYLLMALAIPGSLYFGSVVVGACYGVRLAI TVPIASELFGLKYYGLLYNILILNFPLGSFLFSGLLAGLLYDAEATPTPDGGNTCVGA HCYRLVFIVMAFTSIIGVGLDFWLAFRTKEIYTKIHASKKVKRSSGSVR" gene complement(<13312761..>13314740) /locus_tag="BRARA_H01168" /db_xref="Phytozome:Brara.H01168" mRNA complement(<13312761..>13314740) /locus_tag="BRARA_H01168" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01168" CDS complement(13312761..13314740) /locus_tag="BRARA_H01168" /codon_start=1 /product="hypothetical protein" /protein_id="RID50439.1" /db_xref="Phytozome:Brara.H01168" /translation="MADIVKQILVRPIQLADQITKAADEAYSFRQECLEVKAKTEKLA GLLRQAARASNDLYERPTRRIIDDTEQVLFKAIALVEKCRATGLMKRLFTIIPAAAFR KITMQLENSLGDVSWLLRVSASGDDRDDEYLGGLPPIAANEPILCLIWEQVAILFTGS LDDRSDAAASLVSLARDNDRYGRLIIEEGGVPPLLKLAKEGKLEGQENAARAIGLLGR DPESVEQIVNSGVCQVFAKILKEGHMKVQTVVAWAVSELASNHPKCQDHFAQNNIIRF LVSHLAFETVQEHSKYAIVSNKQSLSSIHTVVMASNTNPSDKSHDQDETNSNISHPMS NQTPSQMHSLVANTFAIKGSGSGSGSGSGTNKNQTKQSNQQHQHHTKGGPTPKGNNPT HVSLMGTSIKGREYEDPETKAQMKAMAARALWQLSRGNLQICRSITESRALLCFAVLL EKGDDEVKSYSALAMMEITDVAEQYSELRRSAFKPTSPAAKAVVEQLLKVIENEVPDL LIPCVKSIGSLSRTFRATETRIIAPLVKLLDEREAEVSMEAAVALIKFACTENFLRDN HSKAIIAAGGAKHLIQLVYFGEQMVQAPALVLLCYIALNVPDSETLAQEEVLVVLEWS TKQSHLVEAPTIDEILPEAKSRLELYQSRGSRGFH" gene complement(<13318383..>13319679) /locus_tag="BRARA_H01169" /db_xref="Phytozome:Brara.H01169" mRNA complement(join(<13318383..13319162,13319200..>13319679)) /locus_tag="BRARA_H01169" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01169" CDS complement(join(13318383..13319162,13319200..13319679)) /locus_tag="BRARA_H01169" /codon_start=1 /product="hypothetical protein" /protein_id="RID50440.1" /db_xref="Phytozome:Brara.H01169" /translation="MDFFKNLADSFVKEVVDPTVSFAEDSARTVAREVVDPTVSFAED SARTVLREVVDPTVAFVEDSARTVAREVIDPTVSFAEDSAKTVVREVVDPTVAFVEDS ARTVVREVIDPAVAFIETQFQRPRDVIEQEKILDNLLASNGSRFPGDNYHSPDRKKLD IVWPGTHDSATNGIGIDVVTRPLGECQTLSIYEQLVRGTRLLDVRVQEDRHICHGILA SYNVDFAIDDVIRFLSETHSEIIILEIRTEYGHKDPPEFESYLTNKLGQFLIHQDDNL FNKSLSEILPKRVICIWKPRESPRPSRGGLLWNSDYLKDNWTNTDLPWTKFQSNMNHL KDQPSISSRRFFYRVENTLTPQADNVVVWVRPVTDRIRRYARLFISRCIAEGCIDKLQ IFSTDFIDEDFVDACVGLTYARINGRI" gene complement(13327037..13328435) /locus_tag="BRARA_H01170" /db_xref="Phytozome:Brara.H01170" mRNA complement(13327037..13328435) /locus_tag="BRARA_H01170" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01170" CDS complement(13327244..13328200) /locus_tag="BRARA_H01170" /codon_start=1 /product="hypothetical protein" /protein_id="RID50441.1" /db_xref="Phytozome:Brara.H01170" /translation="MLSFFSDQIDKHKAVSEEEKTINDLEQSEGSHFPGDDYRPSDRK NWMAGLDVEKLTLSKIVWPGTHDSATNDIGIPLVSRPLAECQTFSIYDQLVLGTRVLD IRVQEDRHICHGILTSYNVDAVIDDVIRFLSETHSEIIILEMRTEYGHKDPPEFETYL TDKLGQFLIQQDDNLFNKAVSEILPKRVICIWKPRESPKPNRGGLLWNSDYLKDNWID TDLPWKKFQSNLKHLSEQQPISSRKYFYRVENTVTPQADNPVVWVKPVTDRIRKYARL FISQCVAKGCGDKLQILSTDLIEEDFVDACVGFTHARIEGKV" gene complement(13329964..13333164) /locus_tag="BRARA_H01171" /db_xref="Phytozome:Brara.H01171" mRNA complement(join(13329964..13330245,13330339..13330600, 13330684..13330766,13330829..13330966,13331047..13331142, 13331215..13331397,13331480..13331545,13331617..13331748, 13331841..13331952,13332029..13332129,13332282..13332410, 13332497..13332586,13332667..13332828,13332907..13333164)) /locus_tag="BRARA_H01171" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01171" CDS complement(join(13330153..13330245,13330339..13330600, 13330684..13330766,13330829..13330966,13331047..13331142, 13331215..13331397,13331480..13331545,13331617..13331748, 13331841..13331952,13332029..13332129,13332282..13332410, 13332497..13332586,13332667..13332828,13332907..13333134)) /locus_tag="BRARA_H01171" /codon_start=1 /product="hypothetical protein" /protein_id="RID50442.1" /db_xref="Phytozome:Brara.H01171" /translation="MANTKEKPVDDVNPEVKEAEEVEEQRDVIEKEEEEEEEKDKSFE ELRLDPRLIRALTKKGIEKPTPIQQTAIPFILEGKDVVAKAKTGSGKTLAYLLPLLQK LFSDCGSKKKKPAPSAFVLVPSRELCQQVYAEVSSLIELCRVQIKAVQLTSSMPLSDM RNALAGLPEILVTTPACIPKCFADGVLDPAAISDSLEILVLDEADLLLSYGYEDNLRS VTSIVPRRCQCLLMSATTSSDVEKLKKLILHNPVVLTLQEGGDKEEPVPSNVQQFWIS CSAQDKLLHILSLLKLEVVQKKILIFINTIDMGFKLKLFLEKFGIKTAILNGELPQNS RLHILEQFNAGLFDYLIATDDNSQTKAKEEAKDEDNKDNNKKKRRFKPKLDAEFGVVR GIDFKKVHTVINYDMPQSVTGYIHRIGRTGRAYSSGSSVSLVSPDEMEGFEEIKSFLA GEVDKDSDVITPFPLLTENAVESLRYRAEDVAKSVTKIAVRESRAQDLRNEIINSEKL KSHFEANPRDLDLLKHDKLLSKTAPAPHLKDIPEYLVDPKTQEASKMVKLARAAMGNS RRSGGGRNNANKKRSRKGGDPLKTFSANGSKRGRSGGVGQKKDGKGFSDGLTKKQRTA " gene 13334023..13336384 /locus_tag="BRARA_H01172" /db_xref="Phytozome:Brara.H01172" mRNA join(13334023..13334085,13334184..13334596, 13334660..13335091,13335171..13335729,13335800..13336024, 13336317..13336384) /locus_tag="BRARA_H01172" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01172" CDS join(13334207..13334596,13334660..13335091, 13335171..13335729,13335800..13336024,13336317..13336366) /locus_tag="BRARA_H01172" /codon_start=1 /product="hypothetical protein" /protein_id="RID50443.1" /db_xref="Phytozome:Brara.H01172" /translation="MSSFNQNGDAKNADTSDDIDFSKLLEKPRPLNMERLRSLEERSL TELSTSPPHLRNGDNASASRLQDRADCVVSPSVGFNTPRSLAGFETHPMVGEAWDALR RSLVYFRGQPVGTIAAVDNSEEKLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTL RLQSWEKKIDRFQLGEGVMPASFKVFHDPVRNYETLIADFGESAIGRVAPVDSGFWWI ILLRAYTKSTGDSSLADMPECQKGIRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGV YGYPIEIQALFFMSLRCALLLLKHEGESKEMVEQIVKRLHALSYHMRSYFWLDLKQLN DIYRYKTEEYSHTAVNKFNVIPDSLPEWVFDFMPPQGGFFIGNVSPARMDFRWFALGN CIAILSSLATPEQSTAIMDLIEARWEELVGEMPLKVCYPAIESHEWKIVTGCDPKNTR WSYHNGGSWPVLLWVLTAACIKTGRPQIARRAIEVAEARLHKDNWPEYYDGTVGRYVG KQARKCQTWSIAGYLVAKMMLEDPSHVEEDSFLSFKLSPNHSS" gene complement(<13336403..13337789) /locus_tag="BRARA_H01173" /db_xref="Phytozome:Brara.H01173" mRNA complement(join(<13336403..13336581,13337495..13337789)) /locus_tag="BRARA_H01173" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01173" CDS complement(join(13336403..13336581,13337495..13337672)) /locus_tag="BRARA_H01173" /codon_start=1 /product="hypothetical protein" /protein_id="RID50444.1" /db_xref="Phytozome:Brara.H01173" /translation="MGSIDATELGSEKKPNPGKATILAIGKAFPQQLVMQEYLVDGYF KTTNCDDPELKQKLTPLMDYGNASSNSIVYVLEYMLEESRKARNMNEGGNEWGLILAF GPGVTFEGIVARNLDV" gene complement(13337934..13339761) /locus_tag="BRARA_H01174" /db_xref="Phytozome:Brara.H01174" mRNA complement(join(13337934..13338418,13338497..13338589, 13338682..13338756,13338833..13338907,13339012..13339118, 13339199..13339290,13339363..13339426,13339519..13339761)) /locus_tag="BRARA_H01174" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01174" CDS complement(join(13338221..13338418,13338497..13338589, 13338682..13338756,13338833..13338907,13339012..13339118, 13339199..13339290,13339363..13339426,13339519..13339615)) /locus_tag="BRARA_H01174" /codon_start=1 /product="hypothetical protein" /protein_id="RID50445.1" /db_xref="Phytozome:Brara.H01174" /translation="MAANGDGFSNASMENLTGHVEKRPISTIVFIVAMQKEAQPLIER LRLVKDVNSPFPKEVAWVLFKGMYKDLNINIVCPGKDSALGVDSVCTVPASLVTYASI QALQPDLIINAGTAGGFKAKGASICDVYIVSSSAFHDRRIPVPVLDLYGVGMRKAFPT PNLIKELNLKVGRLSTGDSMDMSPHDKESITANDATVKDMEGAAVAYVADIFKVPTIL IKGVTDIVDGNRPTSEEFLENLAAVTAQLDESITKVIDFISGKCLSDL" gene complement(13343080..13344361) /locus_tag="BRARA_H01175" /db_xref="Phytozome:Brara.H01175" mRNA complement(join(13343080..13343323,13343546..13344361)) /locus_tag="BRARA_H01175" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01175" CDS complement(13343989..13344276) /locus_tag="BRARA_H01175" /codon_start=1 /product="hypothetical protein" /protein_id="RID50446.1" /db_xref="Phytozome:Brara.H01175" /translation="MVAIRLSCVTNSKQSQKQQFRVPKGHVAVYVGEEMENNKRFVVP ISYLNHPLFQGLLNRAEEEFGFNHPVGVLTIPCREETFVGLLNSYGCIVST" gene complement(<13345759..13346132) /locus_tag="BRARA_H01176" /db_xref="Phytozome:Brara.H01176" mRNA complement(join(<13345759..13345916,13346007..13346132)) /locus_tag="BRARA_H01176" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01176" CDS complement(join(13345759..13345916,13346007..13346103)) /locus_tag="BRARA_H01176" /codon_start=1 /product="hypothetical protein" /protein_id="RID50447.1" /db_xref="Phytozome:Brara.H01176" /translation="MAKKMGVTMEVGNDGVAVITISNPPVNSLASPIISGLKEKFQDA NHRSDVKAIVLTGKQTKLSFFCLDFEGLYSDHVAFNLYRT" gene complement(13354443..13355865) /locus_tag="BRARA_H01177" /db_xref="Phytozome:Brara.H01177" mRNA complement(join(13354443..13354707,13354854..13355865)) /locus_tag="BRARA_H01177" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01177" mRNA complement(join(13354443..13354720,13354854..13355865)) /locus_tag="BRARA_H01177" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01177" CDS complement(13355323..13355754) /locus_tag="BRARA_H01177" /codon_start=1 /product="hypothetical protein" /protein_id="RID50448.1" /db_xref="Phytozome:Brara.H01177" /translation="MFFFQSKLSQFVLAMKSNGKALVSDDPIVKKPNGKDVVSSTVLD QPTSKNAVSSAKVHKVMPAVSSAVPIQASRSTAVSSVKVDKVMFFFHCSSSNSLLISN PFSLSLIYIYIYLIYHYEFSLVSGDVRAEERAYDFFFGFLL" CDS complement(13355323..13355754) /locus_tag="BRARA_H01177" /codon_start=1 /product="hypothetical protein" /protein_id="RID50449.1" /db_xref="Phytozome:Brara.H01177" /translation="MFFFQSKLSQFVLAMKSNGKALVSDDPIVKKPNGKDVVSSTVLD QPTSKNAVSSAKVHKVMPAVSSAVPIQASRSTAVSSVKVDKVMFFFHCSSSNSLLISN PFSLSLIYIYIYLIYHYEFSLVSGDVRAEERAYDFFFGFLL" gene complement(<13359177..>13359494) /locus_tag="BRARA_H01178" /db_xref="Phytozome:Brara.H01178" mRNA complement(<13359177..>13359494) /locus_tag="BRARA_H01178" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01178" CDS complement(13359177..13359494) /locus_tag="BRARA_H01178" /codon_start=1 /product="hypothetical protein" /protein_id="RID50450.1" /db_xref="Phytozome:Brara.H01178" /translation="MGLMRSVLPNAKQIFKSQSMRNKNGPPSSTTTSGLVPKGHVAVY VGERMEKTRFVVPISYLNHPLFREFLNCAEEEFGFHHPMGGLTIPCREEAFLHLITSH QLH" gene <13363697..>13364017 /locus_tag="BRARA_H01179" /db_xref="Phytozome:Brara.H01179" mRNA <13363697..>13364017 /locus_tag="BRARA_H01179" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01179" CDS 13363697..13364017 /locus_tag="BRARA_H01179" /codon_start=1 /product="hypothetical protein" /protein_id="RID50451.1" /db_xref="Phytozome:Brara.H01179" /translation="MIRFKDIVFQAKRIVSEKISRLRYIINLRKGHFAVYVGEEEEKT KRFVVPISYLKYPLFQALLRQAENEFGTDHSTKYLTIPCAEDVFIDVTSRLKPNLSNS IYLV" gene complement(13364244..13364882) /locus_tag="BRARA_H01180" /db_xref="Phytozome:Brara.H01180" mRNA complement(13364244..13364882) /locus_tag="BRARA_H01180" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01180" CDS complement(13364484..13364798) /locus_tag="BRARA_H01180" /codon_start=1 /product="hypothetical protein" /protein_id="RID50452.1" /db_xref="Phytozome:Brara.H01180" /translation="MGIQLIGLSHAKQKLQRSLSAKIASLLAMSGTNNVPKGHVAVYV GETYQRKRFVIPISYLNHPLFQGLLNLAEEEFGFDHPMGGLTIPCTEDYFTSLASVLS GS" gene 13390462..13391190 /locus_tag="BRARA_H01181" /db_xref="Phytozome:Brara.H01181" mRNA 13390462..13391190 /locus_tag="BRARA_H01181" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01181" CDS 13390530..13390853 /locus_tag="BRARA_H01181" /codon_start=1 /product="hypothetical protein" /protein_id="RID50453.1" /db_xref="Phytozome:Brara.H01181" /translation="MAIMKKSLKLTQTAMLKQILKRCSSLGKKNGRGYDDDYLPLDVP KGHFPVYVGENRSRYIVPVSFLTHPEFQFLLRRAEEEFGFDHDMGLTIPCDEVVFQNL TSMIR" gene <13402406..13404524 /locus_tag="BRARA_H01182" /db_xref="Phytozome:Brara.H01182" mRNA join(<13402406..13402425,13403172..13403329, 13403743..13404028,13404107..13404524) /locus_tag="BRARA_H01182" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01182" CDS join(13402406..13402425,13403172..13403329, 13403743..13404028,13404107..13404239) /locus_tag="BRARA_H01182" /codon_start=1 /product="hypothetical protein" /protein_id="RID50454.1" /db_xref="Phytozome:Brara.H01182" /translation="MSSELRRTIYLLRLSLSPEFNHRLDFRSEIKTSNMSTFSGDETA PFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYG LIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQ PKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE" gene 13414050..>13416654 /locus_tag="BRARA_H01183" /db_xref="Phytozome:Brara.H01183" mRNA join(13414050..13415805,13416444..>13416654) /locus_tag="BRARA_H01183" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01183" CDS join(13414385..13415805,13416444..13416654) /locus_tag="BRARA_H01183" /codon_start=1 /product="hypothetical protein" /protein_id="RID50455.1" /db_xref="Phytozome:Brara.H01183" /translation="MPALACVDTTAFPPPPPSLLSDASCRWNSSLSAALYRIDGWGAP YFTANTSGNISIRPHGSNTLPHQDIDLLKVVKKVTDPKPLGGLGLQLPVIVRFPDVLK NRLECLQSAFDFAVQSQGYGSHYQGVYPVKCNQDRFVVEDIVKFGSGFRFGLEAGSKP EILLAMSCLVKGNREAFLVCNGFKDVEYISLALLGRKLALNTVIVLEQEEELDLVIDL SRKMNVRPVIGLRAKLRTKHSGHFGSTSGEKGKFGLTSSQIVRVVRKLRESCMLDCLQ LLHFHIGSQIPSTALLSDGVGEAAQLYCELVRLGAGMKVIDVGGGLGIDYDGSKSGDS DLSVGYTLEEYAEAVVASVRSVCDRRSVKHPVICSESGRAIVSHHSVLIFEAVSAAKP MAQEAHQVNHDDIQFLLECDEARADYEDLYAAVMRGDQESCLLYVDKLKQRCVDGFKE GVLSIEQLASVDGLCEWVLKAIGAAEKLMHTKGGGEDEDDEELNNVVACLDRSFNNMP YLATEPASMSNSLSAAISNLGFYYSDEDGFDYLSA" gene complement(<13418591..>13419652) /locus_tag="BRARA_H01184" /db_xref="Phytozome:Brara.H01184" mRNA complement(<13418591..>13419652) /locus_tag="BRARA_H01184" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01184" CDS complement(13418591..13419652) /locus_tag="BRARA_H01184" /codon_start=1 /product="hypothetical protein" /protein_id="RID50456.1" /db_xref="Phytozome:Brara.H01184" /translation="MKGRKHYDSIPTDLIPEILSRLPGKSIARFRCVSKLWLSILTRP RLLFALERANEEMLFFSSPHPQNPYDKSSPADFLIKFVCPELRAFTSGLIYLCANKRV IYNLSTGEYVNLPDLKRYRKSNSFLGYDPLNKQFKVLYMAYLSGPDDHRILTLGPSKE KKWRKIKCRLTHQPLHDQVRINGICISGVLYYIGKAYGYTAEERHYMIICFDVRSEKF KFVEAECFGDPKATKLINYKGKLGGIDLTYNDSDAIELCMWILEDVERKEWSKYVFTL PVNNIRNVFVVGVITTGEIVLSEKFTSTPFCVFYFSPERNTLQRVEIRGVGEYHEAFE TECTVRAFVDYVVDSKFIA" gene complement(13423146..13424797) /locus_tag="BRARA_H01185" /db_xref="Phytozome:Brara.H01185" mRNA complement(join(13423146..13423412,13423529..13423597, 13423683..13423738,13423827..13423908,13424391..13424432, 13424549..13424797)) /locus_tag="BRARA_H01185" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01185" CDS complement(join(13423556..13423597,13423683..13423738, 13423827..13423908,13424391..13424432,13424549..13424680)) /locus_tag="BRARA_H01185" /codon_start=1 /product="hypothetical protein" /protein_id="RID50457.1" /db_xref="Phytozome:Brara.H01185" /translation="MSGVSTAAYFARRAAQKERVRILYRRALKDTLNWAVHRHIFYRD ASDLREKFNANQDVEDVDRIDKLIAHGEAEYDKWRHPDPYIVPWAPGGSKFCRNPTPP AGIEIVYNYGQEDNP" gene complement(13425954..13427459) /locus_tag="BRARA_H01186" /db_xref="Phytozome:Brara.H01186" mRNA complement(join(13425954..13426644,13426722..13427011, 13427218..13427459)) /locus_tag="BRARA_H01186" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01186" mRNA complement(join(13425954..13426644,13426722..13427015, 13427218..13427459)) /locus_tag="BRARA_H01186" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01186" CDS complement(join(13426123..13426644,13426722..13427006)) /locus_tag="BRARA_H01186" /codon_start=1 /product="hypothetical protein" /protein_id="RID50458.1" /db_xref="Phytozome:Brara.H01186" /translation="MELWTEARALKASLRGEAIKHQVIVSEELSRTSSAEDFSVECFL DFSEEGQERDEEQRVSVCSSQEEQEQEQEQEQDYCIFSSQPCIFDQLPSLPDEDVEEL EWVSRVVDDCSSPEVSILFTQTLKTKPSFSSSVPVKPRTKRSRNSLTGDRVWPLVSTN QHATGEQCKKKKQETAVFFQRRCSHCGTNTTPQWRTGPAGPKTLCNACGVRFKSGRLC PEYRPADSPTFSNEIHSNLHRKVMELRKSKELGEERGEGTTKSDQVKFGS" CDS complement(join(13426123..13426644,13426722..13427006)) /locus_tag="BRARA_H01186" /codon_start=1 /product="hypothetical protein" /protein_id="RID50459.1" /db_xref="Phytozome:Brara.H01186" /translation="MELWTEARALKASLRGEAIKHQVIVSEELSRTSSAEDFSVECFL DFSEEGQERDEEQRVSVCSSQEEQEQEQEQEQDYCIFSSQPCIFDQLPSLPDEDVEEL EWVSRVVDDCSSPEVSILFTQTLKTKPSFSSSVPVKPRTKRSRNSLTGDRVWPLVSTN QHATGEQCKKKKQETAVFFQRRCSHCGTNTTPQWRTGPAGPKTLCNACGVRFKSGRLC PEYRPADSPTFSNEIHSNLHRKVMELRKSKELGEERGEGTTKSDQVKFGS" gene complement(<13430403..>13432322) /locus_tag="BRARA_H01187" /db_xref="Phytozome:Brara.H01187" mRNA complement(join(<13430403..13430459,13430603..13430729, 13430803..>13432322)) /locus_tag="BRARA_H01187" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01187" CDS complement(join(13430403..13430459,13430603..13430729, 13430803..13432322)) /locus_tag="BRARA_H01187" /codon_start=1 /product="hypothetical protein" /protein_id="RID50460.1" /db_xref="Phytozome:Brara.H01187" /translation="MTSQGASDSVDNASEEAPLWNYVTKLEKSGAKGGTWKFKCNICN EDRQGSYFRIRAHLLGIKNQGIAICKKATRSQKFDMQKLEDEFEKKKNESGSRALPLP CENNETDHASKKRKAADSAIVRSFGIEVRDQLDQEIARMFYSGGLPFNLARNPHYHRS YQFAAENKIDGYVPPGYNKLRTTLLQKERNNVERLLVPFKSTWKERGVTIVSDGWSDP TRKPLINFIATSGSGPIFLKAVNCFGEVKDRFFISGLMKEVINEVGHQNVVQIITDNA ANCKAAGEIIESTFPHIYWTPCVVHTLNLALKNICAAKNVEKNASTYEECNWITDVHG DALAIKHFIMNHNMRLAIFSKFSPLKLLAVAYTRFASIIVMLKRLKLVKRGLEAMVIS EEWSTYREDDVGKATFVKGKILSDDWWEQVSYIIDFTRPIYEMIRFCDTDKPCLHLVY EMWDSMIEKVKSEIYKKEKRPVIEVSSFYTVVHEILVDRWTKNNTPLHCLAHSLNPRF YSDEWLSEDSTRLGPHRDPDVSNERMKCFRRLFPSIDDHLKELRAIRKRKDKDVGCWW R" gene complement(13433592..13435202) /locus_tag="BRARA_H01188" /db_xref="Phytozome:Brara.H01188" mRNA complement(join(13433592..13433836,13433929..13434129, 13434211..13434396,13434487..13434624,13434801..13434906, 13435001..13435048,13435129..13435202)) /locus_tag="BRARA_H01188" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01188" CDS complement(join(13433741..13433836,13433929..13434129, 13434211..13434396,13434487..13434624,13434801..13434906, 13435001..13435048,13435129..13435139)) /locus_tag="BRARA_H01188" /codon_start=1 /product="hypothetical protein" /protein_id="RID50461.1" /db_xref="Phytozome:Brara.H01188" /translation="MAVGKNKRISKGRKGGKKKIVDPFSKKDWYDIKAPSNFTHRNVG KTLVSRTQGTKIASEGLKHRVFEVSLADLQSDEDHSYRKIRLRAEDVQGRNVLTQFWG MNFTTDKLRSLVKKWQTLIEAHVDVKTTDSYTLRMFCIGFTKRRANQVKRTCYAQSSQ VRQIRRKMSEIMVKEASSCDLKELVAKFIPEAIGKEIEKATQNIYPLQNVFIRKVKIL KAPKFDLGKLMEVHGDYTAEDVGVKVDRPADETAEEPTEIIGA" gene 13435936..13438629 /locus_tag="BRARA_H01189" /db_xref="Phytozome:Brara.H01189" mRNA join(13435936..13436067,13436327..13436440, 13436514..13436583,13436674..13436825,13436954..13437055, 13437162..13437362,13437467..13437529,13437655..13437810, 13438046..13438120,13438202..13438629) /locus_tag="BRARA_H01189" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01189" CDS join(13436014..13436067,13436327..13436440, 13436514..13436583,13436674..13436825,13436954..13437055, 13437162..13437362,13437467..13437529,13437655..13437810, 13438046..13438120,13438202..13438318) /locus_tag="BRARA_H01189" /codon_start=1 /product="hypothetical protein" /protein_id="RID50462.1" /db_xref="Phytozome:Brara.H01189" /translation="MDAIRKQASRIREQVARQAVFKQFGGGGHGSGLSDDAELHQHQK LEKLYISTRAAKHYQRDIVRGVEGYIVTGSKQVEIGTKLSEDSRKYGSENTCTNGNVL TRAALNYGRARAQMEKERGNMLKALGTQVAEPLRSMVLGAPLEDARHLAQRYDRMRQE AEAQATEVARRQAKARESQGNPDIFMKLESAEAKLQDLKSNMSILGNEAASALSSVED QQQKLTLERLISMIESERAYHQRVLQILDQLEGEMVSERQRIEAPTTPSSTDNMPPPP SYEEANGVFASSHMHDTPTDSMRYFLGEVLFPYHGVTNVELSLSTGEYVVVRKVTGSG WAEGECKGKAGWFPYEYIERRERVLATKLSEVF" gene complement(13438744..13442092) /locus_tag="BRARA_H01190" /db_xref="Phytozome:Brara.H01190" mRNA complement(join(13438744..13439032,13439142..13439184, 13439331..13439422,13439513..13439601,13439741..13439816, 13439926..13440072,13440156..13440260,13440348..13440497, 13440637..13440706,13440872..13440947,13441041..13441130, 13441270..13441312,13441735..13442092)) /locus_tag="BRARA_H01190" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01190" CDS complement(join(13438976..13439032,13439142..13439184, 13439331..13439422,13439513..13439601,13439741..13439816, 13439926..13440072,13440156..13440260,13440348..13440497, 13440637..13440706,13440872..13440947,13441041..13441130, 13441270..13441312,13441735..13441929)) /locus_tag="BRARA_H01190" /codon_start=1 /product="hypothetical protein" /protein_id="RID50463.1" /db_xref="Phytozome:Brara.H01190" /translation="MGSLGTFLRYPDDVYPLLKMKRAIEKAEKQIPPEPHWGFCYSML HKVSRSFSLVIQQLGTDLRNAVCVFYLVLRALDTVEDDTSIPTDEKLPILIAFHRHIY DTDWHYSCGTKEYKVLMDQFHHVAAAFLELEKGYQEAIEEITKRMGAGMAKFICQEVE TVDDYDEYCHYVAGLVGLGLSKLFLASGTEVLLPDWEQISNSMGLFLQKTNIIRDYLE DINEIPKSRMFWPRKIWGKYADKLEDLKYEENSTKAVHCLNEMVTNALTHIEDCLNYM AALRDPSIFRFCAIPQIMAIGTLALCYNNVQVFRGVVKLRRGLTAKVIDRTKTMADVY GAFYDFSCMLKTKVDKNDPNASMTLNRLDAVQKVCRDTGVLHKRKSYINDKGQSYNVY IVMLVILLAIVFAYLRAN" gene complement(13444114..13445195) /locus_tag="BRARA_H01191" /db_xref="Phytozome:Brara.H01191" mRNA complement(join(13444114..13444485,13444644..13444690, 13444929..13445195)) /locus_tag="BRARA_H01191" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01191" CDS complement(join(13444366..13444485,13444644..13444690, 13444929..13445004)) /locus_tag="BRARA_H01191" /codon_start=1 /product="hypothetical protein" /protein_id="RID50464.1" /db_xref="Phytozome:Brara.H01191" /translation="MGLLPLVKKLGFVFLLVSAFALSFAGRPSILIYIQDDTHQELVE RRIHEHERILRMNSRDYGHFSPKHKLHRPPSKLIPN" assembly_gap 13451326..13461325 /estimated_length=unknown /gap_type="between scaffolds" gene 13462786..>13464869 /locus_tag="BRARA_H01192" /db_xref="Phytozome:Brara.H01192" mRNA join(13462786..13462999,13463248..13463294, 13463480..13463605,13463731..13463833,13463925..13463997, 13464413..13464478,13464582..13464693,13464819..>13464869) /locus_tag="BRARA_H01192" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01192" CDS join(13463265..13463294,13463480..13463605, 13463731..13463833,13463925..13463997,13464413..13464478, 13464582..13464693,13464819..>13464869) /locus_tag="BRARA_H01192" /codon_start=1 /product="hypothetical protein" /protein_id="RID50465.1" /db_xref="Phytozome:Brara.H01192" /translation="MDQAELSTEQVLQRDIPWETYMVTKLISATDLQLLRRYDKKPES ARAQLLDEDGPAYVQLFVTILRDIFKEETVEYVLALIYEMLSANSTRARLFHDQSLAH EDTYEPFLRLLSKGNWFIQEKSCKILAWIISYRPKAAVIANGEASDSKKHITKIDDVL NGLVEWLCAQLRQPSHPTRGAPVAISC" assembly_gap 13464871..13474870 /estimated_length=unknown /gap_type="between scaffolds" gene complement(<13479528..>13479956) /locus_tag="BRARA_H01193" /db_xref="Phytozome:Brara.H01193" mRNA complement(<13479528..>13479956) /locus_tag="BRARA_H01193" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01193" CDS complement(13479528..13479956) /locus_tag="BRARA_H01193" /codon_start=1 /product="hypothetical protein" /protein_id="RID50466.1" /db_xref="Phytozome:Brara.H01193" /translation="MDPSSSGSEESLMEQRKRKRMLSNRESARRSRMKKQKLLDDLTA QVNQLKEQNNEILTSVSITTQHYLTVEAENSVLKAQLDELSHRLESLNGIIEFLESTN GTCPNPLTGPEPDDFLVNQMNMNMFCMNQPLMASSDALLY" gene complement(<13480156..>13480284) /locus_tag="BRARA_H01194" /db_xref="Phytozome:Brara.H01194" mRNA complement(<13480156..>13480284) /locus_tag="BRARA_H01194" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01194" CDS complement(13480156..13480284) /locus_tag="BRARA_H01194" /codon_start=1 /product="hypothetical protein" /protein_id="RID50467.1" /db_xref="Phytozome:Brara.H01194" /translation="MSPIILSEIFLSGFMLNSTIRRRTHLVQSFSVVFLYWLYYVS" gene complement(13484896..13488629) /locus_tag="BRARA_H01195" /db_xref="Phytozome:Brara.H01195" mRNA complement(join(13484896..13485167,13485281..13485355, 13485451..13485830,13485911..13486043,13486129..13486218, 13486619..13486718,13486814..13486965,13487159..13487236, 13487336..13487602,13487737..13487836,13488230..13488476, 13488563..13488629)) /locus_tag="BRARA_H01195" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01195" mRNA complement(join(13484896..13485167,13485281..13485355, 13485451..13485830,13485911..13486043,13486129..13486218, 13486619..13486718,13486814..13486965,13487159..13487236, 13487336..13487602,13487737..13487836,13488230..13488482, 13488563..13488629)) /locus_tag="BRARA_H01195" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01195" CDS complement(join(13485153..13485167,13485281..13485355, 13485451..13485830,13485911..13486043,13486129..13486218, 13486619..13486718,13486814..13486965,13487159..13487236, 13487336..13487602,13487737..13487836,13488230..13488476, 13488563..13488581)) /locus_tag="BRARA_H01195" /codon_start=1 /product="hypothetical protein" /protein_id="RID50469.1" /db_xref="Phytozome:Brara.H01195" /translation="MAETKSEMSEEERKIVKMCSLKKKAISASNRFKNSFKKKGRRST SRVMSVPIEDDIDAEDLQALDAFRQALVLDELLPSKLDDLHMMLRFLRARKFDIEKAK QMWADMIQWRKDFGADTIIEDFEFEEIDDVMKHYPQGYHGVDKEGRPVYIERLGQIDA NKLLQVTTMDRYVKYHVKEFEKTFKIKFPACSVAANKHIDQSTTILDVQGVGLKNFSK SARELLQRLCKIDNENYPETLNRMFIINAGSGFRLLWSTVKSFLDPKTTAKIHVLGNK YHSKLLEVIDASELPEFFGGACTCEDKGGCMRSDKGPWNDPEVLKMAINKEAKCSPIS EDEHKHVDQGRSTSVPESLERNNKKRDEDNAHEKQIATIDKSMDTAWPVKTQKSESFK VSKGLECYVRKGAPKKRDGLLVGGVMAFVMGIVAMVRLSKDVPRKLTEAALYGNSVCY EESLSKQNKAQFAAPVSSSEYMLMVKRMAELEDKCMFLDLKPANVDSEKEEKLQAALN RVQVLEQELTETKKALEEALVSQKEILAYIEKKKKKKKLFFGF" CDS complement(join(13485153..13485167,13485281..13485355, 13485451..13485830,13485911..13486043,13486129..13486218, 13486619..13486718,13486814..13486965,13487159..13487236, 13487336..13487602,13487737..13487836,13488230..13488482, 13488563..13488581)) /locus_tag="BRARA_H01195" /codon_start=1 /product="hypothetical protein" /protein_id="RID50468.1" /db_xref="Phytozome:Brara.H01195" /translation="MAETKSEIEMSEEERKIVKMCSLKKKAISASNRFKNSFKKKGRR STSRVMSVPIEDDIDAEDLQALDAFRQALVLDELLPSKLDDLHMMLRFLRARKFDIEK AKQMWADMIQWRKDFGADTIIEDFEFEEIDDVMKHYPQGYHGVDKEGRPVYIERLGQI DANKLLQVTTMDRYVKYHVKEFEKTFKIKFPACSVAANKHIDQSTTILDVQGVGLKNF SKSARELLQRLCKIDNENYPETLNRMFIINAGSGFRLLWSTVKSFLDPKTTAKIHVLG NKYHSKLLEVIDASELPEFFGGACTCEDKGGCMRSDKGPWNDPEVLKMAINKEAKCSP ISEDEHKHVDQGRSTSVPESLERNNKKRDEDNAHEKQIATIDKSMDTAWPVKTQKSES FKVSKGLECYVRKGAPKKRDGLLVGGVMAFVMGIVAMVRLSKDVPRKLTEAALYGNSV CYEESLSKQNKAQFAAPVSSSEYMLMVKRMAELEDKCMFLDLKPANVDSEKEEKLQAA LNRVQVLEQELTETKKALEEALVSQKEILAYIEKKKKKKKLFFGF" gene complement(<13491271..>13492692) /locus_tag="BRARA_H01196" /db_xref="Phytozome:Brara.H01196" mRNA complement(join(<13491271..13491857,13492455..>13492692)) /locus_tag="BRARA_H01196" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01196" CDS complement(join(13491271..13491857,13492455..13492692)) /locus_tag="BRARA_H01196" /codon_start=1 /product="hypothetical protein" /protein_id="RID50470.1" /db_xref="Phytozome:Brara.H01196" /translation="MSDHVIGKSCVAGYESVWLSRWIRRGSAYGLKAHSNRDAKCSMK THLPDDDGQLWKESTGSIKLKAKTLNRSLDLFPNLGSNSSKGLRILQGKERVEIIPDI NKEPLIVADKEGETSSSATQRMDVEHFVNNTILPKECKRLRLTDTNCRSQVKRLKTNA SENETNSMMVVEEGPSVEKMNYFFRRIFKHGSGRNQESSTSRNRNLTMGGEREDVKAL HPWIQRWCKKKSTETHERRGGQQVNPKSFALQKQFPRIAAMALMGKALRRFKPEWS" gene complement(13500695..>13502024) /locus_tag="BRARA_H01197" /db_xref="Phytozome:Brara.H01197" mRNA complement(join(13500695..13500884,13500974..13501138, 13501236..13501370,13501465..13501629, 13501707..>13502024)) /locus_tag="BRARA_H01197" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01197" CDS complement(join(13500819..13500884,13500974..13501138, 13501236..13501370,13501465..13501629,13501707..13502024)) /locus_tag="BRARA_H01197" /codon_start=1 /product="hypothetical protein" /protein_id="RID50471.1" /db_xref="Phytozome:Brara.H01197" /translation="MMMMNGATEAELLLNCTNMSFLQLQRDHLRYHHHPGFFSNFSTI NGGESDGFLATTGLNIPDIYREKTTESDAILSMSPENITTSATFVSENLKKRKLDDVV TETKVCDEKRMMMRNKAKKEENNFSNDSSKVTKGSQKRDYIHVRARRGQATDSHSIAE RARREKISERMKYLQALVPGCDKITSKAGKLDEIINYVLSLQTQVEFLSMKLAALNSR LDFHIDEMVNSVYPHEIVSTGYLHFNPMQQVITSSDPPLQCFNNGQAPSMWEPDVQSL YSSLGV" gene complement(<13505933..>13507453) /locus_tag="BRARA_H01198" /db_xref="Phytozome:Brara.H01198" mRNA complement(<13505933..>13507453) /locus_tag="BRARA_H01198" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01198" CDS complement(13505933..13507453) /locus_tag="BRARA_H01198" /codon_start=1 /product="hypothetical protein" /protein_id="RID50472.1" /db_xref="Phytozome:Brara.H01198" /translation="MTSVNVKLLYHYVITNLFNLCFFPLTAIVAGKAYRLTIDDLHHL YYSYLQHNLITIAPLFAFTVFGSVLYIATRPKPVYLVEYSCYLPPTHCRSSISKVMDI FYQVRKADPSRNGTCDDSSWLDFLRKIQERSGLGDETHGPEGLLQVPPRKTFAAAREE TEQVIIGALENLFKNTNVSPKDIGILVVNSSMFNPTPSLSAMVVNTFKLRSNVRSFNL GGMGCSAGVIAIDLAKDLLHVHKNTYALVVSTENITYNIYAGDNRSMMVSNCLFRVGG AAILLSNKPGDRRRSKYELVHTVRTHTGADDKSFRCVQQGDDENGKTGVSLSKDITDV AGRTVKKNIATLGPLILPLSEKLLFFVTFMGKKLFKDKIKHYYVPDFKLAIDHFCIHA GGRAVIDVLEKNLALAPIDVEASRSTLHRFGNTSSSSIWYELAYIEAKGRMKKGNKVW QIALGSGFKCNSAVWVALNNVKASTNSPWEHCIDRYPVKIDSDSGKSETRVQNGRS" gene complement(<13508963..>13510387) /locus_tag="BRARA_H01199" /db_xref="Phytozome:Brara.H01199" mRNA complement(<13508963..>13510387) /locus_tag="BRARA_H01199" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01199" CDS complement(13508963..13510387) /locus_tag="BRARA_H01199" /codon_start=1 /product="hypothetical protein" /protein_id="RID50473.1" /db_xref="Phytozome:Brara.H01199" /translation="MDDNVQIRKNVKLCYHYLITHFLKLLLVASLTVLVMNISRLSLN HLAFIFLVAVLGTTLFFMSRPRSVYLVDYSCYLPPSSLQFTYEKFMKHSILTNIFNES SLEFQSKILKRSGLGDETYLPEAIHYVPPRPTMAAAREEAELVVFGALDSLFENTKVN LKEISVLVVNCSLFNPTPSLSAMIVNKYKLRENVKSFNLGGMGCSAGVIAVDLANDML QLYRNTYALVVSTENITQNWYFGNKKAMLIPNCLFRIGGSAVLLSNKSCDRKRSKYKL VHTVRTHKGSDEKAFNCVYQEQDETLKTGVSLSKDLMSIAGEALKTNITTLGPLVLPM SEQILFFATYLVNKLFNAKKKMKPYMPDFKLAFDHFCIHAGGRAVIDELEKSLRLLPK HVEASRMTLHRFGNTSSSSIWYELAYTEAKGRMRKGNRVWQIAFGSGFKCNSAVWVAL RDVEPSVKNPWEHCIHRYPVKIDL" gene 13517121..13519904 /locus_tag="BRARA_H01200" /db_xref="Phytozome:Brara.H01200" mRNA join(13517121..13517466,13517548..13517716, 13517802..13517866,13517974..13518277,13518368..13518518, 13518607..13518716,13518807..13518862,13518952..13519008, 13519123..13519266,13519497..13519904) /locus_tag="BRARA_H01200" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01200" CDS join(13517182..13517466,13517548..13517716, 13517802..13517866,13517974..13518277,13518368..13518518, 13518607..13518716,13518807..13518862,13518952..13519008, 13519123..13519266,13519497..13519583) /locus_tag="BRARA_H01200" /codon_start=1 /product="hypothetical protein" /protein_id="RID50474.1" /db_xref="Phytozome:Brara.H01200" /translation="MEESLIKRLEAAVTRLEGGGGGVTLSRGGDFSSGANVAAASDPS ILAYDDLISQCVGRILTAAEKIGGPVLDVTKIVAEAFAAQKELLVRIKQTQKPDMAGL AGFLKPLNDVTMKADAMTQGKRNDYFNHLKAASDSLSALAWIAFTGKDCGMSMPIAHV EESWQMAEFYSNKVLVEYRNKDADHVEWAKALKELYLPGLRDYVKSHYALGPVWNAAG KPVSAPAKGPPGAPAPPPAPSAPLFSSESSKPSSSSNQKQGMSAVFQQLSSGSVTSGL KKVTDDMKTKNRSDRSGAVSAVEKETRTTTKPAVSKTGPPKMELQMGRKWAVENQIGK KDLVISECDSKQSVYVFGCKDSVLQIQGKVNNITIDKCTKMGVVFTDVVAAFEIVNCT NVEVQCQGSAPTVSVDNTTGCQLYLNKDSLETAITTAKSSEINVMVPGASPDGDWVEH ALPQQYNHMFTEGKFETTPVSHSGA" gene 13520187..13522924 /locus_tag="BRARA_H01201" /db_xref="Phytozome:Brara.H01201" mRNA join(13520187..13520557,13520661..13520755, 13520832..13521259,13521695..13522052,13522128..13522241, 13522350..13522924) /locus_tag="BRARA_H01201" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01201" CDS join(13520452..13520557,13520661..13520755, 13520832..13521259,13521695..13522052,13522128..13522241, 13522350..13522385) /locus_tag="BRARA_H01201" /codon_start=1 /product="hypothetical protein" /protein_id="RID50476.1" /db_xref="Phytozome:Brara.H01201" /translation="MSVSELKERHAVATDTVNNLRDKLIQRRLQLLDTDVAKYTAGQG RSPVKFGATDLVCCRTLQGHTGKVHSLDWTPESNRIVSASQDGRLIVWNALTSQKTHA IKLPCAWVMTCAFSPNGQTVACGGLLESVCSIFSLSSTADKDGTVPVSRMLSGHKGYV SCCRYVPNEDAHLITGSGDQTCVLWDVTTGLKTSVFGGEFQSGHTADVLSVSISESNP TRFISGSCDTTARLWDTRDASRAVGTFHGHKGDVNTVKFFPDGHRFGTGSEDGTCRLY DIRTGHQLQVYQPQCDGENLPVTSITFSASGRLLFAGYANNNTCYVWDTVLGEVVLDL GQLQDSHKNRISCLGMSADGSALCTGSWDSNLKIWAFGGHRRVI" gene complement(<13522787..>13527551) /locus_tag="BRARA_H01202" /db_xref="Phytozome:Brara.H01202" mRNA complement(join(<13522787..13522917,13525318..13525444, 13525653..13525886,13525967..13526074,13526469..13526570, 13526657..13526857,13526929..13527042,13527156..13527304, 13527506..>13527551)) /locus_tag="BRARA_H01202" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01202" CDS complement(join(13522787..13522917,13525318..13525444, 13525653..13525886,13525967..13526074,13526469..13526570, 13526657..13526857,13526929..13527042,13527156..13527304, 13527506..13527551)) /locus_tag="BRARA_H01202" /codon_start=1 /product="hypothetical protein" /protein_id="RID50475.1" /db_xref="Phytozome:Brara.H01202" /translation="MAQPFVKKDDDHDDEMEYSPFMGIEKGAVLQEARVFNDAQVDPR RCSQVITKLLYLLNQGESFTKVEATEVFFSVTKLFQSKDTGLRRMVYLIIKELSPSSD EVIIVTSSLMKDMNSKIDMYRANAIRVLCRIIDGTLLTQIERYLKQAIVDKNPVVSSA ALVSGLHLLRTNPEIVKRWSNEVQEGVQSRSALVQFHALALLHQIRQNDRLAVSKLVG SLTRGSVRSPLAQCLLIRYTSQVIRDMSNHGQSGERPFYEFLESCLRHKAEMVILEAA RAITELDGVTSRELTPAITVLQLFLSSPKPVLRFAAVRTLNKVSMTHPMAVTNCNIDM ESLISDQNRSIATLAITTLLKTGNESRNVKVLVRAQFGMDSSKEIAMKLAVRAEDVSV AEAIHEIVANG" gene complement(13534352..>13537248) /locus_tag="BRARA_H01203" /db_xref="Phytozome:Brara.H01203" mRNA complement(join(13534352..13534801,13534886..13535047, 13535123..13535267,13535349..13535425,13535516..13535586, 13535661..13535747,13535836..13536267, 13536480..>13537248)) /locus_tag="BRARA_H01203" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01203" CDS complement(join(13534559..13534801,13534886..13535047, 13535123..13535267,13535349..13535425,13535516..13535586, 13535661..13535747,13535836..13536267,13536480..13537248)) /locus_tag="BRARA_H01203" /codon_start=1 /product="hypothetical protein" /protein_id="RID50477.1" /db_xref="Phytozome:Brara.H01203" /translation="MADSPENSPPAPETPNAGSLSNGTSPLTSPASSPPSPDSAPPPT PTASSTPPAPPTQETSPPPSLPTSPPAVPNPPAKPQENPSPPSPQISSPVTPPAPPQA PSNQSPPPQRPSPPSPVANDDRNRINSNNREGSTPSPPSGTSENDDRNRINSNNREGS TPSGNRRSSDGGSPSPPRSISPPRNSGDSDTSSPPGEQPHQANLGLIIGVIVGAGLLL LLLMFICICCYKKKKEKRAPQVNHMHYYNNNTPFGAPNGNGGYYNNGTPQDHVVNMDH SDSSNLAGPTAPSPPAATLGHNQSTFTYDELSIATEGFSQSNLLGQGGFGYVHKGVLP NGKEVAVKSLKLGSGQGEREFQAEVEIISRVHHRHLVSLVGYCISGGQRLLVYEFLPN NTLEFHLHGKGRPVLDWHVRVKIALGSARGLAYLHEDCHPRIIHRDIKAANILLDFSF ETQVADFGLAKLSQDNYTHVSTRVMGTFGYLAPEYASSGKLSDKSDVFSFGVMLLELI TGRPPVDLTGEMEDSLVDWARPLCSKAAQDGDYSQLADPRLETNYDQQEMARMASCAA AAIRHSARRRPKMSQIVRALEGDMSIEDLSEGGRAAQNTHLSPGTGSSEYDTRSYSAD MKKFRKLALESKEYQTGECGLNPSASNSEEMKRNPQF" gene complement(13537735..13542142) /locus_tag="BRARA_H01204" /db_xref="Phytozome:Brara.H01204" mRNA complement(join(13537735..13538447,13538552..13538730, 13538824..13539398,13539481..13539644,13539750..13540418, 13540594..13541123,13541639..13542142)) /locus_tag="BRARA_H01204" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01204" CDS complement(join(13538085..13538447,13538552..13538730, 13538824..13539398,13539481..13539644,13539750..13540418, 13540594..13541123,13541639..13542032)) /locus_tag="BRARA_H01204" /codon_start=1 /product="hypothetical protein" /protein_id="RID50478.1" /db_xref="Phytozome:Brara.H01204" /translation="MEEKRTLASAGDSPASEPSTRRRLKRKANALGTSNSASAKRMLK REKAMLASFSPVHSGPLTRARQAPSSMPAAAGVKAEVVVVGTDGEKLKEEEEERDKAA IREWEAKIEAEFEAVRSRESNVHVVPNHCGWFSWGKIHPLEERSLPSFFNGKLEGRTP EVYREIRDWIMKKFHSDPNTQIEVKDLKELEVGDSEAKQEVMEFLDYWGLINFHPFPS SPDASSTPGDHDDLGDKESLLNSLYRFQTDEASPALVHKPRPTAQATPSGLFPDPVAP DDLLKQEGPAVEYHCNSCSADCSRKRYHCPTQADFDLCTECFDSGKFSSDMSSSDFIL MDSAEAPGVGSGKWTDQETLLLLEGLEIFKENFNEIAEHVATKTKAQCMLHFLQMPIE DAFLDQFDYKVQSTKDTTNSAVSKDDKSVLKDAPEETENKNPVKEAETVKEAPGTEDD NEGKVPPESSEPGDAREEISEVEAEQKTLKVETVTDERCKDDADENVALKALTEAFEE LGYPITPEASLSFADLGNPVMGLAAFLVRLAGSDVATASARASIKSLKSNSGLLLATR HCFVLEDPLDNKKDSAESKSVDAVGNGDSAHKDEQPEEKSQKAEDVSLNANDREMTEA DSGRENQDSVSEEKQPGSRTKKSARKPDAERRKRSINSVATEKSEEPAAIICTSQDKC SGKELQEPLKDGNKLSSENKDASQATVSQLAPDASQPEASKDVEMKDMSQSQKDPQDM VKTVGEEIEQAKESAKDVLSMPDTSVASASVPENGTDGENTKKEKDVSEETKDKHNTN KLKRAAISALSAAAVKAKHLAKQEEDHIRQLSGSLIEKQLRKLEAKLSIFNDAESVTV RVKEQLERSRQRLYHERAQIIATRLGAPPSMSSKASLSTNRTAANLANVAQRPPMGMS FPRPPMSRPPGASPFSVPGSHVAATAMSGSSGPPQGSDNVSSI" gene complement(<13546983..>13547363) /locus_tag="BRARA_H01205" /db_xref="Phytozome:Brara.H01205" mRNA complement(<13546983..>13547363) /locus_tag="BRARA_H01205" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01205" CDS complement(13546983..13547363) /locus_tag="BRARA_H01205" /codon_start=1 /product="hypothetical protein" /protein_id="RID50479.1" /db_xref="Phytozome:Brara.H01205" /translation="MECSNMKLHHQQGGYAEPRISFSSGFAATKNEIIKYKEAPVSSD DFEFGIKNCSMTTADEIFCDGMILPLKEEVNTTKRMPTLREELNEEDDDSPRTKSKGS SGWWRERLGLGFSKSKKDHKRSSF" gene complement(13550826..13552187) /locus_tag="BRARA_H01206" /db_xref="Phytozome:Brara.H01206" mRNA complement(13550826..13552187) /locus_tag="BRARA_H01206" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01206" CDS complement(13551295..13552101) /locus_tag="BRARA_H01206" /codon_start=1 /product="hypothetical protein" /protein_id="RID50480.1" /db_xref="Phytozome:Brara.H01206" /translation="MQYPYTRPGFIGASDTQTRTRYPYQEQLSPEQELSVIVSALQHV ISGSGESDTKPYQGLSSDSTVIMPRSDYNTCQVCRIDGCLGCDYFFAPNQRIEMRQHV VVVEEDGVTSTSSGRESSAKAKKAEGGKIRKRRNKKNGYRGVRQRPWGKFAAEIRDPK RATRVWLGTFETAEDAARAYDRAAIGFRGPRAKLNFPFMDYTSSPVAADTSASVSVSA SVSAVDSGEAEQWRGGGECDMDEYLKMMMMMDFGNGDSSDSGNTIADMFQ" gene complement(13563758..13567541) /locus_tag="BRARA_H01207" /db_xref="Phytozome:Brara.H01207" mRNA complement(join(13563758..13564507,13564924..13565087, 13565169..13565552,13565626..13565784,13565907..13566011, 13566105..13566245,13566327..13567541)) /locus_tag="BRARA_H01207" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01207" CDS complement(join(13563913..13564507,13564924..13565087, 13565169..13565552,13565626..13565784,13565907..13566011, 13566105..13566245,13566327..13567271)) /locus_tag="BRARA_H01207" /codon_start=1 /product="hypothetical protein" /protein_id="RID50481.1" /db_xref="Phytozome:Brara.H01207" /translation="MAAVLRKLLPLDDHDSSDDSNSFQIDYSFANEYKGPLIANLPRA DPVEIDQIPTALPVSFSSLSRGVSYPVVQPLVKVTKKKKKNGFVDSAACPSVVLKPRH VVSGSSASSSASSSADDLRAVDVEDSDGEDSSIGGNGNRVRFVVPPSQGSEGDESSYY YDGEESVAPTPRAERKGKKGSCYRCLMGNRFTEKEVCIVCHAKYCFNCVRRAMGAMPE GRKCVTCIGLGIDESNRRSLGKCSRMLKRVLTDSELKQVMSDEMSCRANQMPSRLIAV NGKPLNEDELFMLQNCPHPPKKLKPGDYWYDKVAGYWGKVGEKPCHIISPDMKIGGSN IKKEASNGDTEICINNREITKTELMMLKVAGVHCEGKPHFWVNPDGTYLEEGQNRVLG NIWSKNRAKLACAMFSLPFLPTSSAVEPNVEPISNKKRLNKLLLIGNDTCGATTIYKQ ARSLYGIPFSEDDRERIKFIIQTNLYAYLAMVLEAHKRFEEEMNNNHYTDQTGDAITA ITVSSISPRLKHFADWLLKEKEDGNLMIFPASSRENAQTVADLWRVPAIQDTYKLLRD TFPRNAVYFLERILEVSRSEYEPSDMDILQAEGLSSMEGLSCVDFSFPSTTQEVSLDT DDQHDPNMKYQLIRLNPRRHGENWKWLEMFEDADLVIFCVSLTDYGDYIEDGAGVLVN KMIANKQLFERMVTHPSLANKRFLLVLTKFDLLEEKIEEVPLRTCEWFKDFNPLISQN QTSRHNPPMAQRAFHYVGYQFKRLHDSLVGPFSMRGRTFRPKLFVSQVSLESDTVDNA MKYAREILKWHVEETSMIQETSTISIDASLSS" gene complement(<13578350..>13579819) /locus_tag="BRARA_H01208" /db_xref="Phytozome:Brara.H01208" mRNA complement(<13578350..>13579819) /locus_tag="BRARA_H01208" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01208" CDS complement(13578350..13579819) /locus_tag="BRARA_H01208" /codon_start=1 /product="hypothetical protein" /protein_id="RID50482.1" /db_xref="Phytozome:Brara.H01208" /translation="MRNETGGTMVVDLQTDSYNNNHNRHDLGDNGHKPRSKFGDILKA DHDGIFSPEDPIIVNTNLTHPRFSNVSASTMSSIGPASGEGSPCVMSPWARMSTPWPA DFNEDNALETNGLIGSIVREEGHIYSLAASGDLLYTGSDSKNIRVWKNLKDYTGFKAS SGLIKAIVISVDRIFTGHQDGKIRVWKVSKKKAGKYKRVGTLPTLKSMVKSSINPKHF NRNSVKKKHKDAVSSLSMDVELGLLYSSSWDRTIKVWRVSDSKCLESIQAHDDAINSV MYGFDDLVFTGSADGTVKVWKREMHVKGTRHILVQVLLKQEHAVTALAVISKSSMVYS GSSDGVVNYWERSTRVFVGGVLKGHKSAVLCLTVAGNMLLSGSADKNICVWRREPSDG SHHCLTVLTGHMGPVKCLAVEDEQACRGKEAEVCMVNEGDRKWIIYSGSLDKSVKVWR VTERMATWREMDEPAASSGWERSSSMREGSGAWSIGDLE" gene complement(<13581148..13583082) /locus_tag="BRARA_H01209" /db_xref="Phytozome:Brara.H01209" mRNA complement(join(<13581148..13581213,13582284..13582382, 13582469..13582603,13582971..13583082)) /locus_tag="BRARA_H01209" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01209" CDS complement(join(13581148..13581213,13582284..13582382, 13582469..13582540)) /locus_tag="BRARA_H01209" /codon_start=1 /product="hypothetical protein" /protein_id="RID50483.1" /db_xref="Phytozome:Brara.H01209" /translation="MATLDGVHRGLNPDGVFISIAFGQPHFRRPFVYGSQVNLLLGDN TFGDGCHYFFHRKEVWFQKLIDGHPRFNVDRRRY" gene 13583740..13586238 /locus_tag="BRARA_H01210" /db_xref="Phytozome:Brara.H01210" mRNA join(13583740..13584057,13584293..13584489, 13584590..13584769,13584842..13584973,13585055..13585129, 13585215..13585320,13585401..13585495,13585577..13585762, 13585837..13585923,13586009..13586238) /locus_tag="BRARA_H01210" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01210" CDS join(13583790..13584057,13584293..13584489, 13584590..13584769,13584842..13584973,13585055..13585129, 13585215..13585320,13585401..13585495,13585577..13585762, 13585837..13585923,13586009..13586074) /locus_tag="BRARA_H01210" /codon_start=1 /product="hypothetical protein" /protein_id="RID50484.1" /db_xref="Phytozome:Brara.H01210" /translation="MAVALQFCRLCIRPDTFAPGSGSGSLRRRKPSSLRCSSGNDDTP SPSVVMDSDFDAKTFRKNLTRSDNYNRKGFGHKEETLKLMNREYTSDVLETLKANGYT YSWGDVTVKLAKAYGFCWGVERAVQIAYEARRQFPEEKLWITNEIIHNPTVNKRLEEM DVQIIPVEDSKKQFDVVGKDDVVILPAFGAGVDEMYVLNDKKVQIVDTTCPWVTKVWN MVEKHKKGEYTSIIHGKYNHEETIATASFAGKYIIVKNMKEANYVCDYILGGELDGSS STKEEFMEKFKYAVSKGFDPDSDLIKVGIANQTTMLKGETEEIGKLIERTMMRKYGVE NVNGHFISFNTICDATQERQDAIYELVEEKIDLMLVVGGWNSSNTSHLQEISEVRGIP SYWIDSEQRIGPGNKIAYKLHYGELVEKENFLPKGPITIGVTSGASTPDKVVEDVLVK VFDIKREELLQLA" gene 13587706..13588504 /locus_tag="BRARA_H01211" /db_xref="Phytozome:Brara.H01211" mRNA 13587706..13588504 /locus_tag="BRARA_H01211" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01211" CDS 13588122..13588343 /locus_tag="BRARA_H01211" /codon_start=1 /product="hypothetical protein" /protein_id="RID50485.1" /db_xref="Phytozome:Brara.H01211" /translation="MDKDKEPRAMPPPRTRKSQGKKKNRVVSGGSEVSVGSSKSYGLV RYRFRTMGQTWIGSWSTPLLLSLPGFLLW" gene complement(13594366..13597112) /locus_tag="BRARA_H01212" /db_xref="Phytozome:Brara.H01212" mRNA complement(join(13594366..13595224,13596579..13597112)) /locus_tag="BRARA_H01212" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01212" CDS complement(join(13594545..13595224,13596579..13597035)) /locus_tag="BRARA_H01212" /codon_start=1 /product="hypothetical protein" /protein_id="RID50486.1" /db_xref="Phytozome:Brara.H01212" /translation="MGNQTSKKSQETSFKTTTTNMHYTTELRSYEAACKADTELQSFD TCLQTRTSHVISTLATGVEVRALSFDSLKEVTECLLEMNQEVVKVILDCKKDIWKNQE MFELVEDYFENSLKTLDFCAALEKGLRKARDSQLLILVALQHFEDESLVEGCNGYEKT LDELKNFKDAESPFSKDFFKMFQSVYKHQMLMLEKLQLRKNKLDKKLKCIHTWRKLCN IIFVATFATVLICSVVAAAMAAPPVAAALAAATAVPVGSMGKWIDSLWKNYENALKGQ REVISSMQAGTYVAVKDLDNIRVLIEQLEIEIRGMVTCAAFAVEHEAVKLGIDEIKKK LEVFKKNVEELGAQADLCSRDIRRARTVILQRIIKHPNNASSST" gene complement(13605044..13606195) /locus_tag="BRARA_H01213" /db_xref="Phytozome:Brara.H01213" mRNA complement(join(13605044..13605633,13605724..13606195)) /locus_tag="BRARA_H01213" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01213" CDS complement(13605154..13605489) /locus_tag="BRARA_H01213" /codon_start=1 /product="hypothetical protein" /protein_id="RID50487.1" /db_xref="Phytozome:Brara.H01213" /translation="MMRICSQLLLIVTRLQFFLNQRKKKKHKTSKESRYSSLFDEPVL PCLSIEEDNSNSDDWLLGTRRQENVSTKSTMNEDMVMNLQKPGESCFPSSQFLSEVGI FSLPYTVLF" gene complement(<13616765..>13617511) /locus_tag="BRARA_H01214" /db_xref="Phytozome:Brara.H01214" mRNA complement(<13616765..>13617511) /locus_tag="BRARA_H01214" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01214" CDS complement(13616765..13617511) /locus_tag="BRARA_H01214" /codon_start=1 /product="hypothetical protein" /protein_id="RID50488.1" /db_xref="Phytozome:Brara.H01214" /translation="MGLSKARKLLLVLVITSYVFSGTAHAWSWSWGSGQSGSSWGWGW GSDNNSGLGSGGSNSNSGGGSSWGWGWSSNGTDTNWGWGSSSGSNHSSGTGSTHNNHS SGSNQTRGSSIGSSHKNHTAQVSPRRKIEVSVWKNGFDYQEWASKHAPFHTNDVLSFK YNGKSQSKMKHNNKNDVYLLPDLKSYQRCDVSRGKKLVARGGSSSGFKLLLRKTHETY FFASGDHNGCNHNMKFSISPIPRSSSHTKP" gene complement(<13618178..>13618700) /locus_tag="BRARA_H01215" /db_xref="Phytozome:Brara.H01215" mRNA complement(join(<13618178..13618294,13618614..>13618700)) /locus_tag="BRARA_H01215" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01215" CDS complement(join(13618178..13618294,13618614..13618700)) /locus_tag="BRARA_H01215" /codon_start=1 /product="hypothetical protein" /protein_id="RID50489.1" /db_xref="Phytozome:Brara.H01215" /translation="MKDIVGESVIPRTQALKRIWAYIKEHDLQDPQDKKVIICDEKLK KIFEGKDRDGFLEIAKLIGPHFL" gene <13621897..>13622172 /locus_tag="BRARA_H01216" /db_xref="Phytozome:Brara.H01216" mRNA <13621897..>13622172 /locus_tag="BRARA_H01216" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01216" CDS 13621897..13622172 /locus_tag="BRARA_H01216" /codon_start=1 /product="hypothetical protein" /protein_id="RID50490.1" /db_xref="Phytozome:Brara.H01216" /translation="MQANELGKKSCGFLVEVQVEAVKETYFNEFVDLNDYDSEGRRIL TNPNFPICQQEFGQSRSDIIAELRYCDHCFHRDCIFTCLGRKPTCPT" gene 13629820..13632097 /locus_tag="BRARA_H01217" /db_xref="Phytozome:Brara.H01217" mRNA join(13629820..13630432,13630680..13632097) /locus_tag="BRARA_H01217" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01217" CDS join(13629886..13630432,13630680..13631935) /locus_tag="BRARA_H01217" /codon_start=1 /product="hypothetical protein" /protein_id="RID50491.1" /db_xref="Phytozome:Brara.H01217" /translation="MSAAAASSAISVATNPLKTFSLSSRSPLPSAISLPSRSLNTPRR RLVLVSCTAGDGSKPTILVAEKLGEAGVKLLEGFANVDCSYNMTPEELNTKISLCDAL IVRSGTKVGREVFESSRGRLKVVGRAGVGIDNVDLSAATEFGCLVVNAPTANTIAAAE HGIALLASMARNVAQSDASVKAGEWKRNKYVGVSLVGKTLAVMGFGKVGTEVARRAKG LGMRVIAHDPYAPADRAHAIGVELVSFDEALATADFISLHMPLTPATNKILNDETFAK MKKGVRIVNVARGGVIDEDALVRALDAGIVSQAALDVFTTEPPAKDSPLVQHENVTVT PHLGASTMEAQEGVAIEIAEAVVGALNGELAATAVNAPMVSAEVLTELKPYVILAEKL GRLAVQLVAGGSGVKNVKVSYTSARATDDLDTRLLRAMITKGIIEPISDVYVNLVNAD FTAKQRGLRLSEERVLLDGSPENPLETITVQLGNVESKFASSLSESGEVKVEGRVKDG IPHLTKVGSFEVDVTLEGSIILCRQVDQPGMIGTVGSILGESNVNVNFMSVGRIAPRK QAIMAIGVDDQPSKETLKKIGEIPAIEEFVFLKL" gene complement(13632627..13633630) /locus_tag="BRARA_H01218" /db_xref="Phytozome:Brara.H01218" mRNA complement(join(13632627..13632960,13633337..13633397, 13633472..13633630)) /locus_tag="BRARA_H01218" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01218" CDS complement(join(13632808..13632960,13633337..13633397, 13633472..13633524)) /locus_tag="BRARA_H01218" /codon_start=1 /product="hypothetical protein" /protein_id="RID50492.1" /db_xref="Phytozome:Brara.H01218" /translation="MGQIQYSDKYFDDTFEYRHVVLPPDTAKLLPKNRLLSENEWRAI GVQQSRGWVHYAIHRPEPHIMLFRRTLNYQQQQQENQAHNVLAK" gene complement(<13635825..>13642633) /locus_tag="BRARA_H01219" /db_xref="Phytozome:Brara.H01219" mRNA complement(join(<13635825..13636073,13636155..13636193, 13636248..13636334,13636489..13636614,13636749..13636874, 13636965..13637089,13642600..>13642633)) /locus_tag="BRARA_H01219" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01219" CDS complement(join(13635825..13636073,13636155..13636193, 13636248..13636334,13636489..13636614,13636749..13636874, 13636965..13637089,13642600..13642633)) /locus_tag="BRARA_H01219" /codon_start=1 /product="hypothetical protein" /protein_id="RID50493.1" /db_xref="Phytozome:Brara.H01219" /translation="MAPFPSDKPEGVAISCLSTLLKEPVVRSSFVQADGVKLLVPLIS PASTQQSIQLLYETCLCIWLLSYDEPAIEYLATSRTMQRLTEVVKSSTKKKVVRVVIL TFRNLLPKGTFGAQMVDLGLPHIIHSLKTQAWSDEDLLDTLNQLEEGLKDKIRKLSSF DKYKQKRENVTSFEENDFQILMVHLTILDTSSDPRSLAVACYDLSQYIQYHAAGRVIV TDLKAKERVMKLMAHENAEVTKNALLCIQRLLLGAKYASFLQV" gene complement(13649367..13651263) /locus_tag="BRARA_H01220" /db_xref="Phytozome:Brara.H01220" mRNA complement(join(13649367..13649886,13649972..13650102, 13650178..13650379,13650469..13651263)) /locus_tag="BRARA_H01220" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01220" CDS complement(join(13649599..13649886,13649972..13650102, 13650178..13650379,13650469..13650948)) /locus_tag="BRARA_H01220" /codon_start=1 /product="hypothetical protein" /protein_id="RID50494.1" /db_xref="Phytozome:Brara.H01220" /translation="MAIPKEEESREEHSTSFLLDALYCEEEKWDDEEVVEENSSYSSS SSTTSPFVLLEQDLFWEDEDLVALFTKEEEEELSCLDDLYLATDRKEAVGWILRVNSH YGFSTLAAVLAITYLDKFICSYSLQRDKPWMLQLVSVACLSLAAKVEETHVPLLLDFQ VEETKYVFEAKTIQRMELLILSTLQWKMHLITPISFLDHIIRRLGLKNNAHWDFLNRC HRLLLSVISDSRFVGYRPSVVAAATMMRVIDQVEPFDPLSHQTKLLNVLNITKEKVEP CYNLILQDRIGLQIETQTSRKRKSRDSPSLISPSCVIDSNPFNGDESSNDSWLTSSYT PTPSSPEQEPPVKKTKKEKPILHLPWAIVASP" gene complement(13651527..13654003) /locus_tag="BRARA_H01221" /db_xref="Phytozome:Brara.H01221" mRNA complement(join(13651527..13651870,13652260..13652551, 13652651..13652696,13653196..13653267,13653358..13653484, 13653576..13653680,13653785..13654003)) /locus_tag="BRARA_H01221" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01221" CDS complement(join(13651833..13651870,13652260..13652551, 13652651..13652696,13653196..13653267,13653358..13653484, 13653576..13653680,13653785..13653830)) /locus_tag="BRARA_H01221" /codon_start=1 /product="hypothetical protein" /protein_id="RID50495.1" /db_xref="Phytozome:Brara.H01221" /translation="MSMMAGIQGQVLEVTVVGCQKLKDTEWFSRQDPYVVLEYSSTRH RTRTCTDGGKNAVFQEKFMFTMLEGLRDLKVVVWNSNTLSTDDFIGNATIKLQKALSE GYDDCTWTLQGKNGRYAGEVRLILHYAAAKKQNYGSAQLAPPYAPQVPHYSAPYSGPS LYPQVQYSQPQSAYPPASAYPHQPSAYPPPSASAYPPAPSAYPPGPSAYPPPPPPSST YPPQQSPYYPQGPYPGQYPPPPY" gene <13658131..>13659018 /locus_tag="BRARA_H01222" /db_xref="Phytozome:Brara.H01222" mRNA <13658131..>13659018 /locus_tag="BRARA_H01222" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01222" CDS 13658131..13659018 /locus_tag="BRARA_H01222" /codon_start=1 /product="hypothetical protein" /protein_id="RID50496.1" /db_xref="Phytozome:Brara.H01222" /translation="MDSYNPYSQGPNFVDLLNSQQDYPPDEFGSSQRPVFTSQGAETS SFCEDSHTQRKERKKWTPADDLVLISAWLNTSKDPVVSNEQKAGAFWSRIASYYEASP KVEKGDKREPLQCKQRWQKLNDLVCKFCGSYAAATRQKTSGQSESDVVKLAHEIFFND HKLKFNLHHAWEELRYDQKWCEHATSKLGGSAKKRKCEDGAETASSQATINLDEEPTK RPAGVKAAKAASAKKPVVQKEDALKFQAMCSIKEKDLALQERLSKMDLLKSLILKNET LSQKEEALKAKLLTEMLDN" gene 13661685..13663647 /locus_tag="BRARA_H01223" /db_xref="Phytozome:Brara.H01223" mRNA join(13661685..13662747,13662989..13663647) /locus_tag="BRARA_H01223" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01223" CDS join(13661760..13662747,13662989..13663473) /locus_tag="BRARA_H01223" /codon_start=1 /product="hypothetical protein" /protein_id="RID50497.1" /db_xref="Phytozome:Brara.H01223" /translation="MGEASKLHIFLFPYMAHGHMIPTLDMAKLFSTKGAKSTILTTPL NAKILENPIKSFNQDNPGLEDITIHILHFPCTELGLPQGCENTDFFFSNPDLNTGDLN RKFLLSMEYFKEQLEQLLQTVKPDCLVANMFLPWATKLAEKFGVPRLVFHGTGYFSLC ASHCLRLHKPYKNVASSSEPFVIPELPGEIVITEEQVIEKEEESVMGKFMKELRDSER SSFGVLVNSFHELEPAYSDFYKSSVAQRAWSIGPLSLGNREFKEKAERGKKASIDEHE CLKWLDSKRRESVIYLSFGTMLSFNNEQLVEIAAGLDMSGHDFIWVVNKSGSQGDKEE WLPERFEEKMKGRGLIIRGWAPQVVILDHQAVGGFLTHCGWNSLLEGVASGLPMVTWP IGAEQFYNEKLVTQVLKTGVSVGVKKMMKPDGDFITKEKVEKAVREVMAGEEMRKRAK QLADMAKDAVREGGSSDIEVNRLMEELKLVRLQKEEEKRS" gene 13664732..13666504 /locus_tag="BRARA_H01224" /db_xref="Phytozome:Brara.H01224" mRNA 13664732..13666504 /locus_tag="BRARA_H01224" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01224" CDS 13664862..13666313 /locus_tag="BRARA_H01224" /codon_start=1 /product="hypothetical protein" /protein_id="RID50498.1" /db_xref="Phytozome:Brara.H01224" /translation="MSSSNPQHKLHVMFFPFMAYGHMIPTLDMAKLLSSRGAKSTIIT TPLNSKILKKPIDVFKNLNPNLDIDIEIFDFPCVELGLPEGCENVDFFTSNTNVDGNY MALKFFISTSFFKDQLEVLLKKTRPNCLIADMFFPWATEAAEKSHVPRLVFHGTGYFS LCAGYCIKVHKPQNKVASSCEPFVIPELPGDIVITQEQIIDGDNESEMGKFMIDVRES ELKSSGVVVNSFYELEPAYADFYKSFVAKRAWHIGPLSVINRGFEEKAERGKKASIDE AECLKWLDSKEQDSVVYISFGSVACFKNKQLREIALGLEASGTSFIWVVRENTDDKDE WLDEGFEERVKERGMIIRGWAPQVLILEHQATGGFVTHCGWNSLLEGVAAGLPMVTWP IGAEQFYNEKLVTQVLRTGVSVGATKHVKAMEDDIISREKVEKAVREVLVGVEAEERR KRAKKLAEMAKAAVEEGGSSFNDLNSFIKEFSS" gene 13668464..13670344 /locus_tag="BRARA_H01225" /db_xref="Phytozome:Brara.H01225" mRNA join(13668464..13669538,13669634..13670344) /locus_tag="BRARA_H01225" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01225" CDS join(13668545..13669538,13669634..13670079) /locus_tag="BRARA_H01225" /codon_start=1 /product="hypothetical protein" /protein_id="RID50499.1" /db_xref="Phytozome:Brara.H01225" /translation="MTSNSHKLHVMFFPIMAHGHMIPTLDMAKLFSSRGAKSTILTTP LNAKILQKPIDTFKNLNPSLQIEIQILDFPCVQLGLPEGCENADFFTLTNNSDDRRAM ISKFFLATRFFKDQLENLLEIRRPDCLIADMFFPWATEAAEKFHVPRLVFHGTGYFSL SASYCIRVHNPQKRVATSSEPFVIPDLPGNIVITQGQILDRGEDTEMGKFMTEVLESE AKSSGVVVNSFYELEPDYADFYKSSVAKRAWHIGPLSVHNRGFEEKAERGKKASIDEA ECLKWLDSKKPDSVVYISFGSVANIKNEQLIEIAAGLEASDTSFIWVVRKNGENTEWL PEGFEERVKGRGMIIRGWAPQVLILDHQATGVFVTHCGWNSLLEGVAAGLPMVTWPVG AEQFYNEILVTQVLRTGVSVGSNKHAIMGDFISRENVEKAVKEVLAGEEAEEMRSRAK KLAEMAKAAVEEGGSSFNDLSSFIEEFSS" gene complement(<13670465..>13672378) /locus_tag="BRARA_H01226" /db_xref="Phytozome:Brara.H01226" mRNA complement(<13670465..>13672378) /locus_tag="BRARA_H01226" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01226" CDS complement(13670465..13672378) /locus_tag="BRARA_H01226" /codon_start=1 /product="hypothetical protein" /protein_id="RID50500.1" /db_xref="Phytozome:Brara.H01226" /translation="MLSTSVSVTSRLLYRGLGSIPAPLYDDSIIEPLGFPSNDNLHRS RNGVRVFDLLEGNGATALRCLYNMLLKECTVSKRVDQGKTVHAHVAKSLFRCEVVMNN TLLNMYAKCGSLEEARKVFDEMPQRDFVTWTTLISGYSQHGQPIDALVLFIQMLRDGF IPNEFTLSSVVKAAAAAEPRGCCGQQLHGFCLKCGYDLNVHVGSSLLDMYTRYGLMDD AQLVFDALESRNDVSWNVLIAGHARRCGTEKALELFQRMLREGFRPSHFSYSSIFGAC SSTGFLEQGKWVHAYMIKSGEKLVAFAGNTLIDMYAKSGSIHDARKIFDRLAKRDVVS WNSLLTAYAQHGFGREAVCLFEEMRKAEITPNEISFLSVLTACSHSGLLDEGWHYFEL MKRDGIVPKAWHYVTIVDLLGRSGDLDRALRFIREMPIEPTAAIWKALLNACRMHKNV ELGAYAAEHVFELDPDDPGPHVILYNIYASGGRWNDAARVRKKMKESGVKKEPACSWV EIENAIHMFVANDERHPQREEISRKWDEIYAKIKDLGYVPDTSHVVVHVDQQEREVNL QYHSEKIALAFALLNTPPGSTIHIKKNIRICGDCHSAIKLVSKVVEREIIVRDTNRFH HFRDGTCSCGDYW" assembly_gap 13682546..13692545 /estimated_length=unknown /gap_type="between scaffolds" gene <13693105..>13693728 /locus_tag="BRARA_H01227" /db_xref="Phytozome:Brara.H01227" mRNA <13693105..>13693728 /locus_tag="BRARA_H01227" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01227" CDS 13693105..13693728 /locus_tag="BRARA_H01227" /codon_start=1 /product="hypothetical protein" /protein_id="RID50501.1" /db_xref="Phytozome:Brara.H01227" /translation="MDVFFEVQRGPTFCIELGYWDTVLEIKQKIEKYQRIPVAKQTLF FQGKVLQDDHDIEQCAIFNNSHLQIISSSLGTDQHRNNQVLKTEQSPPSNSTEPILNG QDLPVMMARTNNNNNNNNPKKLRVMVLPKSGTMKIPVEVNASDNVGELRKELVKVQQR FQISLPQEGYFFIYKQNVMEDDRSFRWHRVDHGDTIEIFNGSVSGGS" gene 13696212..13697542 /locus_tag="BRARA_H01228" /db_xref="Phytozome:Brara.H01228" mRNA join(13696212..13696330,13696648..13697542) /locus_tag="BRARA_H01228" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01228" CDS 13696648..13697337 /locus_tag="BRARA_H01228" /codon_start=1 /product="hypothetical protein" /protein_id="RID50502.1" /db_xref="Phytozome:Brara.H01228" /translation="MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLI FAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNV KAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTL TGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKEST LHLVLRLRGGF" gene complement(13699429..13701314) /locus_tag="BRARA_H01229" /db_xref="Phytozome:Brara.H01229" mRNA complement(join(13699429..13699703,13699801..13699858, 13699938..13700053,13700184..13700236,13700485..13700533, 13700681..13700745,13700826..13700913,13700994..13701314)) /locus_tag="BRARA_H01229" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01229" CDS complement(join(13699629..13699703,13699801..13699858, 13699938..13700053,13700184..13700236,13700485..13700533, 13700681..13700745,13700826..13700913,13700994..13701191)) /locus_tag="BRARA_H01229" /codon_start=1 /product="hypothetical protein" /protein_id="RID50503.1" /db_xref="Phytozome:Brara.H01229" /translation="MGSVSFSSSMPITRLPLLTSLSQCLLPTSSSFSLPPLSSRRRSS FSQRITASAVFSAPAGVNDSLPARNEGYTVGDFMTGRQHLHVVKPSTSVDDALELLVE KKVTGLPVIDDDWKLVGVVSDYDLLALDSISGRSQNETNLFPNVDSTWKTFNELQKLI SKTHGQVVGDLMTPSPLVVRGSTNLEDAARLLLETKFRRLPVVDSDGKLIGILTRGNV VRAALQIKRETEKSA" gene complement(13701725..13702695) /locus_tag="BRARA_H01230" /db_xref="Phytozome:Brara.H01230" mRNA complement(join(13701725..13702431,13702609..13702695)) /locus_tag="BRARA_H01230" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01230" CDS complement(13701910..13702389) /locus_tag="BRARA_H01230" /codon_start=1 /product="hypothetical protein" /protein_id="RID50504.1" /db_xref="Phytozome:Brara.H01230" /translation="MSKKKSNSSSSSMRKLSQAGDKPSLQQKKPGKLGKEIDDIFGGG KNKKQLPQEGVKPATVQAKKTGKIGKEIDDIFGGRKKKKKEVEVETSEKGEAPVKKAR VAKRKRSEVEGFNSNQKTGPRKRTEDGLLVFTEDELGINKANAGNTPLCPFDCQCCF" gene complement(13702918..13706375) /locus_tag="BRARA_H01231" /db_xref="Phytozome:Brara.H01231" mRNA complement(join(13702918..13703348,13703447..13703602, 13703712..13703885,13704107..13704244,13704358..13704447, 13704532..13704609,13704695..13705351,13705493..13705611, 13705795..13706375)) /locus_tag="BRARA_H01231" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01231" CDS complement(join(13703130..13703348,13703447..13703602, 13703712..13703885,13704107..13704244,13704358..13704447, 13704532..13704609,13704695..13705351,13705493..13705611, 13705795..13706023)) /locus_tag="BRARA_H01231" /codon_start=1 /product="hypothetical protein" /protein_id="RID50505.1" /db_xref="Phytozome:Brara.H01231" /translation="MAQVQTANGSSAAAQAPAQIGTTSLYVGDLDLNVTDSQLFEAFS QMGQVVSVRVCRDLATRKSLGYGYVNFANPQDAARAIQEMNFMPLYGKPIRVMYSHRD PSVRRSGAGNIFIKNLDLAIDHKALHDTFSTFGNIVSCKVAVDASGQSKGYGFVQYAD EESAQKAIEKLNGMLLNDKQVYVGPFLRRQERDSSANKTKFTNVYVKNLGESTTDDDL KKAFSEYGKITSAVVMKDGEGKSKGFGFVNFENADDAARAVEALNGHKFDDKEWYVGR AQKKSERETELRVRYEQNLKEAAEKFQSSNLYVKNLDPSISDEKLKEIFSPFGTVTSC KVMRDPSGISKGSGFVAFSTPEEATEAMSQLSGKMVESKPLYVAIAQKKEDRRVRLQA QFSQPRPVAMPPTAGPRMPMFPPGGPGIGQQMFYGQAPPTMIPPQPGYGYQQQLVPGM RPGGGHGPNYFMPMVQPHQQRPGGGGRRPGGIQQFQQQPPMMQHQMHPRGRNIRYSQG RGVSGGDVPPYDMGNNNMPLPIGTLASNLANASPEQQRTMLGENLYPLVEKLEAESAA KVTGMLLEMDQTEVLHLLESPEALEAKVAEAMDVLRSVAAGGAAEQLASLNLS" gene complement(<13707582..>13711201) /locus_tag="BRARA_H01232" /db_xref="Phytozome:Brara.H01232" mRNA complement(join(<13707582..13707983,13708050..13708196, 13708293..13708456,13708545..13708702,13708812..13709129, 13709272..13709426,13710541..13710924, 13710998..>13711201)) /locus_tag="BRARA_H01232" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01232" CDS complement(join(13707582..13707983,13708050..13708196, 13708293..13708456,13708545..13708702,13708812..13709129, 13709272..13709426,13710541..13710924,13710998..13711201)) /locus_tag="BRARA_H01232" /codon_start=1 /product="hypothetical protein" /protein_id="RID50506.1" /db_xref="Phytozome:Brara.H01232" /translation="MAQATATARYIDNEEEEEDDEDVCRICRTPGDADNPLQYPCVCR GSIKFVHQDCLLQWLNYSKAHQCEVCKHRFSFTPLYDDKAPTRLPLKEFVVGIAMKVF RCRVSHASLRLSLVLLACLLTVSFIMFWTWRLSFVKSFGEARRLFLSHMSTVLVLTDC LLGFLLPATIIFILHKAASLRNFFRHLGRNFLFNELVLRREALFFLYDPEDDDDDESF QFLIEETVHKFARDVLFDSAKYGSLIFLLVVSDPFTEIPAGLLLFTICTQFIIKHFRL WTTVKSLVRCWFTSVCLALGLTDLLLPRPEDNVGQDNGNGEPGRQNRGPERAMDVLPA TVDPNRSLVLAGNAEQCHSGYVFVLRVVLLLLAAWVTLLLFNTALIVVSVSLGRALFN AIPTLPITHGIKCNDLYAFVIGTYAFWTTISGTMYAIEHSKSERTLVLLNQIWRWCGV VFKSSVLVAIWIFIIPVLIGLVFELLVIVPMRVPVDETPVFLLYQDWALGFIFLKFWT TSIILLDDSWKAKFERVEEDGFTKLQGLWVFKEIVSPILMKLLTALCVTYVLAKGVFP MLGYPLVVNSAVYRFAWIGCLSASLFFSCAKRCHVWFINLHNSICDDLYLVGRRLLNF EEAALAKRKSSASEDGEGT" gene complement(13722830..13724284) /locus_tag="BRARA_H01233" /db_xref="Phytozome:Brara.H01233" mRNA complement(join(13722830..13723376,13723552..13723683, 13723777..13724001,13724075..13724284)) /locus_tag="BRARA_H01233" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01233" CDS complement(join(13723083..13723376,13723552..13723683, 13723777..13724001,13724075..13724200)) /locus_tag="BRARA_H01233" /codon_start=1 /product="hypothetical protein" /protein_id="RID50507.1" /db_xref="Phytozome:Brara.H01233" /translation="MATTTAEAKKTSSTNVEDKQSQNLRHQEVGHKSLLQSDDLYQYI LETSVYPREPESMKELREVTAKHPWNIMTTSADEGQFLNMLIKLVNAKNTMEIGVYTG YSLLATALALPEDGKILAMDVNRENYELGLPIIEKAGVAHKIDFREGPALPVLDQLVA DEKNHGTYDFIFVDADKDNYINYHKRLIDLVKVGGVIGYDNTLWNGSVVAPPDAPMRK YVRYYRDFVLELNKALAADPRIEICMLPVGDGITICRRIS" gene complement(13726555..13729636) /locus_tag="BRARA_H01234" /db_xref="Phytozome:Brara.H01234" mRNA complement(join(13726555..13727048,13727139..13727195, 13727264..13727395,13727681..13727755,13727838..13728002, 13728079..13728320,13728474..13728564,13728640..13729074, 13729156..13729275,13729345..13729636)) /locus_tag="BRARA_H01234" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01234" CDS complement(join(13726845..13727048,13727139..13727195, 13727264..13727395,13727681..13727755,13727838..13728002, 13728079..13728320,13728474..13728564,13728640..13729074, 13729156..13729275,13729345..13729557)) /locus_tag="BRARA_H01234" /codon_start=1 /product="hypothetical protein" /protein_id="RID50508.1" /db_xref="Phytozome:Brara.H01234" /translation="MLRLLGRRASPGGIRPGTDPKPDPLRILPGLQKGFCVGVLPDGV DRNSEAFSSNSVAMEGILSDLRSHIKKVLVGGGEEAVKRNTSRNKLLPRERIDRLLDP GSSFLELSQLAGHELYEEPLPSGGIITGIGPIHGHLCMFMANDPTVKGGTYYPITIKK HLRAQEIAARCRLPCIYLVDSGGAFLPKQAEVFPDKENFGRVFYNESVMSSEGIPQVA IVLGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKAATGEEVSAEDLGGASVHCN VSGVSDYFAQDELHGLAIGRNIVKNLHMAAKRETKGTFGSEKLEYKEPLYDINELRSI APVDHKQQFDVRSIIARIVDGSEFDEFKKQYGTTLVTGFARIYGQTVGIIGNNGILFN ESALKGAHFIELCSQRKIPLVFLQNITGFMVGSRSEANGIAKSGAKMVMAVSCAKVPK ITIITGASFGAGNYAMCGRAYSPDFLFMWPNARIGVMGGAQAAGVLSQIERATKKRQG IKWTEEEEEEFKKKTVDAYEREASPYFSTARLWDDGVIDPSDTRKVLGLCLSAASNRP LEDTRFGVFRM" gene <13734444..>13737228 /locus_tag="BRARA_H01235" /db_xref="Phytozome:Brara.H01235" mRNA join(<13734444..13734653,13734726..13734959, 13735032..13735685,13737022..13737039,13737127..>13737228) /locus_tag="BRARA_H01235" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01235" CDS join(13734444..13734653,13734726..13734959, 13735032..13735685,13737022..13737039,13737127..13737228) /locus_tag="BRARA_H01235" /codon_start=1 /product="hypothetical protein" /protein_id="RID50509.1" /db_xref="Phytozome:Brara.H01235" /translation="MDQGFELIDAAVTKLKRILEGKPEPAFGSHEYIENYTIVYNMCT QKPPDDLSQQLYDKYGGIFEDYDKHTMLPSIMEKHDEYMLRELSRMWDINKIMVRWLS HFFFYLDRYFITRRSLPSLTEVGMTCFRDHVYNNVHFNVKQVIIALIHKEREGQQVDS ALLKNILDLFVQSGKGSMERYEDDFEKFFLQETASYYSRRSSSWILEDSCPEYMIKSE ESLKKEKERVTHYLHSTTEPKLVEVVQTRLLVLVAKQLLEKESSGCSALLRDDKIDDL SRMYRLYHEIPKGLEPVAKAFRLHVTSEGNGLIKQAEDAATSGSVEEQVLVRKIIDLH DKYMAYVTDCFQNHTLFHKALKEAFEIFCNKKLSRMFKPDIKMIKKRIEDLISRDYLE RDIENPNTFKYVA" gene 13738841..13739585 /locus_tag="BRARA_H01236" /db_xref="Phytozome:Brara.H01236" mRNA join(13738841..13739274,13739348..13739585) /locus_tag="BRARA_H01236" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01236" CDS join(13738899..13739274,13739348..13739439) /locus_tag="BRARA_H01236" /codon_start=1 /product="hypothetical protein" /protein_id="RID50510.1" /db_xref="Phytozome:Brara.H01236" /translation="MSKRNAPPSQPPPRPLIKQHSWSPDADREEAWLRRKGKRQSDRL GRSKSVTDEDLEELRGCIELGFGFEPDSQDLDPRLNETLPALGLYCAVNKQYSSRLSR TSSLSSIASEGDVSNSSTTIVDQGDDPETMKLRLKQWAQVVACSVRQFSGEPN" gene 13740691..13742375 /locus_tag="BRARA_H01237" /db_xref="Phytozome:Brara.H01237" mRNA join(13740691..13740902,13741208..13741372, 13741460..13741583,13741916..13742028,13742105..13742375) /locus_tag="BRARA_H01237" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01237" CDS join(13740744..13740902,13741208..13741372, 13741460..13741583,13741916..13742028,13742105..13742116) /locus_tag="BRARA_H01237" /codon_start=1 /product="hypothetical protein" /protein_id="RID50511.1" /db_xref="Phytozome:Brara.H01237" /translation="MENEYGVNIASLEMDRDAEASSESESESTLSNSPESGLTVESSR GDDADAKKMEECGGWTNERHNSYLEYLENSFVRQLYSLLGGEERRRLSTARDLQSNSH ISTDDQFTVLQNGCRQKVNFGKKRPHLETSSSRQTPLGHEYPAQSTAEASGQNFREEV GEKECNSRASRKRRREANYDDSSLNDQVVP" gene 13743042..13745345 /locus_tag="BRARA_H01238" /db_xref="Phytozome:Brara.H01238" mRNA 13743042..13745345 /locus_tag="BRARA_H01238" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01238" CDS 13743093..13745078 /locus_tag="BRARA_H01238" /codon_start=1 /product="hypothetical protein" /protein_id="RID50512.1" /db_xref="Phytozome:Brara.H01238" /translation="MAKTPSLGCCVFLLSFFFLSSSFVAYAISETEAAFLVRRQLLTL PENGELPNDIEYEVDLKATFANSRLKKAYIALQAWKKAIYSDPFNTTGNWHGPHVCNY TGVICAPALDDPNVTVVAGVDLNGADIAGHLPAELGLMTDVAMFHLNSNRFCGIIPNS FSKLTLMHEFDVSNNCFVGSFPCVILTWPDVKYFDVRFNDFEGQVPPELFKKELDAIF LNNNRFTSTIPESLGDSTASVVTFANNKFTGCIPKSIGNMKSLNEIVFMDNGLGGCFP SEIGMLSNVTVFDASKNSFIGRLPTSFAGLTGVEELDISGNKLTGLLADSICKLPNLV NFTYSYNYFNGQDGSCVPGDGRKETVLDDTRNCLPDRPEQRLAQECAVVINRPVDCSK DKCAGGGSSTPSRPSLVPTVPVQKPSPVPSLPVPEPSPVHKPQPPKESQQPDDPYDQS PVKNRRSPPPPAPVNSPSIPLPSPPLPPPVHSPPPPVNSPPPPAHSPPPPVYSPPPPP VYSPPPPVFSPPPPPVNSPPPPVLSPPPPVHSPPPPVNSPPPPVFSPPAHPPKSSSPP QTPSEPSPSPMIFSPQPSQSPPAVSSPPPGPPKVDCPPAAQAPAPSDELITPTPAPVE NKQTPSAQAPAPSDELIIPPFVGHQYASPPPPMFEGY" gene 13749307..13750365 /locus_tag="BRARA_H01239" /db_xref="Phytozome:Brara.H01239" mRNA join(13749307..13749567,13749640..13749715, 13749821..13750365) /locus_tag="BRARA_H01239" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01239" CDS join(13749529..13749567,13749640..13749715, 13749821..13749942) /locus_tag="BRARA_H01239" /codon_start=1 /product="hypothetical protein" /protein_id="RID50513.1" /db_xref="Phytozome:Brara.H01239" /translation="MIHHNMCISYSSQVPFAWKRRNKSQDLLLLRVGEPTCKASASLR AMGSAGGVSCRLLAKLEGLWRLEIFPRGNSCKLE" gene complement(<13751027..>13751224) /locus_tag="BRARA_H01240" /db_xref="Phytozome:Brara.H01240" mRNA complement(<13751027..>13751224) /locus_tag="BRARA_H01240" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01240" CDS complement(13751027..13751224) /locus_tag="BRARA_H01240" /codon_start=1 /product="hypothetical protein" /protein_id="RID50514.1" /db_xref="Phytozome:Brara.H01240" /translation="MMIKRIELCIELTKMGMEFVAVVAEAVQIVWRQHLNHRTALPPL PLLRQGISPSYHAPYLFGFLP" gene complement(13766445..13768354) /locus_tag="BRARA_H01241" /db_xref="Phytozome:Brara.H01241" mRNA complement(join(13766445..13766941,13767015..13767251, 13767338..13767723,13767978..13768354)) /locus_tag="BRARA_H01241" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01241" CDS complement(join(13766669..13766941,13767015..13767251, 13767338..13767723,13767978..13768236)) /locus_tag="BRARA_H01241" /codon_start=1 /product="hypothetical protein" /protein_id="RID50515.1" /db_xref="Phytozome:Brara.H01241" /translation="MLRALARPLERCLGSRASGDGLLWQSELKPHAGGDYSIAVVQAN SSLEDQSQVFTSSSATYVGVYDGHGGPEASRFVNRHLFPYIQKFAKEHGGLSADVIKK AFKETEEDFCGMVKRSLPMKPQMATVGSCCLFGAISNGTLYVANLGDSRAVLGSVVAG DDSSSSNKGAAAERLSTDHNVAVEEVRKEVKELNPDDSQIVMYIRGVWRIKGIIQVSR SIGDVYLKKPEFYRDPIFQQHGNHIPLRRPAMTAEPSIIVRKLKPQDLFLIFASDGLW EHLSDEAAVEIVLKHPRTGIARKLVRAALEEAARKREMRYGDIKKIAKGVRRHFHDDI SVVVVYLDQQKTTSSSNDRLIQKGGITAPPDIYSLRSDEAEQRRLLNVLY" gene 13772311..13774402 /locus_tag="BRARA_H01242" /db_xref="Phytozome:Brara.H01242" mRNA join(13772311..13772551,13772637..13772771, 13773009..13773166,13773254..13773356,13773559..13773654, 13773735..13773800,13773894..13774013,13774132..13774402) /locus_tag="BRARA_H01242" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01242" CDS join(13772438..13772551,13772637..13772771, 13773009..13773166,13773254..13773356,13773559..13773654, 13773735..13773800,13773894..13774013,13774132..13774215) /locus_tag="BRARA_H01242" /codon_start=1 /product="hypothetical protein" /protein_id="RID50516.1" /db_xref="Phytozome:Brara.H01242" /translation="MTSRLKSYKRKRLGLATVIAFCSLCFLIGFYSSALLSQNVPGVR PRLRMLEMVKNGEVEEEEEASSMPHGVTGDESVGSIPFQVLSWKPRALYFPNFATAEQ CQAIIERAKVNLKPSALALRQGETAESTQGTRTSSGTFVSASEESTGALEFVEKKIAR ATMIPRTHGEAFNILRYELGQKYDSHYDVFNPAEYGPQTSQRIASFLLYLSDVEEGGE TMFPFENGANMGDGYDYKQCMGLKVKPRKGDGLLFYSVFPNGTIDQTSLHGSCPVTKG EKWVATKWIRDQKQE" gene complement(13774883..13775785) /locus_tag="BRARA_H01243" /db_xref="Phytozome:Brara.H01243" mRNA complement(join(13774883..13775055,13775178..13775277, 13775625..13775785)) /locus_tag="BRARA_H01243" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01243" CDS complement(join(13775047..13775055,13775178..13775277, 13775625..13775686)) /locus_tag="BRARA_H01243" /codon_start=1 /product="hypothetical protein" /protein_id="RID50517.1" /db_xref="Phytozome:Brara.H01243" /translation="MGFAAIWNSHPKKYGPGSRTCRVCGNSHGLIRKYGLNCCRQCFR SNAKEIGFIKYR" gene <13790089..>13791575 /locus_tag="BRARA_H01244" /db_xref="Phytozome:Brara.H01244" mRNA join(<13790089..13790622,13790774..13791067, 13791189..13791254,13791336..13791401,13791495..>13791575) /locus_tag="BRARA_H01244" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01244" CDS join(13790089..13790622,13790774..13791067, 13791189..13791254,13791336..13791401,13791495..13791575) /locus_tag="BRARA_H01244" /codon_start=1 /product="hypothetical protein" /protein_id="RID50518.1" /db_xref="Phytozome:Brara.H01244" /translation="MEAMGEWSNNLGGMYTYATEEADFMNQLLTSYDHPGTGSSSGTA SADHQGLCWSLGSHHNHLTIMPEASSFCFSGESSSYSEYYAVAPPEVRENNNGSMDFD MVDVTINTNSYLVGEETSECDVEKYSSGKTRLPLGAVLETHDDVESMLQPEISVTTTD HQKYLTGSKKRSRATSADKNKKAKVGKRGQKSLDMSGDDRNGGEEEEGEKVKKRKTGP MMSRQNSSITLCSEDESHCASQDVGGEDEEDASKALNLNGKTRASRGAATDPQSLYAR KRRERINERLRILQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDMWMYAPIA FNGMDIGLNSSR" gene <13805327..>13820129 /locus_tag="BRARA_H01245" /db_xref="Phytozome:Brara.H01245" mRNA join(<13805327..13805378,13805463..13805509, 13805591..13805810,13805913..13806076,13806580..13807467, 13807695..13808039,13810011..13810145,13810899..13811404, 13817431..13817432,13819921..>13820129) /locus_tag="BRARA_H01245" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01245" CDS join(13805327..13805378,13805463..13805509, 13805591..13805810,13805913..13806076,13806580..13807467, 13807695..13808039,13810011..13810145,13810899..13811404, 13817431..13817432,13819921..13820129) /locus_tag="BRARA_H01245" /codon_start=1 /product="hypothetical protein" /protein_id="RID50519.1" /db_xref="Phytozome:Brara.H01245" /translation="MKRLLLQLLALWSFSLSRCESTFVPYDYSATVECLDKPLKPQYN GGIIVNPDLRDGPQAWLPFGNAKIEFKDIGHDKFVVARERKQPHDSVSQKVHLEKGRL YTFSAWLQVNNGKAPVSAVFKTNGEYKHAGSVIAESKCWSMLKGGLTVDESGPGELYF ESNDTRVEIWVDSVSLQPFTQEEWKSHQDHSIHKERKRTVRIRVVNSKGEPVQKASLS IEQRKLGFPFGCEVEKNILGNHAYQSWFTKRFTVTTFANEMKWYSTEVFRGKEDYSIA DKMFRFFKKHGVAVRGHNIVWNDPKYQTKWLNSLSGREFYNAVKQRVSSVASRYKGQL EGWDVVNENLHFSYFEKKMGPKASRNIFKMAQAFDPTTTKFINEYNTLEEPRDLDSSP AKFLKKLRELKSIVVRGNISLGIGLESHFKTPNIPFMRSALDILGATDLPIWLTEVDV EAPKNVQAKYFEQVLREGHAHPRVKGIVTWAGYSPTGCYRMCLTDGNFKNLPTGDVVD KLLHEWGGFRRQTKGLTDADGFFEASLFHGDYDFKIDHPLTNSKASHSFKLVSDVSSN TQPSSFCLGNPNKPQYNGGIIVNPDLQNGSQGWSQLGNAKVDFTEFGGNKFMKWYSTE VVRGKEDYSTADAMVKLFQQHGIAIRGHNIIWDNPIYQPSWVKALSVSDLYNAVKRRV FSVVTRYKGQLAGWDVVNENLHFSFLESKFGPKASYNVFAQAHALDPTTTMFMNEYNT LEQPGDSVATPARYLQKLRELQSIHVAGNIPLGIGLQSHFNTPNIPDVVDKLLREWGG LRGKTTGLTDADGYFEASLFHGDYDLSIAHPLTNSTASHSFKLTSDDYHPSPTVVRV" gene <13823206..>13824320 /locus_tag="BRARA_H01246" /db_xref="Phytozome:Brara.H01246" mRNA join(<13823206..13823223,13823525..13823644, 13823679..13823798,13824045..13824146,13824231..>13824320) /locus_tag="BRARA_H01246" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01246" CDS join(13823206..13823223,13823525..13823644, 13823679..13823798,13824045..13824146,13824231..13824320) /locus_tag="BRARA_H01246" /codon_start=1 /product="hypothetical protein" /protein_id="RID50520.1" /db_xref="Phytozome:Brara.H01246" /translation="MLFRSYSGWTLYLDHSVSSSASLSCLRDISGSEDRRRSKVSWNQ NYNIYEEDSVKIVNSLVPKKQNKRENKGRDYEKMTRFLMILLMLHKSVGGNIIDQTFT ESTLDYSQGVSATHFQFQDKAAIQEHYVYLHMRTKILNNEFSKIHTL" gene 13825813..13832070 /locus_tag="BRARA_H01247" /db_xref="Phytozome:Brara.H01247" mRNA join(13825813..13825942,13828427..13828530, 13828702..13828901,13829197..13829297,13829791..13829983, 13830120..13830281,13830557..13830638,13830869..13831135, 13831475..13831602,13831708..13832070) /locus_tag="BRARA_H01247" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01247" CDS join(13825852..13825942,13828427..13828530, 13828702..13828901,13829197..13829297,13829791..13829983, 13830120..13830281,13830557..13830638,13830869..13831135, 13831475..13831602,13831708..13831858) /locus_tag="BRARA_H01247" /codon_start=1 /product="hypothetical protein" /protein_id="RID50521.1" /db_xref="Phytozome:Brara.H01247" /translation="MSTEMEAVSVLQYLDNKSILVIGAAGFLANIFVEKILRVAPNVK KLYLLLRASNEKSATQRFKDEILGKDLYKVVKEKYGPNLTQLTSEKVTVVNGDICLAD LGIQDSLAHEMIHQVDAIINLAATTKFDERYDVALGINTLGPLNVLDFAKKCENAKIF VHVSTAYVSGEKSGLIMETPYRMGETLNGTTGLDINHERKLVQEKLDQLLVIKTPPET ITQAMKDMGLTRAKMYGWPNTYVFTKAMGEMMVGEKRDNISLVLIRPSIITSTFKEPF PGWTEGIRTIDSLAVGYGKGRLTCFLGDLNAISDVMPADMVVNSILVSMAAQAGKQKE IIYHLGSSQKNPLKNEKFPEVAYQYFTTKPWTNKDGKPVHVRKIEILSSMPSFHRYMA IHYSIPLKGLAVLNMVLCKLLDKSVKDFHRKINLALRLVDLYQPYLFFYGVFDDSNTE KLQRMVLKTGIETEMFYFDPKIINWDDYFVKTHIPGLVKYVF" gene 13847134..13849729 /locus_tag="BRARA_H01248" /db_xref="Phytozome:Brara.H01248" mRNA join(13847134..13847289,13848072..13848153, 13848254..13848286,13848393..13848513,13848601..13848693, 13848756..13848875,13848960..13849192,13849279..13849363, 13849450..13849729) /locus_tag="BRARA_H01248" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01248" CDS join(13847192..13847289,13848072..13848153, 13848254..13848286,13848393..13848513,13848601..13848693, 13848756..13848875,13848960..13849192,13849279..13849363, 13849450..13849541) /locus_tag="BRARA_H01248" /codon_start=1 /product="hypothetical protein" /protein_id="RID50522.1" /db_xref="Phytozome:Brara.H01248" /translation="MEGEQTQKLVVGYALTPKKKKSFLQPKLEVLARRKGICFVPIDL NRPLSEQGPFDVVLHKLLGKEWQDVIEDYQQKHQEVTVLDPPGAIQRIHNRQSMLEGL ADLNLSDCSGSIFVPKQMVVLKDSASSAERAVEAGLKFPLVAKPLWIDGTAKSHQLFL AYDRRSLADLDPPLVLQEFVNHGGVMFKVFVVGDTIKVVRRFSLPNISNCEKDKVDGV FQFPRVSSAAASADNADLDPSIAELPPKPFLEALAKELRILLGLRLFNIDMIREHGSK NVFYVIDINYFPGYAKMPDYEQVFVDFFHDMAQAKQKKRHWG" gene 13851246..13855164 /locus_tag="BRARA_H01249" /db_xref="Phytozome:Brara.H01249" mRNA join(13851246..13851617,13851761..13851904, 13851981..13852090,13852189..13852300,13852392..13852463, 13852565..13852656,13852759..13852879,13853044..13853166, 13853382..13853577,13853667..13853854,13853945..13854016, 13854108..13854206,13854292..13854372,13854446..13854503, 13854744..13855164) /locus_tag="BRARA_H01249" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01249" CDS join(13851270..13851617,13851761..13851904, 13851981..13852090,13852189..13852300,13852392..13852463, 13852565..13852656,13852759..13852879,13853044..13853166, 13853382..13853577,13853667..13853854,13853945..13854016, 13854108..13854206,13854292..13854372,13854446..13854503, 13854744..13854913) /locus_tag="BRARA_H01249" /codon_start=1 /product="hypothetical protein" /protein_id="RID50523.1" /db_xref="Phytozome:Brara.H01249" /translation="MSLLLRTLPLRPSLFLSATANAASLFLLPKLRNPLPRTRRAFSN STAAATSIDSVVKPPPPLPPSLLRWVSRTELCGELSAEDVGKRVHLCGWVALHRVHGG LTFLNLRDHTGIVQVRTLPDEFPEAHGLINDMRLEYVVSVEGTVRARPNESINKKMKT GVVEVVAEHVEILNPVRSKLPFLVTTSDETKDSIKEDIRLRFRCLDLRRQQMTNNIVL RHNVVKLIRRYLEDLHGFIEIETPILSRSTPEGARDYLVPSRIQSGTFYALPQSPQLF KQMLMVSGFDKYYQIARCFRDEDLRADRQPEFTQLDMEMAFMPMEDMLKLNEDLIRKV FAEIKGIQLPDPFPRLTYADAMDRYGSDRPDTRFDLELKDVSNAFTGSSFRVFTETLE SGGIIKVLCVPLGAKKYSNSALKKGDVYNEAMKSGAKGLPFLKVLDNGEVEGIAALVS SLDSEGKANIVRQCGAKPGDLILFGVGPVTSVNKTLDRLRLFVAHDMDLIDHSKHSIL WVTDFPMFEWNEPEQRLEALHHPFTAPRPEDIDDLPSARALAYDMVYNGVEIGGGSLR IYKRDVQEKVLEIIGISAEEAEAKFGYLLEALDMGAPPHGGIAYGLDRMVMMLGGASS IRDVIAFPKTTTAQCALTRTPSEVDPKQLQDLSIRTK" gene complement(<13859116..>13859628) /locus_tag="BRARA_H01250" /db_xref="Phytozome:Brara.H01250" mRNA complement(<13859116..>13859628) /locus_tag="BRARA_H01250" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01250" CDS complement(13859116..13859628) /locus_tag="BRARA_H01250" /codon_start=1 /product="hypothetical protein" /protein_id="RID50524.1" /db_xref="Phytozome:Brara.H01250" /translation="MKIFIQSRNLILATTIFLVFIAPIKSQDSPEDFLAAHNEARAAV GVGPLTWDVRVALYATTYANQRRGDCALKNSEGPNGEPGPYGENLAWNSASMSAAEAV EAWVNQKSDYDYNSNTCADPLTKCLSYTQVVWRNSVKLGCAKVSCISGGTYITCNYDP PGNIVGQWPY" gene 13862078..13865119 /locus_tag="BRARA_H01251" /db_xref="Phytozome:Brara.H01251" mRNA join(13862078..13862231,13862528..13862604, 13862744..13862811,13863058..13863174,13863356..13863418, 13863637..13863741,13863831..13863881,13863981..13864301, 13864374..13864580,13864669..13865119) /locus_tag="BRARA_H01251" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01251" CDS join(13862149..13862231,13862528..13862604, 13862744..13862811,13863058..13863174,13863356..13863418, 13863637..13863741,13863831..13863881,13863981..13864301, 13864374..13864580,13864669..13864962) /locus_tag="BRARA_H01251" /codon_start=1 /product="hypothetical protein" /protein_id="RID50525.1" /db_xref="Phytozome:Brara.H01251" /translation="MSSTHQLTSSMISSSSSTFLAPSLLNLRARNACLPMAKRVNTCK CVATPQEKIEYKTNVSRNQNMSKLQAGYLFPEIARRRSAHLLKYPDAQIISLGIGDTT EPIPEVITSAMAKKAHELSTIEGYSGYGAEQGAKPLRAALAKTYYSGLGIGEDDIFVS DGAKCDISRLQVMFGSNVTVAVQDPSYPAYVDSSVIMGQTGQYNTDVQKYGNIEYMRC TPENGFFPDLSSVGRTDIIFFCSPNNPTGAAATREQLTQLVQFAKKNGSIIVYDSAYA MYMSDDNPRSIFEIPGAEEVAMETASFSKYAGFTGVRLGWTVIPKQLLYSDGFPVAKD FNRIVCTCFNGASNISQAGALACLTPEGLEAMQKVVGFYKENTNIIIDTFTSLGYDVY GGKNAPYVWVHFPNQSSWDVFAEILEKTHVVTTPGSGFGPGGEGFVRVSAFGHRENIL EACRRFKQLYK" gene <13865218..>13866664 /locus_tag="BRARA_H01252" /db_xref="Phytozome:Brara.H01252" mRNA join(<13865218..13865378,13865539..13865767, 13865840..13866124,13866303..13866431,13866533..>13866664) /locus_tag="BRARA_H01252" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01252" CDS join(13865218..13865378,13865539..13865767, 13865840..13866124,13866303..13866431,13866533..13866664) /locus_tag="BRARA_H01252" /codon_start=1 /product="hypothetical protein" /protein_id="RID50526.1" /db_xref="Phytozome:Brara.H01252" /translation="MELRPLGNTGLKVSAVGFGASPLGSHYTPVAQDDAIAAVREAFR HGVNFFDTSPYYGGTVSEKVLGKALKALQVPRSDYIVATKCGRYEDGFDFSAERVRKS IDESLENLQLDYVDILHCHDIEFWSLDQIVSETIPTLQKLKEEGKIRFIGITGLPFNI FTYVLDRVPPRTIDVILSYCHYSINDSTLLDVLPYFKSKGVGVITASPLSMGLFTDTC IETYRIRSSCKFAVAHCKSKGKKITKLALQYSLANKAISSVLVGMGSVSEVEENVTAF TELEGLGMDQETLSEIEAILEPIKNLTWPSGIDHM" gene complement(13866818..13872445) /locus_tag="BRARA_H01253" /db_xref="Phytozome:Brara.H01253" mRNA complement(join(13866818..13867278,13867378..13867477, 13867567..13867619,13867834..13867980,13868067..13868215, 13868361..13868400,13868540..13868638,13868731..13868844, 13869070..13869207,13869288..13869380,13869520..13869612, 13869738..13869847,13869945..13869993,13870421..13870534, 13870815..13870874,13870955..13871023,13871220..13871321, 13871435..13871463,13871558..13871840,13871958..13872445)) /locus_tag="BRARA_H01253" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01253" mRNA complement(join(13866818..13867278,13867378..13867477, 13867567..13867619,13867834..13867980,13868067..13868215, 13868361..13868400,13868540..13868641,13868731..13868844, 13869070..13869207,13869288..13869380,13869520..13869612, 13869738..13869847,13869945..13869993,13870421..13870534, 13870815..13870874,13870955..13871023,13871220..13871321, 13871435..13871463,13871558..13871840,13871958..13872445)) /locus_tag="BRARA_H01253" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01253" CDS complement(join(13867081..13867278,13867378..13867477, 13867567..13867619,13867834..13867980,13868067..13868215, 13868361..13868400,13868540..13868638,13868731..13868844, 13869070..13869207,13869288..13869380,13869520..13869612, 13869738..13869847,13869945..13869993,13870421..13870534, 13870815..13870874,13870955..13871023,13871220..13871321, 13871435..13871463,13871558..13871840,13871958..13872365)) /locus_tag="BRARA_H01253" /codon_start=1 /product="hypothetical protein" /protein_id="RID50527.1" /db_xref="Phytozome:Brara.H01253" /translation="MSVEEVTADTPQPPPSSTAAGTASSNAAPLGSSVIPIVNKLQDI FAQLGSQSSIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGNDICTRRPLVLQLLQTK SKSNGGSDEEWGEFLHLPNNRRIYDFSEIRREIEAETNRLAGDNKGVSAKQIRLKIYS PNVLDITLVDLPGITKVPVGDQPTDIEAQIRTMILSYIKQPSCLILAVTPANSDLANS DALQVARAADPDGHRTIGVITKLDIMDKGTDASNLLLGKIVPLRLGYVGVVNRCQEDI MLNRSVKEALSAEEKFFRSRPAYHGLADRLGIPQLAKKLNQILVQHIKVLLPDLKSRI SNALVATAKEHQSYGEITESKGGQGAVLLNFLSKYCEAYSSLLEGKGEEMSTSELSGG ARIHYIFQSIFVKSLEEVDPCEDLTDDDIRTAIQNATGPRSALFVPDVPFQVLVKRQI SRLLDPSLQCARFIFDELVKISHKCMMNELQRFPVLRKRMDEVIGDFLRDGLEPSEAM IGDIIDMEMDYINTSHPNFIGGTKAVEEAMQHVKSSRIPHSVSRPKDTAELDRTSSSS ASQVKSRSFLGRQANGIVADQGVVSADSEKAAPAANGSDSRWGLPSIFRGSDSRAVTK ENFLNKPFSEAVEDMSQNLSMIYLKEPPTVLRPSETHSEQQAVEIQITKLLLKSYYDI VRKNIEDSVPKAIMHFLVNHTKRELHNVFIRKLYRENLFEEMLQETDEIAVKRKRTQA TLHVLQQAYRTLDELPLEAESVHNHGTDTTGVSKYLDLPTSSSKYTTSSSSYTASPGT GRRSRRAVDQHQNGYGF" CDS complement(join(13867081..13867278,13867378..13867477, 13867567..13867619,13867834..13867980,13868067..13868215, 13868361..13868400,13868540..13868641,13868731..13868844, 13869070..13869207,13869288..13869380,13869520..13869612, 13869738..13869847,13869945..13869993,13870421..13870534, 13870815..13870874,13870955..13871023,13871220..13871321, 13871435..13871463,13871558..13871840,13871958..13872365)) /locus_tag="BRARA_H01253" /codon_start=1 /product="hypothetical protein" /protein_id="RID50528.1" /db_xref="Phytozome:Brara.H01253" /translation="MSVEEVTADTPQPPPSSTAAGTASSNAAPLGSSVIPIVNKLQDI FAQLGSQSSIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGNDICTRRPLVLQLLQTK SKSNGGSDEEWGEFLHLPNNRRIYDFSEIRREIEAETNRLAGDNKGVSAKQIRLKIYS PNVLDITLVDLPGITKVPVGDQPTDIEAQIRTMILSYIKQPSCLILAVTPANSDLANS DALQVARAADPDGHRTIGVITKLDIMDKGTDASNLLLGKIVPLRLGYVGVVNRCQEDI MLNRSVKEALSAEEKFFRSRPAYHGLADRLGIPQLAKKLNQILVQHIKVLLPDLKSRI SNALVATAKEHQSYGEITESKGGQGAVLLNFLSKYCEAYSSLLEGKGEEMSTSELSGG ARIHYIFQSIFVKSLEEVDPCEDLTDDDIRTAIQNATGPRSALFVPDVPFQVLVKRQI SRLLDPSLQCARFIFDELVKISHKCMMNELQRFPVLRKRMDEVIGDFLRDGLEPSEAM IGDIIDMEMDYINTSHPNFIGGTKAVEEAMQHVKSSRIPHSVSRPKQDTAELDRTSSS SASQVKSRSFLGRQANGIVADQGVVSADSEKAAPAANGSDSRWGLPSIFRGSDSRAVT KENFLNKPFSEAVEDMSQNLSMIYLKEPPTVLRPSETHSEQQAVEIQITKLLLKSYYD IVRKNIEDSVPKAIMHFLVNHTKRELHNVFIRKLYRENLFEEMLQETDEIAVKRKRTQ ATLHVLQQAYRTLDELPLEAESVHNHGTDTTGVSKYLDLPTSSSKYTTSSSSYTASPG TGRRSRRAVDQHQNGYGF" gene complement(13873828..13877687) /locus_tag="BRARA_H01254" /db_xref="Phytozome:Brara.H01254" mRNA complement(join(13873828..13874338,13874443..13874535, 13874612..13874686,13874842..13875011,13875195..13875318, 13875414..13876154,13876401..13876482,13876589..13876695, 13876817..13876882,13876973..13877089,13877168..13877687)) /locus_tag="BRARA_H01254" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01254" CDS complement(join(13874246..13874338,13874443..13874535, 13874612..13874686,13874842..13875011,13875195..13875318, 13875414..13876154,13876401..13876482,13876589..13876695, 13876817..13876882,13876973..13877089,13877168..13877596)) /locus_tag="BRARA_H01254" /codon_start=1 /product="hypothetical protein" /protein_id="RID50529.1" /db_xref="Phytozome:Brara.H01254" /translation="MPSLSTPPSQTLAFSPAVAASATSSRLAPTKRPFYPHRLSDPAA LCRCSSSSGGNSSSASSSSDDENPPRWDAAIQDVLKSAIKRFDSVLSWYSTTGQDKDA GDDDDDVVLGEQGEESDWDWERWKKHFELIDDQDRLISVLKSQLNGAVKREEYEDAAR LKVAIAAAATNDAVGRVMSSFNRAVLEERYKDAVYLRDKAGAGLVGWWSGISEDVKDP FGLIVQITAEHGRYVARSYNPRQLSTSAAGAPLFEIFLTLDGKGNYKKQAVYLKWKEI FPDVPTMPSRTLNSPRFLTPPPGRKEDAGNLAVESSEDEESDNSDDDSDLLEESSGFQ TFLRDMIPGVKVKVMKVTAPGKVDKDFISKVIEQIADDEEDEEDEEKDFDIEEIEVEE GTKAESEDKNADIELESVTDEIIDNNGGREIAVKFVIGDIVDRLSGNQPLKESLRSPA NLESVEKSSFYLRLEKDLNVQQESKGVESTLLDGKGSRQSRRRIDNIMVDLAKSIEKD KKISLKMLKDVGELLSLTLSQAQNRQQLSGLTKFRRIDVTPSPDPLDGLYIGAHGLYT SEVIHLKRKFGQWKGGKESKKPTDIEFYEYVEAVKLTGDPYVPAGKVAFRAKIGRRYE LPHRGLIPEEFGVIARYKGQGRLADPGFRKPRWVDGELVILDGKYVKGGPVVGFVYWA PEYHFVMFFNRLRLQS" gene 13878934..13879633 /locus_tag="BRARA_H01255" /db_xref="Phytozome:Brara.H01255" mRNA 13878934..13879633 /locus_tag="BRARA_H01255" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01255" CDS 13878986..13879471 /locus_tag="BRARA_H01255" /codon_start=1 /product="hypothetical protein" /protein_id="RID50530.1" /db_xref="Phytozome:Brara.H01255" /translation="MATYKLFLIACLVTLSSTIMIKTCSASRHLLQQQPLPQIPNMPI PTTGLPPLPSTLPQPTLPTIPTSIPPLPSTLPQPTLPTIPTSIPPLPSTFPKPILPTI PTSIPPLPSTLPQPTLPTSTAPFSMPTLPSFPTTIPSLPTSFPSIPFFSPPPTTTASS P" gene <13880693..>13882093 /locus_tag="BRARA_H01256" /db_xref="Phytozome:Brara.H01256" mRNA <13880693..>13882093 /locus_tag="BRARA_H01256" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01256" CDS 13880693..13882093 /locus_tag="BRARA_H01256" /codon_start=1 /product="hypothetical protein" /protein_id="RID50531.1" /db_xref="Phytozome:Brara.H01256" /translation="MTRRSVSWRLVTCLSSSPKLSLNVLCLVVTVFVLLQIWSFHITL QPILLPPSLLTYLNNHQQQQQPEVSHNDCLMEKLRESVTFLPLKDLRYSNKPLEGHTW FMSSLYDNQTKGEVQYQEFPSESSKGKLLCLKGFDKHDGSWNYYALAWPQALPVNASL KKGLTFVSYNHYDYGNMWHGLSAMVPFVAWSLRNQCERPQRWVLYHWGELRFNMGNWL KEIITATYSQEPEFVRFGDENTPVCFEKAVVMRHNEGGMSRDRRIEVFDLIRCKARHY CNISSSETSRPRIGMTLLMRTGPRSFKNVSAVTDIFKRECKRVQGCELKVAYSNNLTF CEQVELMRNTDVLVSPHGAQLTNLVLMDRNSSVMEFYPKGWLKLAGVGQFVYQWGANW SGMKHEGAWRDPFGETCQFPDTDRRCMSVYKNAKIGYNETYFGDWARSVLERVKKMKD VVERNHGYESLDGCMC" gene 13885618..13887104 /locus_tag="BRARA_H01257" /db_xref="Phytozome:Brara.H01257" mRNA 13885618..13887104 /locus_tag="BRARA_H01257" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01257" CDS 13885978..13887075 /locus_tag="BRARA_H01257" /codon_start=1 /product="hypothetical protein" /protein_id="RID50532.1" /db_xref="Phytozome:Brara.H01257" /translation="MSSLLDNQTKGEAQYQEFPSDSSNGRLLCLKGIDEHDGSWNYYA LAWPEALPTNAMLQEGLTFVSYNQYDYGNLWHGLTAAFPFVSWSLRNQCEKPQKWVLY HKGELRYWMGNWLSEILTATYGQEPEIIHFVDENKPVCFEKAVVMRHNEGGMSREKRL EVFDHLRCKVRNYCNIASSETSKPRIGMTLLLRTGARSFKNVSAVINVFKRECERVDG CVLSVSYSNNLTFCEQVELMKRTDVLVSPHGAQLTNLFLMDRNSSVMEFFPKGWLKLA GVGQLVYKWGANWSGMRHEGAWHDPFGETCKFPDTDKRCMSLVYKNAMIGYNETYFGE WARLVLGKVNIRKKEEIPEHNHVSLDVCSQC" gene <13888081..>13888437 /locus_tag="BRARA_H01258" /db_xref="Phytozome:Brara.H01258" mRNA join(<13888081..13888318,13888352..>13888437) /locus_tag="BRARA_H01258" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01258" CDS join(13888081..13888318,13888352..13888437) /locus_tag="BRARA_H01258" /codon_start=1 /product="hypothetical protein" /protein_id="RID50533.1" /db_xref="Phytozome:Brara.H01258" /translation="MASSKIFLASSILMALMFSASMITSSFAKQFTKKQMLRRRFFRP HFPKPGFHLPKPGFPTNPMPFPQFPKPGFPQLPGLVFPSFPPATPSGNLKPVPSIPAT PTISP" gene complement(13888611..13890996) /locus_tag="BRARA_H01259" /db_xref="Phytozome:Brara.H01259" mRNA complement(join(13888611..13889071,13889172..13889277, 13889374..13889456,13889808..13889861,13889950..13890063, 13890166..13890214,13890296..13890439,13890662..13890717, 13890796..13890996)) /locus_tag="BRARA_H01259" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01259" CDS complement(join(13888871..13889071,13889172..13889277, 13889374..13889456,13889808..13889861,13889950..13890063, 13890166..13890214,13890296..13890439,13890662..13890717, 13890796..13890933)) /locus_tag="BRARA_H01259" /codon_start=1 /product="hypothetical protein" /protein_id="RID50534.1" /db_xref="Phytozome:Brara.H01259" /translation="MLFVPCCRIFEIPSFPFMASSSPTHLSLSLDPSSSTSLLNLQTQ RTISGSNDFEKTHLRIPVSFRKKAANLQMMASGKTPGLTQEVTIDREDNADVSDDMKQ RFLAFKKHKYMDNLEHFKKLADAQAPKFLVIACADSRVCPSAILGFQPGDAFTVRNIA NLVPSYESGPTETKAALEFSVNTLNVENILIIGHSRCGGIQALMSMQGEGDSRSFIHN WVKVGKKAKESTKAVASNLHFDHQCQHCEKASINHSLERLLGYPWIEEKVRKGSLSLH GGYYDFVNCTFEKWTVDYGESRGKKEGSGIAVKNRSVW" gene complement(<13892176..>13893123) /locus_tag="BRARA_H01260" /db_xref="Phytozome:Brara.H01260" mRNA complement(<13892176..>13893123) /locus_tag="BRARA_H01260" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01260" CDS complement(13892176..13893123) /locus_tag="BRARA_H01260" /codon_start=1 /product="hypothetical protein" /protein_id="RID50535.1" /db_xref="Phytozome:Brara.H01260" /translation="MSILHRFLSKDMTNTPYCGSKGCKEEHAASDVCGPICYKECPSI CPNVDVTDLSPPPVIHHYIDENVKRILIISTTSIITTLFLLTLLVLCFKWYNRRRSIA LSRRWSMEEARNWEFDGPSPVIVDHPVWHIRTIGLNPTVISSIKVCKYSKQDGVVEGT DCSVCLSEFEEEETLRLLPKCRHAFHVPCIDTWLRSHTNCPVCRAPVVAVSGDDSEGV EEILVRIPEEESGELDEETERGGEEEADEFFSIVVSDEEEEKSLQRVRRSVSLSSLSG LRVSEVVAAGRGKEKVKRGNVGSSGRSSFLKRSISYNGE" gene complement(13895587..13896435) /locus_tag="BRARA_H01261" /db_xref="Phytozome:Brara.H01261" mRNA complement(13895587..13896435) /locus_tag="BRARA_H01261" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01261" CDS complement(13895735..13896025) /locus_tag="BRARA_H01261" /codon_start=1 /product="hypothetical protein" /protein_id="RID50536.1" /db_xref="Phytozome:Brara.H01261" /translation="MNAGKQASWTVATVIAVVEVLKDQGVARWNYPLRLLHKNAMAHV RTIIIPSRSSPSPPPPATSSSSADIIRSKQHMTKSFEKAMGLSCFGPTTVRF" gene complement(<13900669..>13903557) /locus_tag="BRARA_H01262" /db_xref="Phytozome:Brara.H01262" mRNA complement(<13900669..>13903557) /locus_tag="BRARA_H01262" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01262" CDS complement(13900669..13903557) /locus_tag="BRARA_H01262" /codon_start=1 /product="hypothetical protein" /protein_id="RID50537.1" /db_xref="Phytozome:Brara.H01262" /translation="MVFFSIPNEKTISINVNPNSTTISAFSQLIHQRTHLPQSLIRYS LSLRNANLRDDSDVTLSDLGFGSSSTVYLHIPLLGGGARFDLLDSNPPANYVAGLGRG ATGFTTRSDIGSARIAPGKSINEAEEEENQNQNQNHHTFDEFEGNDAGLFADSVYDEE DKEADAIWEAIDKRMDSRRKDVKEAKIKDEIENYRASNPKICEQFSDLKRKLDNVSAE EWDSIPETANYSRQKKKKRFESFVPVPDSVILDKSLDLTAVGEGRGTLLSLKLDECSH SFSGKASVDPKGYLTELKTLEAEVPDVFHVNRSRVLLKSVTMSNPKNPNGWIAAARLE ERAGNLKVARVRIQRGCEECPKEEDVWIEACRLAKPEEKKAVIARGVKQIPNSVKLWL EASALEDDARKSLVLRRGLENVPDSVRLWKTLVDMVNEEDAVVLLHRAVECCPLDVEL WLALARLETYENTKKLLNKARVTLPRERGIWITAAKVEEANGNTDKVGTIIEKGLKAL QSEGVVINRERWIEEAEACERTGAVATCKAIIESVIGFNVEEQDRKRTWVADAEECEK RSSIETARAIYAHALTVFLSKKSIWIQAANLERSHGSRESLDAVLRKAVLYLPQVEAL WLMGAKEKWLAGDVSAARVILQEANAAIPNSEEIWLAAFKLEFENKEMERARMVLGKA RERGGTGRVWMKSAVFERELGNVEEERRLLEEGVKRFPKFFKLWLMLGQLEERLNHLV QAKRVYSSGLNHCPHCIPLCLSLADLEEKKLNGLNKARVILTNARKKCPEEDELWLAA IRVELRHGNKKEAERLMSKALQELPKSGILLAADIEMAPQCPLPKMKIKDALKKNCVK EGYVTASVAKIFWRERKVDKARKYFERTVKLNPGNGDFWALYYKFEVQHGSEEKQREV LNRCVVSEPKHGEKWQAVSKALENSHQSVEVILKKVAIALNREGHILT" gene complement(13912003..13912693) /locus_tag="BRARA_H01263" /db_xref="Phytozome:Brara.H01263" mRNA complement(join(13912003..13912220,13912306..13912693)) /locus_tag="BRARA_H01263" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01263" CDS complement(join(13912198..13912220,13912306..13912627)) /locus_tag="BRARA_H01263" /codon_start=1 /product="hypothetical protein" /protein_id="RID50538.1" /db_xref="Phytozome:Brara.H01263" /translation="MASGRIIIMVVAIAFFMIGSDNVNVATAQFCGANVSGLMNECQR YVSNAGPNSPPPSRSCCALIRPIDVPCACRYVSRDVTNYIDMDKVVYVARSCGKKIPS GYKCGSYTIPAA" gene complement(13913232..13913881) /locus_tag="BRARA_H01264" /db_xref="Phytozome:Brara.H01264" mRNA complement(join(13913232..13913416,13913518..13913881)) /locus_tag="BRARA_H01264" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01264" CDS complement(join(13913394..13913416,13913518..13913839)) /locus_tag="BRARA_H01264" /codon_start=1 /product="hypothetical protein" /protein_id="RID50539.1" /db_xref="Phytozome:Brara.H01264" /translation="MGSGMITVMVVAIAFFMIGSDNVNMATAQLCGANLSGLVNECQR YVSNAGPNSPPPSRSCCALIRPIDIPCGCRYVTRDVMNTFDMDKLIYVARSCGKKIPS GYKCGSYTIPAA" gene complement(13914311..13915850) /locus_tag="BRARA_H01265" /db_xref="Phytozome:Brara.H01265" mRNA complement(join(13914311..13914545,13914627..13914708, 13914972..13915125,13915220..13915273,13915376..13915850)) /locus_tag="BRARA_H01265" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01265" CDS complement(join(13914668..13914708,13914972..13915125, 13915220..13915273,13915376..13915750)) /locus_tag="BRARA_H01265" /codon_start=1 /product="hypothetical protein" /protein_id="RID50540.1" /db_xref="Phytozome:Brara.H01265" /translation="MESTTLAAFSIVSATSTARTTGPSLPLITSHNSGARHVHPLLLA RGLGGLGAAGLPVNRRRLQCLPSSSPSFSGGGAGFGGYSGGSGGGGGGGSDSGDSKSK LGAGAGDGGVSVPSSDIIVLNVGGMTCGGCSASVKKILESQPQVASASVNLTTETAIV WPVPEARSVPDWQKTLGETLANHLTNCGFQSTPRDEVPEDIAGEIAP" gene 13918633..13919882 /locus_tag="BRARA_H01266" /db_xref="Phytozome:Brara.H01266" mRNA join(13918633..13918975,13919081..13919882) /locus_tag="BRARA_H01266" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01266" CDS join(13918816..13918975,13919081..13919676) /locus_tag="BRARA_H01266" /codon_start=1 /product="hypothetical protein" /protein_id="RID50541.1" /db_xref="Phytozome:Brara.H01266" /translation="MEMSRGSNSFDNKKISCQRGHWRPIEDDHLRQLVEQYGPKNWNF IAQHLYGRSGKSCRLRWYNQLDPNITKKPFTEEEEERLLQAHRFQGNRWASIARLFPG RTDNAVKNHFHVIIARRKRESSSSTATSTFNQSWHNIFSHSSSLTRLDRSQFGLWTYQ KDKSRGLWPYTFVPAPKDGQFGSSSISNLHKDIYPERIKSKELVDHHNYEFQAATPDH KKNSISEDGPSMGDNGGKKNVTFIDFLGVGLLS" gene 13922171..13925832 /locus_tag="BRARA_H01267" /db_xref="Phytozome:Brara.H01267" mRNA join(13922171..13922528,13922659..13922791, 13922868..13922939,13923027..13923170,13923283..13923354, 13923445..13923516,13923598..13923674,13923743..13923874, 13923943..13924284,13924820..13925214,13925306..13925832) /locus_tag="BRARA_H01267" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01267" CDS join(13922456..13922528,13922659..13922791, 13922868..13922939,13923027..13923170,13923283..13923354, 13923445..13923516,13923598..13923674,13923743..13923874, 13923943..13924284,13924820..13925214,13925306..13925629) /locus_tag="BRARA_H01267" /codon_start=1 /product="hypothetical protein" /protein_id="RID50542.1" /db_xref="Phytozome:Brara.H01267" /translation="MMKPSFLWLLLVFDLVLRVAGNAEGDALSALKNSLADPNKVLQS WDATLVTPCTWFHVTCNSDNSVTRVDLGNANLSGQLVMQLGQLPNLQYLELYSNNITG TIPETLGNLTELVSLDLYLNNLSGPIPKSLGRLQKLRFLRLNNNSLSGEIPRSLTAVL SLQVLDLSNNPLTGDIPVNGSFSLFTPISFANTNLTPLPASPPPPISPTPPSPGGSNR ITGAIAGGVAAGAALLFAVPAIALALWRRKTPQDHFFDVPAEEDPEVHLGQLKRFSLR ELQVASDNFSNRNILGRGGFGKVYKGRLADSTLVAIKRLKEERTQGGELQFQTEVEMI SMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRDRPESQPALDWPKRQGIALG SARGLAYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRG TIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGL LKEKKLEALVDVDLQGNYIDEEVEKLIQVALLCTQSSPMERPKMSEVVRMLEGDGLAE RWEEWQKEEMFRQDFNYQNYNQPNTSWLIGDSTSHIENDYPSGPR" gene complement(13927202..13928963) /locus_tag="BRARA_H01268" /db_xref="Phytozome:Brara.H01268" mRNA complement(join(13927202..13927717,13928132..13928294, 13928386..13928574,13928648..13928963)) /locus_tag="BRARA_H01268" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01268" CDS complement(join(13927338..13927717,13928132..13928294, 13928386..13928574,13928648..13928875)) /locus_tag="BRARA_H01268" /codon_start=1 /product="hypothetical protein" /protein_id="RID50543.1" /db_xref="Phytozome:Brara.H01268" /translation="MGDNKMVMANLLSVIMLMHAIVALSSNVRGLSKNYYMKSCPTAE QIVKNSVNNALQADPTLAAGLIRMLFHDCFIEGCDASILLDSTNDNTAEKDSPGNLSL RGYEIIYDTKQEIENTCPGVVSCADILAMAARDAVFWAGGPFYQIPKGRFDGKRSKIE DTKNLLPPSFNASQLIQAFGQRGFSPKDVVALSGAHTLGVARCSSFKARLITLDSSVD SSFANTLSKTCSAGDNAEQPLDATRNDFDNAYFNALQMKSGVLFSDQALFNSPVTRNL VNGYALNQTKFFFDFQKAMRKMSKLNVKNSSQGEVRKHCRCLN" gene <13938272..>13940676 /locus_tag="BRARA_H01269" /db_xref="Phytozome:Brara.H01269" mRNA join(<13938272..13939120,13939817..13939951, 13940395..>13940676) /locus_tag="BRARA_H01269" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01269" CDS join(13938272..13939120,13939817..13939951, 13940395..13940676) /locus_tag="BRARA_H01269" /codon_start=1 /product="hypothetical protein" /protein_id="RID50544.1" /db_xref="Phytozome:Brara.H01269" /translation="MGAAHSHEDLEICESDEEEYEEYEERREQQEEEDEFVDSRDDDS FAPSSSRLPPSSSLDDVESKLRALKLKYPSTQQQAQTSTKLFRYINGNTPKAKWVTAE KLTSYSFVKTSQYDEDQDDDDDDRNRDSEKEWWVLKVGRSKIREKVSDEMQLKAYKDQ RRVDFVAKGVWALRFGTVEDFNAFVRSYNNCLFENNHGVEFNEASKAKIFGKDFIGWA NPEAADDSMWEDADDLLLNSPQSATPVRDTQDLTEAFEEATSEGIHSLALGALDNSFL VGGSGIQAGKTKTGFEGRMGNKIAAPRLLKLRPLDAHLAGSNNKFRNAQFSWVTEDGK QERHVVATVGKFSVIWNFQQVKNGSHECYHEQEGLKKCYCYKIVLRNESIVDSRFMND NFAVSGSPEAPLVIATPMKVSSFSISSKR" gene complement(13941137..13943624) /locus_tag="BRARA_H01270" /db_xref="Phytozome:Brara.H01270" mRNA complement(join(13941137..13941577,13941691..13941950, 13942071..13942193,13942330..13942428,13942906..13943044, 13943118..13943188,13943288..13943624)) /locus_tag="BRARA_H01270" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01270" mRNA complement(join(13941137..13941577,13941691..13941950, 13942071..13942193,13942330..13942428,13942906..13943044, 13943118..13943206,13943288..13943624)) /locus_tag="BRARA_H01270" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01270" CDS complement(join(13941461..13941577,13941691..13941950, 13942071..13942193,13942330..13942428,13942906..13943044, 13943118..13943188,13943288..13943447)) /locus_tag="BRARA_H01270" /codon_start=1 /product="hypothetical protein" /protein_id="RID50546.1" /db_xref="Phytozome:Brara.H01270" /translation="MARGGGEYNGGGGALLSYKRITLLVCLINILIALFVLRFLYASS LHIFPNHDNDEIRRMEESVQIRRSKEPVELVRLVKKMKHDVAIAESSVELSPNVKGKL IDEILELLRRVEEKSNVTLLREAVETWRIGKLKEAKELIQEQNGVNSTVILEEAGMLV RALELEWDVLSEEIGFWLPAEVNNEVHDDKPEGEEEPEEVLAGRPVPAVCNAELHTDY GGAAVRWGLTHHKESAADCCQACLDQAKRAKPGEMRCNIWVYCPSEFGCYSPDIYQHK HQECWLKYAEQPKENFKDRYSESYRNNHPKAPTIVPWVSGVVTPSA" CDS complement(join(13941461..13941577,13941691..13941950, 13942071..13942193,13942330..13942428,13942906..13943044, 13943118..13943206,13943288..13943447)) /locus_tag="BRARA_H01270" /codon_start=1 /product="hypothetical protein" /protein_id="RID50545.1" /db_xref="Phytozome:Brara.H01270" /translation="MARGGGEYNGGGGALLSYKRITLLVCLINILIALFVLRFLYASS LHIFPNHDNGVKYTADEIRRMEESVQIRRSKEPVELVRLVKKMKHDVAIAESSVELSP NVKGKLIDEILELLRRVEEKSNVTLLREAVETWRIGKLKEAKELIQEQNGVNSTVILE EAGMLVRALELEWDVLSEEIGFWLPAEVNNEVHDDKPEGEEEPEEVLAGRPVPAVCNA ELHTDYGGAAVRWGLTHHKESAADCCQACLDQAKRAKPGEMRCNIWVYCPSEFGCYSP DIYQHKHQECWLKYAEQPKENFKDRYSESYRNNHPKAPTIVPWVSGVVTPSA" gene complement(13943948..13944821) /locus_tag="BRARA_H01271" /db_xref="Phytozome:Brara.H01271" mRNA complement(join(13943948..13944222,13944430..13944821)) /locus_tag="BRARA_H01271" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01271" CDS complement(join(13944213..13944222,13944430..13944779)) /locus_tag="BRARA_H01271" /codon_start=1 /product="hypothetical protein" /protein_id="RID50547.1" /db_xref="Phytozome:Brara.H01271" /translation="MGNITKNQTMLLLVVTLLMVIAYHEGEAIQCSQITMYLAPCLSY VKGGGNPPPPCCAGLNNLKSSAPGRPDKQAACQCLKNVANAISGFNDDNAKQLPAKCG VSVGVPFSKSVDCNSIN" gene <13946662..>13947791 /locus_tag="BRARA_H01272" /db_xref="Phytozome:Brara.H01272" mRNA join(<13946662..13946949,13947051..13947152, 13947165..>13947791) /locus_tag="BRARA_H01272" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01272" CDS join(13946662..13946949,13947051..13947152, 13947165..13947791) /locus_tag="BRARA_H01272" /codon_start=1 /product="hypothetical protein" /protein_id="RID50548.1" /db_xref="Phytozome:Brara.H01272" /translation="MACVSSSYVLNCLARVPTLACVSLSSLPEDLVLNCLARVPTSYD QTLACFCKNFQSLVLSGELAQMRSLLAAIKDYPLLCVFYTEFPRPGWTKLHWIYFVGG SDCDAECSSGLVIFDSRSGKLSTGPSMKGAGVAVVDGKIYVMGGCQFKFNEDEDEINQ VEVFDPNTQTWEVGPLGPHGEITYGKGYKWNQFREAVALDGMVYGMSFLAGYHTIYDT KDGTCENLEISHEYTMKISKACVVNSLIYVFYHEFGLMWYDSKEKIWKRVKGLRCDVG RHHVVECNGKLALLWEDSEEKIWCAMIAMDKVGVEIHGRVEWSEFVGYAHRYSYWSCL GLSL" gene 13949716..13953347 /locus_tag="BRARA_H01273" /db_xref="Phytozome:Brara.H01273" mRNA join(13949716..13949947,13950056..13950710, 13951430..13951955,13952699..13953347) /locus_tag="BRARA_H01273" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01273" CDS join(13949732..13949947,13950056..13950710, 13951430..13951955,13952699..13953119) /locus_tag="BRARA_H01273" /codon_start=1 /product="hypothetical protein" /protein_id="RID50549.1" /db_xref="Phytozome:Brara.H01273" /translation="MREECFLLRNGRTMMMVMKIAPSKSTLIRFNLILFALSFLLYTA FSLSPSSSVYFRSAASFVGCSFRHCTPKVTRGVKMQELNVENQINKIGSQIASNQTKL EAPSFMEEILTRGLGKTKIGMVNMEEADLTQWKRYGETNHIHFDRVSKLFTWHDLFPE WIDEEEDHEVPTCPEIPMPDLERLEKFDLIVVKLPCKYPEEGWRREALRLQVNLVAAN LVAKKGKTDWTWKSKVLFWSKCQPMIEIFRCDDLEKREGNWWLYRPEVVRLQQKVSLP IGSCNLALPLWAPQGIDKVYDLTKIKAETRRPKREAYATVLHSSESYVCGAITLAQSL LKTNTKRDLILLHDDSISITKLRALAAAGWKLRRILRIRNPLAEKDSYNEYNYSKFRL WQLTDYDKVVFIDADIIVLLNLDLLFHFPQMSATGNDVWIFNSGVMVIEPSNCTFSTI MSLRNDIVSYNGGDQGYLNEIFVWWHRLPRRVNFLKNFWSNTTNERNIKNSLFAAEPP QLYAVHYLGWKPWLCYRDYDCNFDVEQHVYASDAAHARWWKVHDAMDEDLQRFCRLTK KRRTELNWERRRSRRRGSTDFHWRINVTDPRRRRSYLIG" gene 13959845..13963632 /locus_tag="BRARA_H01274" /db_xref="Phytozome:Brara.H01274" mRNA join(13959845..13960599,13961325..13961707, 13961791..13962146,13962355..13962560,13962636..13963632) /locus_tag="BRARA_H01274" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01274" CDS join(13959915..13960599,13961325..13961707, 13961791..13962146,13962355..13962560,13962636..13963471) /locus_tag="BRARA_H01274" /codon_start=1 /product="hypothetical protein" /protein_id="RID50550.1" /db_xref="Phytozome:Brara.H01274" /translation="MAITDFFAGEIATELLKQLFMISAKAWKYKSVAERLIDLIEDIQ PTIKEIQYSGVELPPHRQAQIGMLSNTLEKGKKLTEKVLSARRWNVYRQLTLARKMEK LEKDISNFLKNQILTHILADVHLLRANSDVRFDRVDRSLEMMTEHLGSMKIGGGGMIR EAMKIAEATMEIEMGNEEEKFGVGLEIGKRKVKKMMFSAERGLIGISGMGGVGKTTLA RELERDVEVQCHFENKVLFLTVSQSPMLEELRAHIWAFVSGYEGVNPVPNWNLQYEGG VKTQKLVILDDVWTREALDCLTFNIPGCTTLVVSRSKLTEPKATYDVEVLREDEALSL FCLCAFGQKTIPPGFDKSMVEQVAGECKGLPLALKVTGASLKDRPEMYWKGALQRLQK GEPADESHETRLLHQMEASLENLDPTTRECFLDLGAFPEDRKIPVDVLINMWIEIHDL EEAIAFATLVDLSHKNLLTLGKDPRLGSSYASYYDVFVTQHDVLRDLALHLSNKGKVN IRKRLLMPKREKALPKEWGRNIDEPYRAQIVSIHTEEMDEMGWSDFEMDFPKAEILIL NFSSDKYVLPPFITKMSKLRVLVIINNGMSPAVLHDFSIFANLSYLRTLWLERVHVPE LYNTTVPLKKLHKMSLILCKINNSFDQTGVDISNLFPKLADLTIDHCDDLVSLPSSIC GMTSLNSLSITNCPRLSELPKNLSKLQALEILRLYACLELKALPVEICELPKLKYLDI SQCVNLSCLPEEIGKLRTLEKIDMRECFFSDRLSSAVSLESLRHVICDKDVAFIWEEV EKAVPGLKIEAAEKCFSLDWLDE" gene 13964717..13966620 /locus_tag="BRARA_H01275" /db_xref="Phytozome:Brara.H01275" mRNA join(13964717..13964978,13965071..13965184, 13965402..13965471,13965952..13966034,13966181..13966620) /locus_tag="BRARA_H01275" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01275" CDS join(13964802..13964978,13965071..13965184, 13965402..13965471,13965952..13966034,13966181..13966375) /locus_tag="BRARA_H01275" /codon_start=1 /product="hypothetical protein" /protein_id="RID50551.1" /db_xref="Phytozome:Brara.H01275" /translation="MGKEMESPKEQSSYTVEQLVAVNPFNPEILPDLENYVNEQVTSQ TYSLDANLCLLRLYQFEPERMNTHVVARILIKALMAMPAPDFSLCLFLIPERVTGRFQ QFWDEAAKNRHILIETVPGFEQAIQAYATHLLSLSYQKVPRSVLSEAVNMDGASLDKF IEHQVTNSGWIVEKEDGSIVLPQNEFNHPELKKNTGENVPLEHIARIFPILG" gene complement(<13967981..13978784) /locus_tag="BRARA_H01276" /db_xref="Phytozome:Brara.H01276" mRNA complement(join(<13967981..13968354,13974724..13975047, 13975133..13975603,13976661..13976789,13978379..13978784)) /locus_tag="BRARA_H01276" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01276" CDS complement(join(13967981..13968354,13974724..13975047, 13975133..13975603,13976661..13976789,13978379..13978661)) /locus_tag="BRARA_H01276" /codon_start=1 /product="hypothetical protein" /protein_id="RID50552.1" /db_xref="Phytozome:Brara.H01276" /translation="MSWWKGFLIFLMLCLCVSSEESMPYDYLKVPASEFVSSINTIVE VIRQMSSILSQFADFSGDRRLQNAVSDCLDLLDVSADELSWSASASENPHGKGNGTGN VRSDTQTWLSAALSNQDTCKEGLDGTSGLVKTLIAGSLDQLYSMLRELLPLVQADQKP KPISKPGPIAKGPKAPPGRGLRSNTDHDESPRFPDWLRSNDRKLLETNGVSYDVSVAL DGTGNFTKIMDAIKAAPEYSSKRFVIYIKKGLYLENVEIKKKKWNLVMLGDGIDVTVI SGNRNFVDGWTTFRSATFAVSGKGFLARDITFQNTAGPEKHQAVALRSDSDLSVFYRC AMRGFQDTLYTHTMRQFYRECTITGTVDFIFGDGTVVFQNCHILARRGLPNQKNTITA QGRKDPNQPSGFSIQFSNISADADLVPYLNTTRTYLGRPWKEYSRTIFMRNNMSNVVR PEGWLEWNADFALSTLFYGEFLNYGPGSGLSSRVKWPGFHVLNNSNQANNFTVTQFIK GDLWLPSTGVTFTAGLDI" gene <13981452..>13987736 /locus_tag="BRARA_H01277" /db_xref="Phytozome:Brara.H01277" mRNA join(<13981452..13981522,13981615..13981789, 13981890..13981959,13982240..13982339,13982422..13982622, 13982701..13982971,13983044..13983142,13983236..13983324, 13983420..13983519,13983600..13983770,13983901..13984053, 13984136..13984296,13984372..13984570,13984745..13985074, 13987710..>13987736) /locus_tag="BRARA_H01277" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01277" CDS join(13981452..13981522,13981615..13981789, 13981890..13981959,13982240..13982339,13982422..13982622, 13982701..13982971,13983044..13983142,13983236..13983324, 13983420..13983519,13983600..13983770,13983901..13984053, 13984136..13984296,13984372..13984570,13984745..13985074, 13987710..13987736) /locus_tag="BRARA_H01277" /codon_start=1 /product="hypothetical protein" /protein_id="RID50553.1" /db_xref="Phytozome:Brara.H01277" /translation="MEEGELKRVSDAEDDDTYHKRPKLECPASASSDSSSMEERTVAS SPRTHTTDMFCHNFILNHGRKDDDSQLHFDLTDDVLHVVFSFLKHVDLCRSAMVCRQW RVAIENMCHRYPNATRVNVYGVPAVNALAMKAATTLRNLETLTIGNGHISENFFQILG ECNSLRSVTISDAVLGSGGPQEIHLSHDRLHKLKIRQCSALRLSIRCPQLRSLSLKRS NMVLAMLHCPLLQHLDIASCHKLQDADIRSAVTSCPQLESLDVSNSSRLSNETLREIA QACAALHILNASYCSNISFESVHLPMLTVLKLRSCERITSASMTGIANSPALEVLELD NCNMLTSLVLHLSCLQSLILLNCRKFVELNLQSTMLSSITIANCLALRRVTITSNALR RLGLKKQENLTTLVLNSQSLQEVDLSDCKSLSNTVCESFSDVGGCPLLKSLILDNCES LTEVRFCNSSLSSLSLVGCRAVTSLALKCPRIEEICLDGCYNLETAFFKPVALRSLNL EICPKLSVLNIEAPYMVSLDLKCCGGLSEASIICPLLTSLDASFCGQLRDDCLPAITA SCPLIESLVLMSCSSIASDGFSSLKGLQNLSVLDLSYTCLMNLEPIFKSCIQLKPLYK EGALPALEELDLSYGTLCQTTIDDLLACCTHLTHLSLNGCVNMHDLEHDWGSGESNQE PAETSTNRLLQNLSCVGCPNIRKVLIPPAARFNHLSSLNLSLCVNLKEIKRRTLLC" gene 13989192..13994132 /locus_tag="BRARA_H01278" /db_xref="Phytozome:Brara.H01278" mRNA join(13989192..13989245,13989427..13989591, 13989736..13989916,13989995..13990127,13990417..13990522, 13990602..13990799,13990862..13991132,13991207..13991305, 13991427..13991515,13991602..13991701,13991786..13991950, 13992074..13992226,13992316..13992476,13992563..13992761, 13992869..13993323,13993436..13993499,13993645..13993731, 13993841..13994132) /locus_tag="BRARA_H01278" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01278" CDS join(13989461..13989591,13989736..13989916, 13989995..13990127,13990417..13990522,13990602..13990799, 13990862..13991132,13991207..13991305,13991427..13991515, 13991602..13991701,13991786..13991950,13992074..13992226, 13992316..13992476,13992563..13992761,13992869..13993323, 13993436..13993499,13993645..13993731,13993841..13993921) /locus_tag="BRARA_H01278" /codon_start=1 /product="hypothetical protein" /protein_id="RID50554.1" /db_xref="Phytozome:Brara.H01278" /translation="MEEGEEAAGAELKRLWSSNNAEDGTMEEEDDSDHKRAKVHSSLA ECRSASGISSDASGSSMERTVASSSRTDTAMFCQNFILNHGRKDDDSQVYIDLTADLL HVVFSFLNSIDLCRSAMVCRQWRVASAHEDFWKVFNFQNMRISIKQFEIMCHRYPNAT KVNLYGVPSYVSNSLAMRAATTLRNLEVLIIGKGRLFEEILQVLRECNRLRSVTISEA LLGTGPLETYLIHDRLRELKIIKCCVMRLDIRCQQLRSLSLKGSNMAVAMLHCPLLQH LDIASCSKLLDVVIRSAVTSCPLLESLDVSKCSHISNGTLGEIAQACAGLRRLNASNC PSISFELVHLPMLTVLKLRSCISITSASMTWIANSPALEVLELDNCNMLTSVALHLSF LRRLRLVNCPKFTELNLQSTMLSSITISKCPALQRVTITSNALRRLAIKKQKNLTTLV LQCPSLQEVNLTGCKSLSNTAVFSDDGGCPMLKSLILDNCESLTEVRFCNSTLSSLSL VGCRAVTSLALNCPRLEGICLDGCDHLKTVFFKSVAVRSLNLGICPKLSVLSIEAPYM VSLDLKCFGGLSEASIICPLLTSLDASFCGKLRDDSLPAITASCPLIESLLLVSCSSI GSDGFSSLKGLQNLIVLDLSYTWVMNLEPIFKSCIELKVLKLQACKDLTDSSLEPLYK EGALPALEELDLSYGTLCRTVIADLLACCTHLTHLNLNGCENMHDLDWGSTSVELSDY FGVYNSSENTQEESAETSNWLLQNLNCVGCPNIRKVLIPAAARFDHLSSLNLSLCVNL KEVDLACSNLVLLNLSNCCSLEVLKLGCPRLSTLFLQSCKMDEAGVEAAISGCCSLQI LDLRLCPKISPVSMARFQTVCPSLKRVCSRVLIF" gene <13994528..>13998277 /locus_tag="BRARA_H01279" /db_xref="Phytozome:Brara.H01279" mRNA join(<13994528..13996558,13997342..>13998277) /locus_tag="BRARA_H01279" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01279" CDS join(13994528..13996558,13997342..13998277) /locus_tag="BRARA_H01279" /codon_start=1 /product="hypothetical protein" /protein_id="RID50555.1" /db_xref="Phytozome:Brara.H01279" /translation="MQASLKAIPFSFHRPPRFYYLLSSHSLSPFRLTSPSPFSSSSAS SQWFGFLRDAISSSDLRLGKCTHARILTSEENPERFLINNLITMYSKCGSLNYARRVF DKMPERDLVSWNSILAAYAQSSEHVIDSTEEGFVLFRVLRQNVVFTSRMTLAPLLKLC LCSGYVWASEAVHGYAFKIGLDSDEFVAGALVNIYLKFGMVKEGRVLFEEMPEKDVVL WNLMLKAYLDMGFKEDAVELSSAFHKSGLHPNGITLRLLDRVSGDDSEGGQVNGNDAS EIRSKNQILTKYLQGSQYSSLLQCFADMVESNLECDSVTFVLVLSTAVRLDSLALGKQ VHSMALKLGFDLMLTVANSLINMYCKLRKVGYARTVFNSMSERDLISWNSVISGFAQS GLEVEAVCLFMELLRCGLTPDHYTMTSVLKSTSSLSLNKQVHVHAIKTNNVGDSFVST ALIDAYSRNKCMKEAEVLFSRNSLDLVACNAMMSGYTQSNDGDKTLKLFALMHKQGDR SDDFTLATVLKTCGSLFAMNQGKQVHAYAIKSGYDLDLWVSSGVLDMYVKCGDMKAAH FAFNCIPVPDDVAWTTMISGCIENGEEERAFHVYSQMRLMGVLPDEFTIATLAKASSC LTALEQGRQIHANALKLNCSGDPFVGTSLVDMYAKCGSIDDAYSLFKRIEMSCEMRNI AAWNAMLVGLAQHGEGKEALQLFEQMRSLGIKPDKVTFIGVLSACSHSGLVSEAYKQI KSMDRDYGIKPEIEHYSCLADALGRAGLVREAEKLIESMSLEASASMYRALLAACRVQ GDTETGKRVATKLLELEPSDSSAYVLLSNMYAAASKWTEVKLARTMMRGQNVKKDPGF SWIEVKNKIHLFVVDDMSNPQAELIYEKVRDVIRDIKQEGYVPETDYTLVDVEEEEKE RALYYHSEKLAVAFGLMSTPPATPIRVIKNLRVCGDCHNAMKYIAKVYGREILLRDAN RFHRFKDGKCSCGDFW" gene complement(13998516..13999634) /locus_tag="BRARA_H01280" /db_xref="Phytozome:Brara.H01280" mRNA complement(join(13998516..13998757,13998886..13998970, 13999180..13999310,13999526..13999634)) /locus_tag="BRARA_H01280" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01280" CDS complement(join(13998662..13998757,13998886..13998970, 13999180..13999274)) /locus_tag="BRARA_H01280" /codon_start=1 /product="hypothetical protein" /protein_id="RID50556.1" /db_xref="Phytozome:Brara.H01280" /translation="MGLLKKKDSTSTRSSTSPCADLRTAYHNCFNKWYSEKFVKGQWD KEECVAEWNKYRECLSENLDGKILTRMLEVDSELNPTKQADSKESSR" gene 14002154..14006653 /locus_tag="BRARA_H01281" /db_xref="Phytozome:Brara.H01281" mRNA join(14002154..14002398,14002670..14002815, 14002917..14003019,14003139..14003325,14003404..14003497, 14003567..14003720,14003814..14003918,14004005..14004121, 14004198..14004311,14004400..14004582,14004662..14004941, 14005025..14005146,14005220..14005317,14005419..14005596, 14005679..14005793,14005867..14005934,14006009..14006164, 14006250..14006653) /locus_tag="BRARA_H01281" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01281" CDS join(14002183..14002398,14002670..14002815, 14002917..14003019,14003139..14003325,14003404..14003497, 14003567..14003720,14003814..14003918,14004005..14004121, 14004198..14004311,14004400..14004582,14004662..14004941, 14005025..14005146,14005220..14005317,14005419..14005596, 14005679..14005793,14005867..14005934,14006009..14006164, 14006250..14006429) /locus_tag="BRARA_H01281" /codon_start=1 /product="hypothetical protein" /protein_id="RID50557.1" /db_xref="Phytozome:Brara.H01281" /translation="MDKFKGQPRLPKFAVPKRYDLRLIPDLIACTFTGTVSIDVDIVA DTRFIVLNAADISVNDDSVSFTPLTSSKALAAPKVDLFEEDEILVLEFAEILPHGVGV LQLGFSGILNDKLKGFYKSTYEHNGEKKNMAVTQFEPADARKCFPCWDEPACKATFKI TLEVPTELVALSNMPIMDEKVDGNVKVVSYQESPIMSTYLVAIVVGLFDYVEDHTSDG IKVRVYCQVGKADQGKFALHVGAKTLDLFKEYFAVPYPLPKMDMIAIPDFAAGAMENY GLVTYRETVLLYDEQHSAASNKQRVAIVVAHELAHQWFGNLVTMEWWTHLWLNEGFAT WVSYLAIDSLFPEWKIWTQFLDESTDGLRLDGLEESHPIEVEVNHVAEIDEIFDAISY MKGASVIRMLQSYLGAEVFQKSLAAYVKKHAYSNAKTEDLWSALEGESGEPVNKLMSF WTKQTGYPVVSAKIKDGKLELEQSRFLSSGSPGEGQWIVPVTVCCGSYDMRKNFLLES KSGVYDLKELFGCSIADGAWIKINVDQAGFYRVKYDDTLAAGLRNATENQSLTSIDRY GILDDSFALSMARQQSLASLLTLITGYKKELDYTVLSNLIVISYKVVKISADANLELM SEIKQLFIGVFQFAAGKLSWEPKQGESHLDAMLRGEVLTALAVFGHDETLKEATRRFD AFLADRNTSLLPPDLRRAAYVAVMQRANKSDKSGYESLLRVYRETEMSEEKTRILGSL ACCPDPSIVQDVLTFVLSDEVRNQDALYGLYDVSWEGREVAWKWLQEKWEYIEETWGS GFLITRFISALVSPFASFEKAKEVEEFFATRSKPSMARTLKQGIERVHINANWVESIR KEDNLTQLVAHLSSN" gene 14008146..14011485 /locus_tag="BRARA_H01282" /db_xref="Phytozome:Brara.H01282" mRNA join(14008146..14008368,14008496..14008967, 14009050..14009349,14009435..14009549,14009663..14009728, 14010041..14010129,14010216..14010303,14010391..14010621, 14010703..14010804,14010891..14011485) /locus_tag="BRARA_H01282" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01282" CDS join(14008549..14008967,14009050..14009349, 14009435..14009549,14009663..14009728,14010041..14010129, 14010216..14010303,14010391..14010621,14010703..14010804, 14010891..14011259) /locus_tag="BRARA_H01282" /codon_start=1 /product="hypothetical protein" /protein_id="RID50558.1" /db_xref="Phytozome:Brara.H01282" /translation="MGLEVGSLSLKIKDGGLTSRTNSFNRDNTTHQNSRKINRKPTMQ RSLSFNSWEVPEETKTDSDVEVFETKKSTPSTLNGRNCERIQIKKPTITPPEPFVFFS PRPVTELDAAATTVQKVYKSYRTRRNLADCAVLVEELWQKSLDAAAQKLSSNTEETNN DSGFKVLETKKSTHNTLNGRNCERIQIKKPTVTPPEPFVFFSPRPVTELDAAATTLQK VYKSYRTRRNLADCAVVVEELWWKTLDAATLDLSSVSFFEKEKHEPAASKWVRARTRA AKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYDVWSESKSSQPFFYWLDIG DGKDVNLEKHPRSVLQKQCISYLGPMEREAYEVIVEDGRLMYKQSMDLINSTDESKSI FVLSTTRTLYVGKKKKGVFQHSSFLSGGATTAAGRLVARDGILEAIWPYSGHYLPTEE NFKEFISFLEEHNVDLTNVKRCAVNEEYSSSFKSTAEEEEEPKEVSEEVELPSEQEER ARPVFDPAKRLSCKWSSGYGPRIGCVRDYPVELQAQALEQVNLSPRVSPAYSYGPIPS PRPSPRVRVSPRLAYMGIPSPRGVKC" gene 14024370..14026077 /locus_tag="BRARA_H01283" /db_xref="Phytozome:Brara.H01283" mRNA join(14024370..14024932,14025576..14026077) /locus_tag="BRARA_H01283" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01283" CDS join(14024504..14024932,14025576..14025578) /locus_tag="BRARA_H01283" /codon_start=1 /product="hypothetical protein" /protein_id="RID50559.1" /db_xref="Phytozome:Brara.H01283" /translation="MMQELGLERFSSDVFPLNLTPPSQTSSTSLSIDEEESSEAKIRR LISEHPVIIFSRSSCCMCHVMKRLLSTIGVVPTVIELDDHEVSSLPMALEEEYSGGGS VVVPPPAIFIGREYVGGLESLVALHLSSHLVPKLVQVGALW" gene 14032012..>14032384 /locus_tag="BRARA_H01284" /db_xref="Phytozome:Brara.H01284" mRNA 14032012..>14032384 /locus_tag="BRARA_H01284" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01284" CDS 14032148..14032384 /locus_tag="BRARA_H01284" /codon_start=1 /product="hypothetical protein" /protein_id="RID50560.1" /db_xref="Phytozome:Brara.H01284" /translation="MDTSIFFAETFPERLLLCPSPYPTILDVPGSPHTYWGRFLCVPE TSPSPRRTRYVRRHQVGVPVQPSKSQLQCLLDLH" gene complement(14032623..14034413) /locus_tag="BRARA_H01285" /db_xref="Phytozome:Brara.H01285" mRNA complement(join(14032623..14032803,14032898..14033010, 14033105..14033185,14033267..14033319,14033408..14033516, 14033599..14033649,14033759..14033841,14033972..14034143, 14034215..14034413)) /locus_tag="BRARA_H01285" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01285" CDS complement(join(14032749..14032803,14032898..14033010, 14033105..14033185,14033267..14033319,14033408..14033516, 14033599..14033649,14033759..14033841,14033972..14034143, 14034215..14034238)) /locus_tag="BRARA_H01285" /codon_start=1 /product="hypothetical protein" /protein_id="RID50561.1" /db_xref="Phytozome:Brara.H01285" /translation="MDWTNVSSRSSSLTIGEQLCAVFVPFLVIIDVLFSTVGQCFDCR RRRSTQTCQHPDLTRLAHESQFSVNEVEALHELFKKLSCSIIDDGLIHKEELRLALFQ APNLFLDRVFDLFDEKKNGVIEFEEFIHVLTVFHPSAPIQEKIDFAFRLYDLRQTGFI EREEVHQMVAAILKESDMMLSDELLTMIIDKTFADADSDKDGKISKEEWRVYVLEHPS LLKNMTLPYLKDVTTAFPSFIFNTEVED" gene 14041535..14043797 /locus_tag="BRARA_H01286" /db_xref="Phytozome:Brara.H01286" mRNA join(14041535..14041768,14041915..14042169, 14042592..14042709,14042807..14043030,14043296..14043797) /locus_tag="BRARA_H01286" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01286" CDS join(14041580..14041768,14041915..14042169, 14042592..14042709,14042807..14043030,14043296..14043490) /locus_tag="BRARA_H01286" /codon_start=1 /product="hypothetical protein" /protein_id="RID50562.1" /db_xref="Phytozome:Brara.H01286" /translation="MGFCFCLSSGGSTDRNQIYEIKDYGQENAVLHSEQHDVHQGFGS VSSLAGGKGYNQDAAVLHLGYGTEEGALCGVFDGHGEKGELVSKIVRNQLPSLLLGHM NNHSGTRDWKLICETTFLLMDKRILKLKNTLDCSSSGTTAVLAVTHGNQVMVANLGDS RAVMIGASENGEIKVVQLTTDLKPSVPSEAERIKKRNGRVLALESEPHIQRVWLPHEN RPGLAMSRALGDFALKSYGVIATPQVSTHQITSRDQFLLLASDGVWDVLSNEEVAVVV MKSENEAGAANAVTEAAANAWREKYPTVKVDDISVVCLFLNKRLHPQPRM" gene complement(14044690..14046248) /locus_tag="BRARA_H01287" /db_xref="Phytozome:Brara.H01287" mRNA complement(join(14044690..14045042,14045148..14045237, 14045317..14045368,14045733..14045788,14045879..14045919, 14045993..14046248)) /locus_tag="BRARA_H01287" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01287" CDS complement(join(14045162..14045237,14045317..14045368, 14045733..14045788,14045879..14045919,14045993..14046205)) /locus_tag="BRARA_H01287" /codon_start=1 /product="hypothetical protein" /protein_id="RID50563.1" /db_xref="Phytozome:Brara.H01287" /translation="MATRALLAVVTASPPRMIQIKASTFSSSLKFQRHITTLARSFSS DTNPSVLQPPDVSRLAETARISLTPSEIEECGAKIRQVIDWFGQLQQVDVSSVEPAIR AEMEGGNLREDAPETFENRESIRASIPSFDEVYLKVPKVLNKE" gene <14069994..>14074996 /locus_tag="BRARA_H01288" /db_xref="Phytozome:Brara.H01288" mRNA join(<14069994..14070196,14070294..14070404, 14073944..14074040,14074390..14074542,14074643..>14074789) /locus_tag="BRARA_H01288" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01288" CDS join(14069994..14070196,14070294..14070404, 14073944..14074040,14074390..14074542,14074643..14074789) /locus_tag="BRARA_H01288" /codon_start=1 /product="hypothetical protein" /protein_id="RID50564.1" /db_xref="Phytozome:Brara.H01288" /translation="MGGGSNNSHNIDNGKYVRYTPEQVEALERLYNDCPKPSSMRRQQ LIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQ KQVCYLGVELLKPDGTDLLKSLWHHPDALMCCSLKALPPVFTFANQAGLDMLETTLVA LQDITLDKIFDNNNKKTLCSDFPQIMQQGFMCIDGGICMSSMGRAVTYEKAVAWKVLN DNEDPHCICFMFLNWSFI" mRNA join(14073921..14074040,14074390..14074542, 14074643..14074996) /locus_tag="BRARA_H01288" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01288" CDS join(14074023..14074040,14074390..14074542, 14074643..14074789) /locus_tag="BRARA_H01288" /codon_start=1 /product="hypothetical protein" /protein_id="RID50565.1" /db_xref="Phytozome:Brara.H01288" /translation="MCCSLKALPPVFTFANQAGLDMLETTLVALQDITLDKIFDNNNK KTLCSDFPQIMQQGFMCIDGGICMSSMGRAVTYEKAVAWKVLNDNEDPHCICFMFLNW SFI" gene complement(14077759..14078404) /locus_tag="BRARA_H01289" /db_xref="Phytozome:Brara.H01289" mRNA complement(14077759..14078404) /locus_tag="BRARA_H01289" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01289" CDS complement(14077831..14078322) /locus_tag="BRARA_H01289" /codon_start=1 /product="hypothetical protein" /protein_id="RID50566.1" /db_xref="Phytozome:Brara.H01289" /translation="MEKETASLRWEGKKVAQVNGVTAEQIWSVVSDFCNIHKWLPTID TCYRVEGTDGQPGLVRYCTSTKTKDDEETKWARERLVKIDPVERCLSYEVLENNVGFR SYVATVKVTTVDGGDESDGGKVCLLEWSFASDPVDGWKKEDLESFVDFFLKHWASKME MNL" gene <14090150..>14090728 /locus_tag="BRARA_H01290" /db_xref="Phytozome:Brara.H01290" mRNA <14090150..>14090728 /locus_tag="BRARA_H01290" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01290" CDS 14090150..14090728 /locus_tag="BRARA_H01290" /codon_start=1 /product="hypothetical protein" /protein_id="RID50567.1" /db_xref="Phytozome:Brara.H01290" /translation="MQTHDQMEVCSTVTTKKLSSLAKLILFTIQKVSDASRHKLLTTL DPHLLAKHGKTLRKSLNDVVSTSHSRITCRPFDHDDVRSSFISPVPIQLHYEFSCSST PPRRSYASTTTGYHVSIGRRKPLINKRQRHAYIRYNTLPKVRHVAAAVFPDVASSTGT MDSCYVDRAAEEFIQRFHRQLRLQRWMMAQEV" gene complement(14098204..14100095) /locus_tag="BRARA_H01291" /db_xref="Phytozome:Brara.H01291" mRNA complement(join(14098204..14098464,14098556..14098628, 14098701..14098818,14098909..14099005,14099084..14099179, 14099263..14099358,14099427..14099690,14099949..14100095)) /locus_tag="BRARA_H01291" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01291" CDS complement(join(14098363..14098464,14098556..14098628, 14098701..14098818,14098909..14099005,14099084..14099179, 14099263..14099358,14099427..14099690,14099949..14099969)) /locus_tag="BRARA_H01291" /codon_start=1 /product="hypothetical protein" /protein_id="RID50568.1" /db_xref="Phytozome:Brara.H01291" /translation="MAIATEKEVSDVSAVAQKRWNLGDFDIGKPLGRGKFGHVYLARE KRSNHIVALKVLFKTQLQQSQVEHQLRREVEIQSHLRNPNILRLYGYFYDQKRVYLIL EYAARGELYKELQKCKYFSERRAATYVASLARALIYCHGKHVIHRDIKPENLLIGAQG ELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESVEHDASVDIWSLGILCYEFLYGVP PFEAMEHSDTYRRIVQVDLKFPPKPIVSASAKDLISQMLVKESAQRLPLHKLLEHPWI VQNADPSGIYRG" gene complement(<14111825..>14112517) /locus_tag="BRARA_H01292" /db_xref="Phytozome:Brara.H01292" mRNA complement(<14111825..>14112517) /locus_tag="BRARA_H01292" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01292" CDS complement(14111825..14112517) /locus_tag="BRARA_H01292" /codon_start=1 /product="hypothetical protein" /protein_id="RID50569.1" /db_xref="Phytozome:Brara.H01292" /translation="MVDSSIGSDKKKKGNKQPVYRGVRMRTWGKWVSEIREPRKKSRI WLGTFPTAEMAMRAHDVAALSIKGTSAILNFPELSDVLPRPASLSPRDVRAAATKAAL MEFDTTAFCHESETSETEASDKRSESEGNETASLSIRSDSETSETASFSVTSIDEESS VSDNLEKIVELPSLGTSLNESNEFVFFDSLEDLVYMPPWLHSGMEDDFTYNNDSPLNL VFEESFSWSQFP" gene complement(14120446..14123252) /locus_tag="BRARA_H01293" /db_xref="Phytozome:Brara.H01293" mRNA complement(join(14120446..14121331,14121399..14121747, 14121961..14122091,14122162..14122921,14123071..14123252)) /locus_tag="BRARA_H01293" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01293" CDS complement(join(14120669..14121331,14121399..14121747, 14121961..14122091,14122162..14122881)) /locus_tag="BRARA_H01293" /codon_start=1 /product="hypothetical protein" /protein_id="RID50570.1" /db_xref="Phytozome:Brara.H01293" /translation="MLSLWQLNFRVLRSFLEEMKLLRFAGVVFIFILAFQFVNIHYYG GDILSLSSQDEFPVSIHGSTEPIRPLSGPERLNVSGSVSAEVSEGERTGLGEDYVISS DANVSVQSHDAKDKETLDLLLETRSSMNGSDADIVNENNRNVEILESDDFVEDAKDKD ALDSLLETRSSSSNGSYGDVVEDAEIVNENNRNVETLETRSDDNLSPEVKRVMNVSNS GVVSISEMMSLLHQSRTTHVSLKMKRPSAVDQELLYARTQIENSPKVENDPLLHGPLY WNLSMFKRSYELMESKLKVYVYREGKRPVFHKPVLKGIYASEGWFMRQLKASKTFVTK NPRKAHLFYLPFSSKMLEESLYVPGSHSDKNLVKFLKNYLDMISSKYHFWNKTGGSDH FLVACHDWAPSETRQYMANCIRALCNSDVSEGFVFGKDVALPETTILVPRRPLRALGG KPISQRHILAFFAGGMHGYLRPLLLQTWGGNRDPDMKIFSEIPKSKGKNKSYMEFMKS SKYCICPKGHEVNSPRVVEALFYECVPVIISDNFVPPFFEVINWESFAVFVLEKDIPD LKNILVSISEERYREMQMRVKMVQKHFLWHSKPERFDIFHMILHSIWYNRVFQT" gene complement(14126114..14130410) /locus_tag="BRARA_H01294" /db_xref="Phytozome:Brara.H01294" mRNA complement(join(14126114..14127018,14127143..14127783, 14127867..14127952,14128142..14128223,14128646..14128806, 14128937..14129050,14129136..14129234,14129567..14129645, 14130091..14130410)) /locus_tag="BRARA_H01294" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01294" CDS complement(join(14126363..14127018,14127143..14127783, 14127867..14127952,14128142..14128223,14128646..14128806, 14128937..14129050,14129136..14129234,14129567..14129645, 14130091..14130191)) /locus_tag="BRARA_H01294" /codon_start=1 /product="hypothetical protein" /protein_id="RID50571.1" /db_xref="Phytozome:Brara.H01294" /translation="MVNAMVERATSEMLIGPDWAMNLEICDMLNSDPVQAKDVVKGVK KRIGSRNPKTQLLALTLLETIVKNCGDMVHMHVAEKGVIHELVRIAKKKPDFHVKEKI LVLIDTWQEAFGGPRARYPQYYAGYQELLRAGAVFPQRSERSAPVFTPPQTQPLTSYP PNLRNAGPANDLPEPSAEPDFPTLSLSEIQNAKGIMDVLAEMLSALEPGNKEDLKQEV MVDLVEQCRTYKQRVVHLINSTSDESLLCQGLALNDDLQRVLTTYEAIASGKPGTSVQ IEKPKSETGKSLVDVDGPLIDTGDSSNLANGATSSSGNGVLNQLALPAPPVANGSANS KIDLLSGDDLALVPVGPPQPASPIASDQNALALIDMFSDDTSSPSIATAPTGSSAPQS SPLTPQLHQQPTSQAGLQQSNGFSPQAGYSQFEQQPSYGQGASSPWNAQPAHQMQQPL QPSNGAQDSMAFPPPPWEAQHQDFSPTADSGSPFSPQMHQTQVAFTHAQQYPQMPQTG QPVNNNSPYAQIPQTGQVVNNNNQYPQMPQAGSGIYMQQPMPNQVLGQGYPSQQQQQQ QQMMMAQYYAQQQQQQQAYGNQMGGYGYGYNQQQQQGGRPYLDQQMYGLSMRDQTSHH VSSSSSATSYLPPTKPKNKPEDKLFGDLVDISKFKPTTKPTSGRAGTM" gene 14131435..14133757 /locus_tag="BRARA_H01295" /db_xref="Phytozome:Brara.H01295" mRNA join(14131435..14131944,14132685..14132765, 14133016..14133757) /locus_tag="BRARA_H01295" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01295" CDS join(14131569..14131944,14132685..14132765, 14133016..14133446) /locus_tag="BRARA_H01295" /codon_start=1 /product="hypothetical protein" /protein_id="RID50572.1" /db_xref="Phytozome:Brara.H01295" /translation="MAIIGDALRQAFMPKQEYESLREEDRAWIKLQRPVLTSIVAFLC FVIFTCTVVSLRIVFPSNVLRRPFCSDVKVQPLPTYGKARDSDLFPGAFYLTDQETVD FYWMVVFVPSTMVFLVSSVYLVAGIFVAYSVPHRHWFLKVVENNYCASRRGGVRCLSI LNVVFAIIYGLLAIFLGSTLLTLGSSCSMPLFWCYEISSWGLVILYAGTAFCLRRRAA LTIDEGEFGNRNHQGLEMLEANPLVFTPEVERRVNEGFKAWMGPSLLSSDEEEEDESE FYNEVPNVTARTLSSRQRS" gene complement(14133785..14138638) /locus_tag="BRARA_H01296" /db_xref="Phytozome:Brara.H01296" mRNA complement(join(14133785..14134076,14134217..14134435, 14134557..14134671,14134781..14135052,14135307..14136837, 14136967..14137095,14137199..14137302,14137442..14137536, 14137696..14137753,14137917..14137971,14138086..14138208, 14138415..14138638)) /locus_tag="BRARA_H01296" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01296" CDS complement(join(14133957..14134076,14134217..14134435, 14134557..14134671,14134781..14135052,14135307..14136837, 14136967..14137095,14137199..14137302,14137442..14137536, 14137696..14137753,14137917..14137971,14138086..14138147)) /locus_tag="BRARA_H01296" /codon_start=1 /product="hypothetical protein" /protein_id="RID50573.1" /db_xref="Phytozome:Brara.H01296" /translation="MKAPTNLPQDGLQGTQKGSHGRTCGPARRSTKGQWTPEEDQVLC KAVERFQGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDNTIIALVEKY GPTKWSTICQHLPGRIGKQCRERWHNHLNPAINKNAWTQEEELTLIRAHQIYGNKWAD LMKFLPGRSDNSIKNHWNSSVKKKLDSYYASGLLDQCQSSPLIPPQNRSIASSSSWTP SSGDEGKFTPGADAEESECSQASTVFSCSQTTNDLLDEVKPADEEFYIPELPSEKEQQ ISNSPSHAESSKKYQNQTEVRTTTATEDHLQGDCPQLLTHNMAGEGRNEACQDLQNSV RLSDQPSSPNSDTDIHPQPQTLITDEECCMVLFPNNMKDSDTSYGEHGQNMVEPQKAN GSHANEIGNIPALSWHPSNSEGRAGHSSVPLLYSDMKDSLLLRNDSNDTIQGIHLLGS TALERKTDTNDGFIDADGLVTSHGIDDNDGIPEQQDLSYIPKDSLKLVPLNNFSSPAR VKKIHFPIDDKPTEKDKGTLCYEPPRFPSADIPFFSCDLAPSSSDLRQEYSPFGIRQL MISSMSCTTPSRLWDSPCHDKTPDVMLKDAAKSFSGAPSILKKRHRDFLSPVLDRRKG KMVKSAEASSLAKDFSRLDVMLDDGDDNKGASSSEAKEDPKETLESGVVTSAKIDQET RRSLAYHNDVEMKLSSPDKTGSRPANEVNTVAKDPSNQHEKSVGTIPTGEISSELPFT TDSIPLSAFAEINTNTAESSLDIIENYSIFDGTPFKKLLDTPSPWKSPSLFGSFSQSP NLPPEITFEDIGCFMSPGERSYDAIGLMKHLSEHTATAYADALEVLGNDTPETILKKR QMNRSIQGKENQLWPHDQLENRSQVECRALDFSDCVTPGKAKVSSSSPRGYTSPSSYL LKSCR" gene complement(14139144..14142109) /locus_tag="BRARA_H01297" /db_xref="Phytozome:Brara.H01297" mRNA complement(join(14139144..14139449,14139707..14139770, 14139858..14140005,14140108..14140420,14140517..14140639, 14140706..14140854,14140957..14141099,14141513..14141662, 14141758..14141836,14141912..14142109)) /locus_tag="BRARA_H01297" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01297" CDS complement(join(14139350..14139449,14139707..14139770, 14139858..14140005,14140108..14140420,14140517..14140639, 14140706..14140854,14140957..14141099,14141513..14141662, 14141758..14141836,14141912..14141962)) /locus_tag="BRARA_H01297" /codon_start=1 /product="hypothetical protein" /protein_id="RID50574.1" /db_xref="Phytozome:Brara.H01297" /translation="MSIPCLTEETAKTVLRQVEFYFSDSNLPIDDFLKKTVTQSEDGL VSLALICSFSKMRGYLKLGDSKGDEIPEDTIKAVADTLRTSKALKVSEDGQKVGRSTE MLKLEDLIEQLNARTVAASPFSFDVKRDDVEAFFSQYGKVNSLRLPRHVAETRVFCGV ALVEFPTEEDAQNVIKQKLVFAGLDLDMKPKKEFDDEREKDEEKFADYRPQKGSANQK NGSDHKSGSDYEANYPKGLIISFTLKRSDEEGTTEEKSSEEPTAKTMDENESKPADTE NTDQVQGQGTEGEDEEKSPDSPTKNGEREEKGALATHKDNKEVVLREDLKAVFGKFGD VKFVDFKMGAETGYLRFDEPEASQKARAAAVLAKEGGLSVKNFIAVLEPLTGEAEKEY WGLLRSKDKGGRGGRGGKRGGGRGRFWRKRGSDSPGGRWNKAQKVEA" assembly_gap 14145633..14155632 /estimated_length=unknown /gap_type="between scaffolds" gene 14164720..14166204 /locus_tag="BRARA_H01298" /db_xref="Phytozome:Brara.H01298" mRNA join(14164720..14164942,14165432..14166204) /locus_tag="BRARA_H01298" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01298" CDS join(14164729..14164942,14165432..14166057) /locus_tag="BRARA_H01298" /codon_start=1 /product="hypothetical protein" /protein_id="RID50575.1" /db_xref="Phytozome:Brara.H01298" /translation="MASNSREARRRKILERGSDRLAFITGQINGVPPPPPPSSDPTSL SQSPLRTSDSSPETIPPRDQIPTDGETAFTSHQENISEASMLANMDPIIHQSRADSLK YTETLAEASSSSVPRDTRVQPSPATPSVVDLGASQAFTPLVSFVNAITPKHVGAAIDA SEYARMFSSLVIALLVVLSHLGFSSLGSSIVSFRPVFLLLLTDATIVLGRVLLSHHGD PSSASRRENSVMNGQGIADQVGNALEMVMMMKKIMNAVSMDFSLYAVFLICGLLFTQN IFA" gene complement(<14166353..>14167903) /locus_tag="BRARA_H01299" /db_xref="Phytozome:Brara.H01299" mRNA complement(<14166353..>14167903) /locus_tag="BRARA_H01299" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01299" CDS complement(14166353..14167903) /locus_tag="BRARA_H01299" /codon_start=1 /product="hypothetical protein" /protein_id="RID50576.1" /db_xref="Phytozome:Brara.H01299" /translation="MSLEHVLNYLKRKHLENPSFSYALEDEDNVGNVFWADPTCRLNY THFGDTLVFDTTYLRNSQVPFAAFTGFNHHGHPLLFGCALILNQSQSSFAWLFHSWLQ AMSSPPPPPSITLEPDPVIHLAASQVFPQARLRFSLPLIMEKLAHVFQSHPGFQSEFL SCVRETETVAEFEGAWDSVVRRYCLEEDHWLQSIYNVRQQWVPVFIKDTFFGELSSEN KNSFFHGFVDGSTTMEMIMAQYEEAVDSWRVKELRADYESTNSTPVLKTASPMEKQAA GVYTRAAFMKFQEEFLEISANPVSKMSDSTYRVGKFGQGHTVEFESLEEVKANCSCRI FEYSGIVCRHILAVFSARNVFTLPSRYLLRRWTKEAKSSGEFSNSGCPESSLTVCYDN LRQEATKYVEEGAKSIQIYKAAVVALDEAAKKVAAASSKTRGGATNGDSYQSDETQET ANGMYHPLQCQGEKERTILELTAELERTGQRCEAYRANLLSILRDMEEHKFQLSLKVQ NARLSLKE" gene 14174654..14176547 /locus_tag="BRARA_H01300" /db_xref="Phytozome:Brara.H01300" mRNA join(14174654..14175261,14175574..14175817, 14175925..14176547) /locus_tag="BRARA_H01300" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01300" CDS join(14174947..14175261,14175574..14175817, 14175925..14176298) /locus_tag="BRARA_H01300" /codon_start=1 /product="hypothetical protein" /protein_id="RID50577.1" /db_xref="Phytozome:Brara.H01300" /translation="MFGGGNRRDEGSMPIQNTNLFAALDTRKKKKKSDKAGGKSKGSS SSQKEPEPQVFWAPTPLKAKAWADIDSDDEDDDYFVTTAPPQALWNASEASRSDVKET HVEESESEEDILDEGDDDDLEEEQETQVHPEAEPEVKKSPEVPAPPKEAERQLSKKER RQKELAELEALLADFGVAPTGQDNTQDNQEKKEVNGEGEKKENATGESKASKKKKKKD KQKEAKESQEEVKSNADAAGGESAEQEQEEASSSMDIQERLKKIASMKKKKSSKETDA AAKVAAQEAAARKAKLAAAKKKKEKSHYNQQPVR" gene complement(14177638..14179978) /locus_tag="BRARA_H01301" /db_xref="Phytozome:Brara.H01301" mRNA complement(join(14177638..14178247,14178331..14178607, 14178695..14178820,14178895..14179537,14179674..14179978)) /locus_tag="BRARA_H01301" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01301" CDS complement(join(14177981..14178247,14178331..14178607, 14178695..14178820,14178895..14179496)) /locus_tag="BRARA_H01301" /codon_start=1 /product="hypothetical protein" /protein_id="RID50578.1" /db_xref="Phytozome:Brara.H01301" /translation="MGVSLLTTKAHTLNLPFMEHSSNDHIIDIPSSSSHEPLHHEEER PSSSTASVSHPVTASSSSSVRSNPRTPRRRRSPLNSGLWISIELLLTLGQIIAAIVVL SLSKHEHPRAPLFAWIVGYACGCVATLPLLYWRYYHFSHPSEQDPAQHRPNLNVAAGP FAFSISRSSEGGDARQTNNNNNNTSSSRGSSRYPGFISAARLKVLMEYFKMALDCFFA IWFVVGNVWIFGGHSSASEAPNLYRLCLVFLTFSCIGYAMPFILCTTICCCLPCIISI LGYREDLTQPRGATPESINALPTHKFKLKKSRSSGSSSIEGGVVAAGTDNERAISGED AVCCICLAKYANNEELRELPCSHFFHKECVDKWLKINASCPLCKSEVGEKNSDLTSQG VLSSLSSGENDNTQQQRNEHRVDNGLAHSII" gene 14183169..14185567 /locus_tag="BRARA_H01302" /db_xref="Phytozome:Brara.H01302" mRNA join(14183169..14183534,14184120..14184292, 14184371..14184627,14184697..14184793,14184872..14185097, 14185253..14185567) /locus_tag="BRARA_H01302" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01302" CDS join(14183303..14183534,14184120..14184292, 14184371..14184627,14184697..14184793,14184872..14185097, 14185253..14185344) /locus_tag="BRARA_H01302" /codon_start=1 /product="hypothetical protein" /protein_id="RID50579.1" /db_xref="Phytozome:Brara.H01302" /translation="MMVNHNNDDRTTDVDSHLRQKEQDKLLFHDFLGSKTETLASTSM ADHSLPLDKAVKLAMTSASSVGGRGGLSSTSDLVERQGSGGGNHLDGRQLFGPRSEVS GSIMSNRFSGTKRSNSDSQFTSQEHPETLHWSKMLRNGPGSLSMNMNHMANQSPRGGG QISHLLHQLSSSRFKDENVGPSVISQTAADESSRTGMKGPGIMSHFTMPNPSKVECFA PSSTGNRKELTSSTKQMTIFYGGQAHVFDDVHPNKADVIMTLAGSSGGSWSTDLSHKP KTKNNTSDGPYKLSQMYEGGSSRETPFLSSEFRARPGHQATSSACQRIFTQPGREHQG SIISRGRETRDLVHVSDPEKKPRD" gene complement(14185702..>14191059) /locus_tag="BRARA_H01303" /db_xref="Phytozome:Brara.H01303" mRNA complement(join(14185702..14186099,14186196..14186402, 14186515..14186631,14186706..14186861,14186956..14187105, 14187185..14187294,14187373..14187490,14187567..14187780, 14188532..14188614,14190206..14190246,14190349..14190446, 14191028..>14191059)) /locus_tag="BRARA_H01303" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01303" mRNA complement(join(14185702..14186099,14186196..14186402, 14186515..14186631,14186706..14186861,14186956..14187105, 14187185..14187294,14187373..14187490,14187567..14187785)) /locus_tag="BRARA_H01303" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01303" CDS complement(join(14185956..14186099,14186196..14186402, 14186515..14186631,14186706..14186861,14186956..14187105, 14187185..14187294,14187373..14187490,14187567..14187780, 14188532..14188614,14190206..14190246,14190349..14190446, 14191028..14191059)) /locus_tag="BRARA_H01303" /codon_start=1 /product="hypothetical protein" /protein_id="RID50581.1" /db_xref="Phytozome:Brara.H01303" /translation="MSQTNWEADKMLDVYIYDYLVKRDLKATAQAFQAEGKVSSDPVA IDAPGGFLFEWWSGFDQLRSGLLQQLKPFMQSPQSFHQLNIKQPVSSSGPANSTGTAN TTGPSPGSAPSTPSTHTPGDAISMPNLPRSGSSKAITMFGTDGTATLTSPSNQLADMD RFVEDGSLDDNVESFLSNEDGDQRDAVGRCMDVSKGFTFTELNSVRASTSKVTCCHFS SDGKMLASAGHDKKAVLWHTDTMKPKTTLEEHTAMITDVRFSPSLPRLATSSFDKTVR VWDADNKGYSLRTFMGHSSMVTSLDFHPNKDDLICSCDTDGEIRYWSINNGSCTRVYK GGSTQLRFQPRVGKYLAASSANVVSVLDVETQACRHSLQGHANQINSVCWDPSGDFLA SVSEDMVKVWTLGTGSEGECVHELSCNGNKFQSCVFHPTYPSLLVIGCYQSLELWNMS ENKTMTLPAHEGLIASLAVSTSTGLVASASHDKLVKLWK" CDS complement(join(14185956..14186099,14186196..14186402, 14186515..14186631,14186706..14186861,14186956..14187105, 14187185..14187294,14187373..14187490,14187567..14187659)) /locus_tag="BRARA_H01303" /codon_start=1 /product="hypothetical protein" /protein_id="RID50580.1" /db_xref="Phytozome:Brara.H01303" /translation="MPNLPRSGSSKAITMFGTDGTATLTSPSNQLADMDRFVEDGSLD DNVESFLSNEDGDQRDAVGRCMDVSKGFTFTELNSVRASTSKVTCCHFSSDGKMLASA GHDKKAVLWHTDTMKPKTTLEEHTAMITDVRFSPSLPRLATSSFDKTVRVWDADNKGY SLRTFMGHSSMVTSLDFHPNKDDLICSCDTDGEIRYWSINNGSCTRVYKGGSTQLRFQ PRVGKYLAASSANVVSVLDVETQACRHSLQGHANQINSVCWDPSGDFLASVSEDMVKV WTLGTGSEGECVHELSCNGNKFQSCVFHPTYPSLLVIGCYQSLELWNMSENKTMTLPA HEGLIASLAVSTSTGLVASASHDKLVKLWK" gene complement(<14199924..>14201747) /locus_tag="BRARA_H01304" /db_xref="Phytozome:Brara.H01304" mRNA complement(join(<14199924..14200148,14200235..14200357, 14200787..14201035,14201112..>14201747)) /locus_tag="BRARA_H01304" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01304" CDS complement(join(14199924..14200148,14200235..14200357, 14200787..14201035,14201112..14201747)) /locus_tag="BRARA_H01304" /codon_start=1 /product="hypothetical protein" /protein_id="RID50582.1" /db_xref="Phytozome:Brara.H01304" /translation="MDSHSCHQTKQIILVHGPIIIGAGPSGLATSACLSSRGVPSLIL ERSDSIASLWKSKTYDRLKLHLPKHYCRLPLLDFPENFPKYPSKNEFLDYLESYASHF GIVPRFNENVQNASYDSSSGLWRVKTLSGAEYLSKWLVVATGENADAYVPEVPGILKF SGGRIIHASEYKSGEEFRQQKVLVVGCGNSGMEISLDLVRHNASPHLVVRNTVHVLPR EILGLSTFGIGMTLLKCLPLRFVDKFLLLMANLSFGNTDRLGLRRPKTGPLELKNLTG KTPVLDVGAMTLIRSGKIQIMEGVKEITKKGAKFMDGQEKEFDSIIFATGYKSNVPTW LQGSDFFTKEGMPKTPFPNSWRGGKGLYTVGFTRRGLLGTASDAVKIAGEIADQWRDI KGATKNLCSSRFVIISKS" assembly_gap 14202836..14212835 /estimated_length=unknown /gap_type="between scaffolds" gene 14221879..14224787 /locus_tag="BRARA_H01305" /db_xref="Phytozome:Brara.H01305" mRNA join(14221879..14221990,14222272..14222530, 14222703..14222810,14222887..14222979,14223068..14223160, 14223256..14223341,14223428..14223688,14223780..14223913, 14224026..14224118,14224201..14224318,14224400..14224787) /locus_tag="BRARA_H01305" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01305" CDS join(14222275..14222530,14222703..14222810, 14222887..14222979,14223068..14223160,14223256..14223341, 14223428..14223688,14223780..14223913,14224026..14224118, 14224201..14224318,14224400..14224567) /locus_tag="BRARA_H01305" /codon_start=1 /product="hypothetical protein" /protein_id="RID50583.1" /db_xref="Phytozome:Brara.H01305" /translation="MVSYGALVVTMVVVVVTMQWLGCNFAEGYPEEELVVRLPGQPKV LFRQYAGYVEVDSKTGRSLFYYFVEAATQPETKPLTLWLNGGPGCSSVGGGAFTELGP FYPTGDGRGLRINPMSWNKASNLLFVESPAGVGWSYSNTSSDYNTGDETTAKDMLVFL MRWFSKFPELKARDFFLTGESYAGHYIPQLADAILSYNRESSGFKINVKGIAIGNPLL KLNTDTSAVYEYLWSHGIISDELRQEIIRQCDFNNIPNLSNACIKAATEAGVVSQFID VDDVLRDLCYPSIVQQQLRLKKMATHMSIGVDVCMTYERKFYFNLPEVQHALHANRTR LPYEWSMCSTLVNYRGIDSNISMLPLLKRIIQNKTPVWIFSGDQDSIVPFLGTRTNIR ELAHDLNFSTTLPYGHWFHKDQVGGWVTEYGKLLTFATVRGAAHMVPYAQPARALHMF SCFVRGRRLPNNTHYPHDE" gene complement(14225587..>14236310) /locus_tag="BRARA_H01306" /db_xref="Phytozome:Brara.H01306" mRNA complement(join(14225587..14226095,14226182..14226278, 14226415..14226555,14226646..14226754,14226950..14227302, 14227387..14227479,14227555..14227744,14227873..14228023, 14228525..14228573,14228747..14229861,14230016..14230133, 14230215..14230433,14230547..14230768,14230895..14231047, 14231138..14231488,14231636..14231848,14231977..14232216, 14232315..14232476,14232827..14233027,14233167..14233367, 14233453..14233558,14233711..14233775,14234192..14235034, 14235128..14235350,14235495..14235889, 14236275..>14236310)) /locus_tag="BRARA_H01306" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01306" mRNA complement(join(14225587..14226095,14226182..14226278, 14226415..14226555,14226646..14226754,14226950..14227302, 14227387..14227479,14227555..14227744,14227873..14228037)) /locus_tag="BRARA_H01306" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01306" CDS complement(join(14226028..14226095,14226182..14226278, 14226415..14226555,14226646..14226754,14226950..14227302, 14227387..14227479,14227555..14227744,14227873..14228023, 14228525..14228573,14228747..14229861,14230016..14230133, 14230215..14230433,14230547..14230768,14230895..14231047, 14231138..14231488,14231636..14231848,14231977..14232216, 14232315..14232476,14232827..14233027,14233167..14233367, 14233453..14233558,14233711..14233775,14234192..14235034, 14235128..14235350,14235495..14235889,14236275..14236310)) /locus_tag="BRARA_H01306" /codon_start=1 /product="hypothetical protein" /protein_id="RID50585.1" /db_xref="Phytozome:Brara.H01306" /translation="MDSDSSRSRIDQFYVSKKRKHPSPNLKSGRNEKHVKVTGERSPG DKGSLDSYLKASSLEDDKNTTNSVLHARQEAFTKKLDLERLPKPASAGTSKGCLNQQS GSQVLDKEGVATVATDGLLCANQKDNSDLRDFATGFLSLYCSEVRSVVGQPPHQNANE RKRPSSSSLLAQDMQVSHKMRCDSQNIPSLDELAHPLGSKPESISDKRDTSVSDPMQK IPSNQSAEISMGLRKCTKAPESSTHLTECHTPGSVVKSRPVGTPKSGSGSIMFSPGDS FWNEAILFADGLSMPTENSGSVEAKDEGQNSEKADNCSENLKKSLGLDKSRVNDKDAI GYSKVGEKHGRDFNRQVSPLPVKNLELLFQDKKINGGTREQCASLDQNNITRGNSTVS ESVLVENKGRGTLDIAGNAQANKHLIGRKYPEPEGKQVLVCQDNHSVRSVSMISNMRK PADSSESEESYTPSSSHHNKDGLSLSTWLPSEVCSVYNKKGISKLYPWQVECLQVDGV LEKRNLVYCASTSAGKSFVAEVLMLRRVITTGKMALLVLPYVSICTEKAEHLELLLEP LGKQVRSYFGNQGGGTLPKGTAVAVCTIEKANSLINRLLEEGRLSELGIIVIDELHMV GDQHRGYLLELMLTKLRYAAGEGSSESSSGESSGNSSGKTDPTHGLQIVGMSATMPNV GAVADWLQAALYQTEFRPVPLEEYIKVGNTIYNKKMEVVRTIPKAADMGGKDPDHIIE LCNEVVQEGNSVLIFCSSRKGCESTARHISKLIKKVPIDVDGEHSEFMDIQSAIDALR RSPSGVDPVLEETLPSGVAYHHAGLTVEEREIVETCYRKGLVRVLTATSTLAAGVNLP ARRVIFRQPMIGRDFLDGTRYKQMAGRAGRTGIDTKGESVLICKPGELKRIMALLNES CPPLESCLSEDKNGMTHAILEVVAGGIVQTAKDIHRYVRCTLLNSTKPFQDVVKSAQD SLRWLCHRKFLEWNEETKLYTTTPLGRGSFGSSLCPEESLIVLDDLLRSREGLVMASD LHLVYLVTPINVGVEPNWELYYERFMELSPLEQSVGNRVGVVEPFLMRMAHGATVRTL KKPQDVNKKLRGEYNNRHGSTSSKMLSDEEMLRVCKRFFVALILSKLVQEASVSEVCE AYKVARGMVQALQENAGRFSSMVSVFCERLGWHDLEGLVAKLQNRVSFGVRAEIVELT SIPYIKGSRARALYKAGLRTCQAIAEASIPEIVKALFETSAWAEEGTGQRRIQLGLAK KIKNGARKIVLEKAEEARAAAFSAFKSLGLDVHDLSNPLPLAPARSPNEQVTIERDIS GSSVAPSELQHVPGQSSMEGHMEHGNFDADNHREKPMEVSGAALGVSLEVNLSDPLPD FQPIGTTVGTDGLNAVSILSSDDRDVRNEDNAEQHLARNADIPLSNKDNAGEKGPITA GNIRGGFDSFLELWDSAGEFFFDIHFNKLQGLNSRISYEIHGIAICWDSSPVYYVNLN KDLLSLECAEKLSKDTAIGKKEVLGTHNVFDVIKSRWNRISKIMGNEKTRKFAWNLKV QIQVLKSPAISIQRCTRLNLEEGIRDFELVDGSWLMMPPLRISHTIDMSIVTWILWPD EERHSNPNIDKEVKKRLSPEAAEAANRTFELAGMTFSLHSPADISNVLFRQLKLPIPE NHNKGKLHPSTDKHCLDLLRNEHPVVPVIKEHRTLAKLLNSTLGSICSLAKLRLSTQR YTLHGHWLQTSTATGRLSIEEPNLQSVEHEVEFILDKSGKEDRYKINARDFFVPTQEN WLLLTADYSQIELRLMAHFSRDSSLIAQLSQPEGDVFTMIAAKWTGKTEDTVGPHDRD QTKRLIYGILYGMGANTLAEQLECSSDEAKEKIRSFKSSFPAVTSWLNETVSFCQEKG YIQTLKGRRRFLSKIKFGNAKEKSKAQRQAVNSVCQGSAADIIKIAMINIYSAISEDV NTSASSSSTEARCHMLKGRCRILLQVHDELVLEVDPSYAKEAAMLLQSSMENAVSLLV PLHVKLKIGKTWGSLEPLQAD" CDS complement(join(14226028..14226095,14226182..14226278, 14226415..14226555,14226646..14226754,14226950..14227302, 14227387..14227479,14227555..14227744,14227873..14228006)) /locus_tag="BRARA_H01306" /codon_start=1 /product="hypothetical protein" /protein_id="RID50584.1" /db_xref="Phytozome:Brara.H01306" /translation="MTFSLHSPADISNVLFRQLKLPIPENHNKGKLHPSTDKHCLDLL RNEHPVVPVIKEHRTLAKLLNSTLGSICSLAKLRLSTQRYTLHGHWLQTSTATGRLSI EEPNLQSVEHEVEFILDKSGKEDRYKINARDFFVPTQENWLLLTADYSQIELRLMAHF SRDSSLIAQLSQPEGDVFTMIAAKWTGKTEDTVGPHDRDQTKRLIYGILYGMGANTLA EQLECSSDEAKEKIRSFKSSFPAVTSWLNETVSFCQEKGYIQTLKGRRRFLSKIKFGN AKEKSKAQRQAVNSVCQGSAADIIKIAMINIYSAISEDVNTSASSSSTEARCHMLKGR CRILLQVHDELVLEVDPSYAKEAAMLLQSSMENAVSLLVPLHVKLKIGKTWGSLEPLQ AD" gene complement(<14238626..>14241834) /locus_tag="BRARA_H01307" /db_xref="Phytozome:Brara.H01307" mRNA complement(join(<14238626..14238713,14240377..14240821, 14240831..14241174,14241179..>14241834)) /locus_tag="BRARA_H01307" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01307" CDS complement(join(14238626..14238713,14240377..14240821, 14240831..14241174,14241179..14241834)) /locus_tag="BRARA_H01307" /artificial_location="low-quality sequence region" /codon_start=1 /product="hypothetical protein" /protein_id="RID50586.1" /db_xref="Phytozome:Brara.H01307" /translation="MEKLKHLSLVSNICNELETHVGVVEPKDLAEYIIHLGRNSDTAD ELDKKLKKDDAELPDYFVRSLLTVIHGIYPPKSEEKGRFKEEIEREAQREEGRDRGGR SGGDRYRRGRSRDREPELYQVYKGRVNRVMESGWFVQFDRFRGKEGLVHVSQMGAAES LVKRDMQVYVKVLSGGAKYSLSMKDVDQNTGRDLNKPRRSNPSFRTKDTIRILGGASF TRPLKKKMSSPERWEAKQMIASGALKATDFPDYDEEDGDGMFYQEEEELEIEMNEEEP AFLQGHSADMSLVKIFRNPQGSLSRAAALQSALTKEMREMRDQQQRTMLDSIPKDLNQ DPMPETGERHLAQELRGVGLSAYDMPEWKKDAFGRTPTLGQRSKLSIQEQRESLPIYK LKTELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTKGKIGCTQPRRVAATSVA KRVAEEFGCRLGEEVGYAIRFEDCTGPDTVINKRKRQERIEPLYDRYHEPNSWRLSKR RG" assembly_gap 14242139..14252138 /estimated_length=unknown /gap_type="between scaffolds" gene <14261691..>14262706 /locus_tag="BRARA_H01308" /db_xref="Phytozome:Brara.H01308" mRNA join(<14261691..14261789,14261875..14262171, 14262251..>14262706) /locus_tag="BRARA_H01308" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01308" CDS join(14261691..14261789,14261875..14262171, 14262251..>14262706) /locus_tag="BRARA_H01308" /codon_start=1 /product="hypothetical protein" /protein_id="RID50587.1" /db_xref="Phytozome:Brara.H01308" /translation="MSTPRNQNGARRGRKSNNEARTTIDGETTREGHYLWKDKNCQVM LELVIAELRAGDYRSRMPDAAAKKRIENKYFELAGEKICWDPEITNKICYLRKLWSIN GQLMKRTGVAVDQSTGQIDMMQTWWADRIAEYGNKGKFVSVLQKNPLPFKDLLDQIFG EHDVEQDERYSPHMLGQHIQQIQPSLPSNDDTVFDQMQEDQSVEQIADATNDQGSQPP TRRTSARRPSRRRSSFETQVESGFQRVIDTRQDILEELRSRKVQKLSYGDATAVLEKL PIEQLGVF" gene 14264752..14266593 /locus_tag="BRARA_H01309" /db_xref="Phytozome:Brara.H01309" mRNA join(14264752..14264976,14265083..14265160, 14265901..14266071,14266175..14266593) /locus_tag="BRARA_H01309" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01309" CDS join(14264858..14264976,14265083..14265160, 14265901..14266071,14266175..14266340) /locus_tag="BRARA_H01309" /codon_start=1 /product="hypothetical protein" /protein_id="RID50588.1" /db_xref="Phytozome:Brara.H01309" /translation="MSGMVDASSWGAALVRISPYTFSAIGIAISIGVSVLGAAWGIYI TGSSLIGAAIEAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPSSKMYDAESL RAGYAIFASGIIVGFANLVCGLCVGIIGSSCALSDAQNSTLFVKILVIEIFGSALGLF GVIVGIIMSAQATWPTK" gene 14266855..14269848 /locus_tag="BRARA_H01310" /db_xref="Phytozome:Brara.H01310" mRNA join(14266855..14266999,14267239..14267468, 14267558..14267753,14267822..14267996,14268082..14268312, 14268399..14268521,14268606..14268710,14268797..14268883, 14268976..14269083,14269181..14269253,14269435..14269848) /locus_tag="BRARA_H01310" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01310" CDS join(14267252..14267468,14267558..14267753, 14267822..14267996,14268082..14268312,14268399..14268521, 14268606..14268710,14268797..14268883,14268976..14269083, 14269181..14269253,14269435..14269829) /locus_tag="BRARA_H01310" /codon_start=1 /product="hypothetical protein" /protein_id="RID50589.1" /db_xref="Phytozome:Brara.H01310" /translation="MQACCGGTSMGSLQQPARVQGPVFAPITSPVTKFSQQLKLNFPR PCPSLFLRKSSVFERRASSVSAPDVETTSNEIPFEDYSRREVDPEVDDIITKEKNRQF RSLELIASENFTSRAVMETVGSCLTNKYSEGLPGKRYYGGNEFIDQLETLCQNRALAT FRLDSTKWGVNVQPLSGSPANFAVYTAILKPHDRIMGLDLPHGGHLSHGFMTAKRRVS GTSIYFESMPYRLDESTGIVDYDMLEKTAALFRPKLIIAGASAYSRDFDYPRMRKIAD SVGAFLMMDMAHISGLVAASVVADPFEYCDIVTTTTHKSLRGPRGGMIFFKKDPVNGV ELESAINNAVFPGLQGGPHNHTIGGLAVCLKHAQSPEFKAYQKRVVANCRFLANRLVE LGFKLVSGGSDNHLVLVDLRPLGMDGARVEKILDMASITLNKNSVPGDKSALVPGGIR IGTPAMTTRGLSEKDFVVVADLIKEGVEITMEAKKLVSGTKLGEFTKFVTSAEFPLRE RVESLKDRVESLHLSFPHSWSLKRRKQMMSCCVPFNYLSLTHFYLLGFYQLLNLVCVP SFL" gene 14270124..14271326 /locus_tag="BRARA_H01311" /db_xref="Phytozome:Brara.H01311" mRNA join(14270124..14270277,14270369..14270526, 14270692..14270739,14271062..14271326) /locus_tag="BRARA_H01311" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01311" CDS join(14270184..14270277,14270369..14270526, 14270692..14270739,14271062..14271130) /locus_tag="BRARA_H01311" /codon_start=1 /product="hypothetical protein" /protein_id="RID50590.1" /db_xref="Phytozome:Brara.H01311" /translation="MSSFLKSFLDPKKNFLARMHMKAVSTRLRRYGLRYDDTYDQYYH MDIKEALNRLPREVVDARNQRLKRAMDLSMKHEYLPKDLQAVQTPFRSYLQEMLALVE RERKEREALGALPLYQRTLP" gene complement(14273522..14276891) /locus_tag="BRARA_H01312" /db_xref="Phytozome:Brara.H01312" mRNA complement(join(14273522..14274149,14274236..14274288, 14274368..14274515,14275041..14275637,14275733..14276144, 14276732..14276891)) /locus_tag="BRARA_H01312" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01312" CDS complement(join(14274486..14274515,14275041..14275637, 14275733..14276122)) /locus_tag="BRARA_H01312" /codon_start=1 /product="hypothetical protein" /protein_id="RID50591.1" /db_xref="Phytozome:Brara.H01312" /translation="MRFRKGSRVEVFSSKEAPYGAWRCAEIISGNGHTYSVRYYSFNE DAVVERAPRKMIRPCPPQVDVERWKSGELVEVLDDFSWKAATVREELSGKYYVVRLLG TPAEFTFHKANVRVRQSWQDERWVAIGKISGSAKSSTVTGTDRKLQPQMKSILLREPS VVSPRVLKRPLPHNWSECAESYTGKTKKIRSLEASSKNPQTVRVRSKGLGESLVADDC YDSDACSVGSCSATSYDGSNMRPCMLDGFSQQADSCSSDAESYCGVGEEEARRKHSLG GDGARRSCRSELYTYRSTLGDLFASGPLSWEQETSLTDLRLSLNISDDEHLMELYEVC CIAV" gene complement(<14278100..>14281510) /locus_tag="BRARA_H01313" /db_xref="Phytozome:Brara.H01313" mRNA complement(join(<14278100..14278447,14279679..14279792, 14279933..14280041,14280260..14280341,14280453..14280660, 14280759..14280929,14281048..14281179,14281264..14281395, 14281508..>14281510)) /locus_tag="BRARA_H01313" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01313" CDS complement(join(14278100..14278447,14279679..14279792, 14279933..14280041,14280260..14280341,14280453..14280660, 14280759..14280929,14281048..14281179,14281264..14281395, 14281508..14281510)) /locus_tag="BRARA_H01313" /codon_start=1 /product="hypothetical protein" /protein_id="RID50592.1" /db_xref="Phytozome:Brara.H01313" /translation="MGFQLLISVLLVSSFYAHYGDSDSEFISVRDFLSDSGNTNADQS QAIQDAWKALCAGKSKSLVIHANEVYTLLPQVFQGPCVASNPHIQIDGKIEAPKLVKD WGSNKPENWLSFDKVSGLTITGSGLLDPHGESWWSTVKFDSRPQALQFNRCNNLIYNG LTQRNSPKNHISISNCIQATLSNLHLIAPANSPNTDGIDISLSQQINIFSSAIQTGDD CIAIKTGSSDINITNVNCGPGHGISIGSLGEGGATETVENVHVQHCTFTGADNAARIK TWPGGGGYAKNIWYEDITLINTKFPIIIDQQYDDDFYKYAKGSAVKVSDVTFRYFRGT CAQPIAIKLDCDKIGCGNIVLEHINITSSSPQTSPSAICRFADVTSSFVNIDMKCVNH ADLQGPSPSPELPSQYDQPHAQLPQASAPHAQARPFLSFL" gene complement(<14296776..>14297198) /locus_tag="BRARA_H01314" /db_xref="Phytozome:Brara.H01314" mRNA complement(<14296776..>14297198) /locus_tag="BRARA_H01314" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01314" CDS complement(14296776..14297198) /locus_tag="BRARA_H01314" /codon_start=1 /product="hypothetical protein" /protein_id="RID50593.1" /db_xref="Phytozome:Brara.H01314" /translation="MNPLSCFLIVIALSAELSNGESYDKDSVHFINSLNPNNILRVHC LTHDDDLGYHLLSPGQTYEFSFYESIFTTKVNCALWQGPGFKFYAIFRAYTGGGFIVH YGRKNFWDAREDGIYISHGKDSPKLKYNWSTQALSPIY" gene complement(14304772..14307711) /locus_tag="BRARA_H01315" /db_xref="Phytozome:Brara.H01315" mRNA complement(join(14304772..14305453,14305534..14305644, 14305725..14305796,14305879..14305975,14306093..14306167, 14306243..14306283,14306369..14306617,14306691..14306903, 14307505..14307711)) /locus_tag="BRARA_H01315" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01315" mRNA complement(join(14304772..14305453,14305534..14305644, 14305725..14305796,14305879..14305978,14306093..14306167, 14306243..14306283,14306369..14306617,14306691..14306903, 14307505..14307711)) /locus_tag="BRARA_H01315" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01315" CDS complement(join(14305064..14305453,14305534..14305644, 14305725..14305796,14305879..14305975,14306093..14306167, 14306243..14306283,14306369..14306617,14306691..14306897)) /locus_tag="BRARA_H01315" /codon_start=1 /product="hypothetical protein" /protein_id="RID50595.1" /db_xref="Phytozome:Brara.H01315" /translation="MDPESVIMVADGSEPALVNSGLTMESVGIEVNGFASGETLDATS EIQNENSGDSSTLDAIEHSKEVAAEGTQVENVDEPKCIKGQKAQRKLKNEKISGGKSA PSSVHIKKKKERNGADAKVAASNGSVAPGAHTTKSLKSTPLNGREAQVTEHGKQEAAL AESTAGDKVKAKAQKKQVHETSEDDTQSSSNPQAEDGKPRKVGALPNYGFSFKCDQRA EKRREFYVKLEEKTHAKEEEINSMQAKSKETQEAELRKLRKSLNFKATPMPSFYQEPQ PPKTELKKIPPTRPKSPKLGRKKTDSEETQTPRLGRLSLDEKASKDNSASKGIVPTVD HKKQPLRKSLPRLPSQKTALPDGKPAATSAKVKPERKKPEKDAETSHLTEEEAQVTVS LRMDEERAETIEVSEVVAIEH" CDS complement(join(14305064..14305453,14305534..14305644, 14305725..14305796,14305879..14305978,14306093..14306167, 14306243..14306283,14306369..14306617,14306691..14306897)) /locus_tag="BRARA_H01315" /codon_start=1 /product="hypothetical protein" /protein_id="RID50594.1" /db_xref="Phytozome:Brara.H01315" /translation="MDPESVIMVADGSEPALVNSGLTMESVGIEVNGFASGETLDATS EIQNENSGDSSTLDAIEHSKEVAAEGTQVENVDEPKCIKGQKAQRKLKNEKISGGKSA PSSVHIKKKKERNGADAKVAASNGSVAPGAHTTKSLKSTPLNGREAQVTEHGKQEAAL AESTAGDKVKAKAQKKQVHETSEDDTQSSSNSPQAEDGKPRKVGALPNYGFSFKCDQR AEKRREFYVKLEEKTHAKEEEINSMQAKSKETQEAELRKLRKSLNFKATPMPSFYQEP QPPKTELKKIPPTRPKSPKLGRKKTDSEETQTPRLGRLSLDEKASKDNSASKGIVPTV DHKKQPLRKSLPRLPSQKTALPDGKPAATSAKVKPERKKPEKDAETSHLTEEEAQVTV SLRMDEERAETIEVSEVVAIEH" gene <14325495..>14326298 /locus_tag="BRARA_H01316" /db_xref="Phytozome:Brara.H01316" mRNA join(<14325495..14325537,14325827..14325939, 14326056..14326142,14326224..>14326298) /locus_tag="BRARA_H01316" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01316" CDS join(14325495..14325537,14325827..14325939, 14326056..14326142,14326224..14326298) /locus_tag="BRARA_H01316" /codon_start=1 /product="hypothetical protein" /protein_id="RID50596.1" /db_xref="Phytozome:Brara.H01316" /translation="MQQDNDVELSSLEMGKGCVFTYAAVGSYEKVGYSAQGSGSTLIM RSLTISSRDAITPLSEPEAVDLVNTVFASATERDIYTGDKLEIMILKADDIRTEVMEL RKD" gene complement(<14331032..>14333530) /locus_tag="BRARA_H01317" /db_xref="Phytozome:Brara.H01317" mRNA complement(<14331032..>14333530) /locus_tag="BRARA_H01317" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01317" CDS complement(14331032..14333530) /locus_tag="BRARA_H01317" /codon_start=1 /product="hypothetical protein" /protein_id="RID50597.1" /db_xref="Phytozome:Brara.H01317" /translation="MRGFFFFFFFIITCLAFFLNPLHAGVPYNGSIAPGFAGSQMNYI NNGGIFLESVNKDFGFGFITTPDVTLFTLSIIHKSSSRLIWSANRASPVENSDKLQFQ DNGNVVLRREEQGGGGAEVWRLDNSGKNASRIELRDSGNLVVVSSDGASIWESFNHPT DTLITNQVFKEGMKLTSNSSSTSNMTYVLEIKSGDMFLSVNSLTPQVYWSMGSDSGSI TENVGVVTSSSLLGNSWRFFDDKQDLLWRFLITDNRDDNGTWIAVLGNNGVITFTSLG SGVSAADSSKKIPDDQCATPEPCDPYFVCSGSKVCGCVSGLSRARSDCKIGITSPCKK TDNNATLSVKLVNARDKVDYFALGFASPFSKNTSLDSCKEFCNSNCSCLGLFFQNSSG NCFLFDWIGSFKASKNGDSGFVSYIKVATNGDRGGDNGDDDGKHFPYIVIIVLVTIFI ISVLIFVAFRILRRKKTVLDDDQDQEQSSDEDNFLDNLSGMPIRFTYKDLQSATNDFS VKLGQGGFGSVYEGSLPDGSRLAVKKLEGIGQGKKEFRAEVSIIGSIHHLHLVRLRGF CAEGAHRLLAYEFLAKGSLERWIFSRRDEDILLDWDTRFNIAVGTAKGLAYLHEDCDA RIIHCDIKPENILLDDNFNAKVSDFGLAKLMTREQSHVFTTMRGTRGYLAPEWITNYA ISEKSDVYSYGMVLLELIGGRKNYDPSESSEKCHFPSYAFKMMEEGKLLEIVDGKMKN VDVDDERVQRAMKTALWCIQEDMHLRPSMSKVVQMLEGVFPVVQPPSSSTLGSRLYSS FFKSISEEGGGTSSGPSDCNSENYISAVRLSGPR" gene 14349604..14352099 /locus_tag="BRARA_H01318" /db_xref="Phytozome:Brara.H01318" mRNA join(14349604..14349913,14350013..14350089, 14350303..14350464,14350562..14350695,14350776..14350906, 14350981..14351025,14351107..14351204,14351289..14351396, 14351550..14351606,14351707..14352099) /locus_tag="BRARA_H01318" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01318" CDS join(14349763..14349913,14350013..14350089, 14350303..14350464,14350562..14350695,14350776..14350906, 14350981..14351025,14351107..14351204,14351289..14351396, 14351550..14351606,14351707..14351781) /locus_tag="BRARA_H01318" /codon_start=1 /product="hypothetical protein" /protein_id="RID50598.1" /db_xref="Phytozome:Brara.H01318" /translation="MRNPVLPVSDPPLAGENDTSDGKGVDDRLFKGSAMTKRGAYAAL SYMACAVMLVLFNKAALSSYQFPCVNVITLFQMVSSTFFLYSLRRKKIISFIAADSFS GSGSTSAFVPLKTLLHTLPLSIAYLMYMLASMASVRGVNVPMYTTLRRTTVAFTMVIE YMLTGQRYTRSIIGSVGVIIFGAFFAGARDLSFDFYGYGVVFLANITTAIYLATIARI GKSSGLNSFGLMWCNGIICGPILMIWTFMSGDLEKTISFPYLFSPGFMVVLLCSCVLA FFLNYSIFLNTTLNSALTQTICGNMKDLFTVGLGWMVFGGLPFDLMNVIGQLLGFLGS GLYAYYKIIGK" gene 14353897..14355422 /locus_tag="BRARA_H01319" /db_xref="Phytozome:Brara.H01319" mRNA join(14353897..14354213,14354294..14354393, 14354504..14354603,14354778..14354875,14354970..14355422) /locus_tag="BRARA_H01319" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01319" CDS join(14354075..14354213,14354294..14354393, 14354504..14354603,14354778..14354875,14354970..14355267) /locus_tag="BRARA_H01319" /codon_start=1 /product="hypothetical protein" /protein_id="RID50599.1" /db_xref="Phytozome:Brara.H01319" /translation="MEAPRRSLAASLSPLRLIDRTRSFNYSQMPEEPLKLTILKLDGS SFDVEVSKTATVRELKMDVEASFSHLPITVLGNVSWPHVWGQFCLSYDDQRLINEADY LVELGIRDGDQLRFIRRISSYSILMMKHKSKTPPGVSSFIQLKLPYRFSAQTETWKKK RTQGQEDGVDSITQTQAQPSFLSTVSGGWLSYKSSPSQRGTKHRNATASTSGHRRVLN KLITRFRFKCYSEKDVWNMTKLISET" gene 14366646..>14372680 /locus_tag="BRARA_H01320" /db_xref="Phytozome:Brara.H01320" mRNA join(14366646..14366771,14366855..14367103, 14367189..14367404,14367604..14367656,14367957..14368014, 14370266..14370386,14370496..14370540,14370620..14370668, 14370783..14370865,14370955..14371071,14371148..14371211, 14371299..14371445,14371526..14371684,14371763..14371846, 14371933..14372127,14372218..14372289,14372372..>14372467) /locus_tag="BRARA_H01320" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01320" CDS join(14366857..14367103,14367189..14367404, 14367604..14367656,14367957..14368014,14370266..14370386, 14370496..14370540,14370620..14370668,14370783..14370865, 14370955..14371071,14371148..14371211,14371299..14371445, 14371526..14371684,14371763..14371846,14371933..14372127, 14372218..14372289,14372372..14372467) /locus_tag="BRARA_H01320" /codon_start=1 /product="hypothetical protein" /protein_id="RID50600.1" /db_xref="Phytozome:Brara.H01320" /translation="MAGREDDEHDPPILDDKTEAEAKSSERDMAPQGPATSIHRSGSR PQLDLSKAEIQGNFEERDPTILLPNQSDDISHLALDIGGSLIKLLYFSRHEDYSNDDD KRKKTIKERLGLTNGKLRSYPVLGGRLHFVKFETHKINECLDFIHSKQLHRRDPYPWS SKTLPLGTGVIKVTGGGAYKFADLFKERLGVRFDVPSSRGSALRSDASALNVGVLHLV PTLEVFPLLADPKMYEPNTIDLSDQGEREYWLKVLSEHLPDLVDTAVASEGGTEDAKR RGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFQFVDAYRSIKQRENEA SLAVLPDLLEELDSMTEEARLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSR NKMQRPWRVDDFDAFKERMLGSGGKQPHRHKRALLFVDNSGADVILGMLPLAREFLRR GTEVVLVANSLPALNDVTAMELPDIVAGAAKHCDILRRAAEMGGLLVDAMVNPGDGSK NDLTSAPLMVVENGCGSPCIDLRQVSSELAAAAKDADLVVLEGMGRALHTNFNAQFKC EALKLAMVKNQRLAEKLINGNIYDSVCRYEPPSLS" mRNA join(14370208..14370386,14370496..14370540, 14370620..14370668,14370783..14370865,14370955..14371071, 14371148..14371211,14371299..14371445,14371526..14371684, 14371763..14371846,14371933..14372127,14372218..14372289, 14372372..14372680) /locus_tag="BRARA_H01320" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01320" CDS join(14370235..14370386,14370496..14370540, 14370620..14370668,14370783..14370865,14370955..14371071, 14371148..14371211,14371299..14371445,14371526..14371684, 14371763..14371846,14371933..14372127,14372218..14372289, 14372372..14372467) /locus_tag="BRARA_H01320" /codon_start=1 /product="hypothetical protein" /protein_id="RID50601.1" /db_xref="Phytozome:Brara.H01320" /translation="MTPSKTTGLGGFDVPSSRGSALRSDASALNVGVLHLVPTLEVFP LLADPKMYEPNTIDLSDQGEREYWLKVLSEHLPDLVDTAVASEGGTEDAKRRGDAFAR AFSAHLARLMEEPAAYGKLGLANLLELREECLREFQFVDAYRSIKQRENEASLAVLPD LLEELDSMTEEARLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRNKMQRPW RVDDFDAFKERMLGSGGKQPHRHKRALLFVDNSGADVILGMLPLAREFLRRGTEVVLV ANSLPALNDVTAMELPDIVAGAAKHCDILRRAAEMGGLLVDAMVNPGDGSKNDLTSAP LMVVENGCGSPCIDLRQVSSELAAAAKDADLVVLEGMGRALHTNFNAQFKCEALKLAM VKNQRLAEKLINGNIYDSVCRYEPPSLS" gene complement(14372794..14374759) /locus_tag="BRARA_H01321" /db_xref="Phytozome:Brara.H01321" mRNA complement(join(14372794..14373154,14373245..14373320, 14373408..14373480,14373558..14373650,14373803..14373859, 14374212..14374298,14374397..14374471,14374571..14374759)) /locus_tag="BRARA_H01321" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01321" CDS complement(join(14372941..14373154,14373245..14373320, 14373408..14373480,14373558..14373650,14373803..14373859, 14374212..14374298,14374397..14374471,14374571..14374621)) /locus_tag="BRARA_H01321" /codon_start=1 /product="hypothetical protein" /protein_id="RID50602.1" /db_xref="Phytozome:Brara.H01321" /translation="MSSKVSVSPTSLIDQIVVPGDVVLDLSNMTNQTIKLGSGLRQDS DAISAMRAGKFSYSKPNKYWVESSHKRYIPRTEDHVLGIVVDYKADNFWVDIKGPQIA LLPGLAFEGATRRSIPKFEVGTLLYLRVVKTNIGMNPELSGTDASGKASVFGPLKDGF MFETSTGLSRMLLSSPTCPVLEALGKKLSFEIATGLNGRVWVNAAAPRSVIIVANAIM NSETLSATQQRIMVEKLLAKISD" gene 14387015..14388550 /locus_tag="BRARA_H01322" /db_xref="Phytozome:Brara.H01322" mRNA join(14387015..14387422,14387609..14387799, 14387880..14388043,14388198..14388550) /locus_tag="BRARA_H01322" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01322" CDS join(14387206..14387422,14387609..14387799, 14387880..14388043,14388198..14388285) /locus_tag="BRARA_H01322" /codon_start=1 /product="hypothetical protein" /protein_id="RID50603.1" /db_xref="Phytozome:Brara.H01322" /translation="MAILYALVARGTVVLAEFTATSTNASTIAKQILEKVPGNNDSNV SYSQDRYVFHVKRTDGLTVLCMAEETAGRRIPFAFLEDIHQRFVRTYGRAVHTAQAYA MNEEFSRVLSQQIEYYSNDPNADRINRIKGEMNQVRNVMIENIDKVLDRGERLELLVD KTANMQGNTFRFRKQARRFRSTVWWRNCKLTFLLILLLLVIIYIAVAFVCHGPTLPSC I" gene 14391823..14394248 /locus_tag="BRARA_H01323" /db_xref="Phytozome:Brara.H01323" mRNA join(14391823..14392151,14392260..14392634, 14392703..14392920,14393196..14393266,14393369..14393470, 14393563..14393680,14393805..14393940,14394029..14394248) /locus_tag="BRARA_H01323" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01323" CDS join(14392071..14392151,14392260..14392634, 14392703..14392920,14393196..14393266,14393369..14393470, 14393563..14393680,14393805..14393940,14394029..14394091) /locus_tag="BRARA_H01323" /codon_start=1 /product="hypothetical protein" /protein_id="RID50604.1" /db_xref="Phytozome:Brara.H01323" /translation="MGWRYKAGLFLIGTVVVIWVTSAEVTQDIFTAYKQPFAVTYLGA SLMIVYLPVAFLKDWLCRYLDRRRSSRANDVSSVEVGSPLRHKVIEMGLQGTITKKDS EEDFSSHEEDVRPLIGRGREETQRHGKEIITTKQIVLYGLYLAPIWFVTEYLSNAALA RTSVASTTVLSSTSGLFTLFIGALLGQDTLNLSKVVAVFVSMAGVVMTTLGKTWAADD SQLNSSLNGQRSLVGDLFGLLSAVSYGLFTVLLKKFAGEEGEGVDVQKLFGYIGLFTL VALWWLVWPLTALGIEPKFTIPHSAKLDEVVLANGFVGSVLSDYFWALSVVWTTPLVA TLGMSLTIPLAMVADMMIHGRHYSAIYILGSTQVFAGFVIANISDLFSKKLGL" gene complement(14405897..14406577) /locus_tag="BRARA_H01324" /db_xref="Phytozome:Brara.H01324" mRNA complement(join(14405897..14406153,14406277..14406380, 14406493..14406577)) /locus_tag="BRARA_H01324" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01324" CDS complement(join(14406105..14406153,14406277..14406380, 14406493..14406495)) /locus_tag="BRARA_H01324" /codon_start=1 /product="hypothetical protein" /protein_id="RID50605.1" /db_xref="Phytozome:Brara.H01324" /translation="MPSHKSFMIKKKLAKKMRQNRPIPHWIRLRTDNTIRYNAKRRHW RRTKLGF" gene <14407428..>14408503 /locus_tag="BRARA_H01325" /db_xref="Phytozome:Brara.H01325" mRNA join(<14407428..14407534,14407625..14407788, 14407894..14408090,14408237..>14408503) /locus_tag="BRARA_H01325" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01325" CDS join(14407428..14407534,14407625..14407788, 14407894..14408090,14408237..14408503) /locus_tag="BRARA_H01325" /codon_start=1 /product="hypothetical protein" /protein_id="RID50606.1" /db_xref="Phytozome:Brara.H01325" /translation="MGNEANKKFTWVIKNFSSYNCQTVYSDIFVVGRCKWRLLAFPKG YNIYDDYFSLYLVVPNHESLPSGWRRHAKFSFTIVNQIPGKVSQQLESQNWFDQKDHA RGFHSMIRLNSEFLVNNELKIIAEVDVLEVVETTDIVETTGIVDVNGFQVLVSQNPHL RITYLNFLLSLTEILCKSHEELSNSDLADAYSSLTYLTKAGFKLDWLEKALKEAGETR IQDIEEELNDLTQKCADMDALLKFLK" gene <14410461..>14411507 /locus_tag="BRARA_H01326" /db_xref="Phytozome:Brara.H01326" mRNA join(<14410461..14410525,14410652..14410815, 14410892..14410978,14411014..14411108,14411178..>14411507) /locus_tag="BRARA_H01326" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01326" CDS join(14410461..14410525,14410652..14410815, 14410892..14410978,14411014..14411108,14411178..14411507) /locus_tag="BRARA_H01326" /codon_start=1 /product="hypothetical protein" /protein_id="RID50607.1" /db_xref="Phytozome:Brara.H01326" /translation="MGNETDKKFTWVIKNFSSLGSKSLGAFTRGVDNASCFLSLYLVV HNLQSFSSGWRRHAKFSFTVVNQIPGEVSQLREIQYWFDQKYIIRGFQSMIPLSDLNA RDSEIDVLEVVGELDVPVVTTDIVDINGFQVLSSQVESANSLFEKHPNIASNVRAKNP HLRTTYLNVILGLTKILCKSSEELSNSDLDEAYSALRFVITAGFKLDWLEKALKEACE IRIQEIDEKLNDLTEKRADMDALLNSLK" gene complement(<14414570..>14417369) /locus_tag="BRARA_H01327" /db_xref="Phytozome:Brara.H01327" mRNA complement(join(14414570..14415306,14415670..14416142, 14416480..14417369)) /locus_tag="BRARA_H01327" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01327" mRNA complement(join(<14414680..14415306,14415670..14416142, 14416480..14416624,14416997..>14417329)) /locus_tag="BRARA_H01327" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01327" CDS complement(join(14414680..14415306,14415670..14416142, 14416480..14416624,14416997..14417329)) /locus_tag="BRARA_H01327" /codon_start=1 /product="hypothetical protein" /protein_id="RID50609.1" /db_xref="Phytozome:Brara.H01327" /translation="MDAFLFSLLSPLLVFVLIALFKKTKKPKHAKAPEPSGAWPIIGH LHLLGGKEQLLYRTLGEMANRYGPAMSLRLGSNEAFVVSSFEVAKECFTVNDKALASR PMTAAANRGHMGYNYAVFGFAPYSSFWREMRKIATVELLSNRRLQMLKHVRVSEISMG VKDLYSLWVKKGGSEPIIVDLKRWLEDMTLNMIVRMVAGKRYFGDGSTTPEDTEEARQ CQKAITKFFHLIGIFTMSDAFPTLGWFDLQGHEKEMKKTGSELDVILERWIENHRQQR KVSGNKENDSDFIDVMLSLAEQGKLSHLQYDANTSIKSTCLALILGGSDTTASTLTWA IALLLNNKDMLKKAQDEIDLQVGTDRNVEDSDIENLVYLQAIIKETLRLYPAGPLLGP REAMEDCTVAGYHVACGTRLIVNVWKIQRDPKVWVEPNEFRPERFLTGEAKEFDVRGQ NFELIPFGSGRRSCPGSSLAMQVLHLGLARFLHSFDVNTDLDVAVDMSESPGLTIPKA TPLEVMISPRLEKHLFV" CDS complement(join(14414680..14415306,14415670..14416142, 14416480..14416693)) /locus_tag="BRARA_H01327" /codon_start=1 /product="hypothetical protein" /protein_id="RID50608.1" /db_xref="Phytozome:Brara.H01327" /translation="MCRPPFFFLPRVSRITGTALAAKHMGYNYAVFGFAPYSSFWREM RKIATVELLSNRRLQMLKHVRVSEISMGVKDLYSLWVKKGGSEPIIVDLKRWLEDMTL NMIVRMVAGKRYFGDGSTTPEDTEEARQCQKAITKFFHLIGIFTMSDAFPTLGWFDLQ GHEKEMKKTGSELDVILERWIENHRQQRKVSGNKENDSDFIDVMLSLAEQGKLSHLQY DANTSIKSTCLALILGGSDTTASTLTWAIALLLNNKDMLKKAQDEIDLQVGTDRNVED SDIENLVYLQAIIKETLRLYPAGPLLGPREAMEDCTVAGYHVACGTRLIVNVWKIQRD PKVWVEPNEFRPERFLTGEAKEFDVRGQNFELIPFGSGRRSCPGSSLAMQVLHLGLAR FLHSFDVNTDLDVAVDMSESPGLTIPKATPLEVMISPRLEKHLFV" gene 14443177..14445690 /locus_tag="BRARA_H01328" /db_xref="Phytozome:Brara.H01328" mRNA join(14443177..14443575,14445258..14445690) /locus_tag="BRARA_H01328" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01328" CDS join(14443513..14443575,14445258..14445422) /locus_tag="BRARA_H01328" /codon_start=1 /product="hypothetical protein" /protein_id="RID50610.1" /db_xref="Phytozome:Brara.H01328" /translation="MHLLLLRDHCSICQIPAPILSIAHAMIRWLFKVVLLIDLLMHFV HYVQFRIVYILVAVRFKMLMRSQRDHCKCLR" gene complement(14447239..14448000) /locus_tag="BRARA_H01329" /db_xref="Phytozome:Brara.H01329" mRNA complement(join(14447239..14447645,14447731..14448000)) /locus_tag="BRARA_H01329" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01329" CDS complement(join(14447305..14447645,14447731..14447917)) /locus_tag="BRARA_H01329" /codon_start=1 /product="hypothetical protein" /protein_id="RID50611.1" /db_xref="Phytozome:Brara.H01329" /translation="MASSFSLPVIIFFCISFFFLLSVNANEVTVGGKSGDWKIPPSPS YSFNEWAQKARFKVGDILVFSYEAGKDSVLQVTREGYEKCNTTSPKASYSDDGNTKVK LEQPGPVYFISGKEGHCQKGQKLRLVVVTPRSSAFSPAPSPSDGPAVAPRSGAAKLTG VFSVVGLLLGFLALF" gene <14448571..>14448873 /locus_tag="BRARA_H01330" /db_xref="Phytozome:Brara.H01330" mRNA <14448571..>14448873 /locus_tag="BRARA_H01330" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01330" CDS 14448571..14448873 /locus_tag="BRARA_H01330" /codon_start=1 /product="hypothetical protein" /protein_id="RID50612.1" /db_xref="Phytozome:Brara.H01330" /translation="MAGENDWRKTADTTKMSSEGVKAAGVESSKRPPGSNPGGVLHQR RNLPYSYTTMALAGLAISGAIMYTVMYVKKKPEASATDVAKAATGTAKPEDTHPRK" gene 14450379..14453486 /locus_tag="BRARA_H01331" /db_xref="Phytozome:Brara.H01331" mRNA join(14450379..14450580,14450815..14450895, 14450980..14451048,14451138..14451201,14451364..14451443, 14451581..14451664,14451854..14451938,14452091..14452176, 14452259..14452380,14452466..14452526,14452598..14452696, 14452777..14452878,14452963..14453004,14453113..14453486) /locus_tag="BRARA_H01331" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01331" CDS join(14450452..14450580,14450815..14450895, 14450980..14451048,14451138..14451201,14451364..14451443, 14451581..14451664,14451854..14451938,14452091..14452176, 14452259..14452380,14452466..14452526,14452598..14452696, 14452777..14452878,14452963..14453004,14453113..14453241) /locus_tag="BRARA_H01331" /codon_start=1 /product="hypothetical protein" /protein_id="RID50613.1" /db_xref="Phytozome:Brara.H01331" /translation="MQTVRALRRVTKPSQWVRSVPQGKRSFSALPNYSASDADVQDQV SVEGKAKSRAAILDRPSSLNALSAPMVGRLKRLYESWEENPAISFVLMKGSGKTFCSG ADVLPLYHSINEGNTEECKHFFGSLYNFVYLQGTYLKPNIAIMDGVTMGCGGGISIPG MFRVATDKTVLAHPEVQIGFHPDAGASYYLSRLPGYLGEYLALTGQKLDGVEMIACGL ATHFCLHSRLGMVEERIGKLLTDDPTVIEASLAQYSDLVYPDNTSVLHKIEMIDRYFG LDTVEEIIEAMENEVADSGNEWCKKTLKQVKEASPLSLKITLQSIREGRFQTLDQCLT REYRISLCGVSKTVSGDFCEGIRARLVDKDFAPKWDPPRLEDVTKDMVDCYFSPATDA DDSESELKLPTAQREPYF" gene complement(14454513..14456589) /locus_tag="BRARA_H01332" /db_xref="Phytozome:Brara.H01332" mRNA complement(join(14454513..14454943,14455210..14455326, 14455404..14455772,14456219..14456284,14456379..14456589)) /locus_tag="BRARA_H01332" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01332" CDS complement(join(14454647..14454943,14455210..14455326, 14455404..14455772,14456219..14456284,14456379..14456459)) /locus_tag="BRARA_H01332" /codon_start=1 /product="hypothetical protein" /protein_id="RID50614.1" /db_xref="Phytozome:Brara.H01332" /translation="MDCSSSLDISLNLNTNAFDRSFPAKLPKEEEVKVSTSAGVERKW LVKDKSASELREELNRVYSENKKIKEMLETVCEKYYALHHHLEKLQSRKSPGIDHIEQ PTKKRKQDPEDFLGFPNGKTENSSSNQDHHHQQYEQKNQLLSCKRPVTDSFNKVKVST VYIPADSSDTSLTVKDGYQWRKYGQKVTRDNPSPRAYFRCSFAPSCPVKKKVQRSAED PSILVATYEGTHNHLGPNASEGDATSQGGSSTVTLDMVHHGGQRLATEKNERGMMQEF LVQQMASSLTNDSNFTAALAAAITGRLMEQSRT" gene 14479635..14482523 /locus_tag="BRARA_H01333" /db_xref="Phytozome:Brara.H01333" mRNA join(14479635..14479698,14479930..14480112, 14480176..14480333,14480422..14480543,14480633..14480826, 14480907..14481089,14481163..14481281,14481357..14481493, 14481578..14481700,14481851..14481974,14482102..14482523) /locus_tag="BRARA_H01333" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01333" mRNA join(14479635..14479698,14479930..14480112, 14480176..14480333,14480422..14480543,14480633..14480826, 14480907..14481089,14481163..14481281,14481357..14481493, 14481578..14481700,14481851..14481974,14482163..14482523) /locus_tag="BRARA_H01333" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01333" mRNA join(14479704..14480112,14480176..14480333, 14480422..14480543,14480633..14480826,14480907..14481089, 14481163..14481281,14481357..14481493,14481578..14481700, 14481851..14481974,14482102..14482523) /locus_tag="BRARA_H01333" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01333" mRNA join(14479704..14480112,14480176..14480333, 14480422..14480543,14480633..14480826,14480907..14481089, 14481163..14481281,14481357..14481493,14481578..14481700, 14481851..14481974,14482163..14482523) /locus_tag="BRARA_H01333" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01333" CDS join(14479990..14480112,14480176..14480333, 14480422..14480543,14480633..14480826,14480907..14481089, 14481163..14481281,14481357..14481493,14481578..14481700, 14481851..14481948) /locus_tag="BRARA_H01333" /codon_start=1 /product="hypothetical protein" /protein_id="RID50615.1" /db_xref="Phytozome:Brara.H01333" /translation="MKIAVEGCMHGDLDNVYKTIQHHEQIHSTKVDLLLCCGDFQAVR NEKDMDSLSVPIKYREMKSFWKYYSGQEVAPVPTIFIGGNHEASNYLWELYYGGWAAS NIYFLGYAGVVKFGNLRIGGLSGIFNGRDYHSGHFERPPYNRNTIRSVYHVREYDVHK LMQLEEPLDIFLSHDWPVGITDYGDSKALIQQKPYFQEEIQARTLGSKPAALLLEKLK PRYWFSAHLHCKFAASVQHKSDGSVTKFLALDKCGPGKKFLQIIEVDSEPGPFEVLYD EEWLAVTRMFNSVFPLTERRANFSSASMNIQESRECVRKKLEERQFKPFEFVRTVPAH NPSQRIFDSMPDIPQNPQTLSLLELLGLPYLLDSSPVTGERTAIPASPAPPSDFQTYD SEEIPIDDTDDLEEIAEAKADDPTRE" CDS join(14479990..14480112,14480176..14480333, 14480422..14480543,14480633..14480826,14480907..14481089, 14481163..14481281,14481357..14481493,14481578..14481700, 14481851..14481948) /locus_tag="BRARA_H01333" /codon_start=1 /product="hypothetical protein" /protein_id="RID50616.1" /db_xref="Phytozome:Brara.H01333" /translation="MKIAVEGCMHGDLDNVYKTIQHHEQIHSTKVDLLLCCGDFQAVR NEKDMDSLSVPIKYREMKSFWKYYSGQEVAPVPTIFIGGNHEASNYLWELYYGGWAAS NIYFLGYAGVVKFGNLRIGGLSGIFNGRDYHSGHFERPPYNRNTIRSVYHVREYDVHK LMQLEEPLDIFLSHDWPVGITDYGDSKALIQQKPYFQEEIQARTLGSKPAALLLEKLK PRYWFSAHLHCKFAASVQHKSDGSVTKFLALDKCGPGKKFLQIIEVDSEPGPFEVLYD EEWLAVTRMFNSVFPLTERRANFSSASMNIQESRECVRKKLEERQFKPFEFVRTVPAH NPSQRIFDSMPDIPQNPQTLSLLELLGLPYLLDSSPVTGERTAIPASPAPPSDFQTYD SEEIPIDDTDDLEEIAEAKADDPTRE" CDS join(14479990..14480112,14480176..14480333, 14480422..14480543,14480633..14480826,14480907..14481089, 14481163..14481281,14481357..14481493,14481578..14481700, 14481851..14481948) /locus_tag="BRARA_H01333" /codon_start=1 /product="hypothetical protein" /protein_id="RID50617.1" /db_xref="Phytozome:Brara.H01333" /translation="MKIAVEGCMHGDLDNVYKTIQHHEQIHSTKVDLLLCCGDFQAVR NEKDMDSLSVPIKYREMKSFWKYYSGQEVAPVPTIFIGGNHEASNYLWELYYGGWAAS NIYFLGYAGVVKFGNLRIGGLSGIFNGRDYHSGHFERPPYNRNTIRSVYHVREYDVHK LMQLEEPLDIFLSHDWPVGITDYGDSKALIQQKPYFQEEIQARTLGSKPAALLLEKLK PRYWFSAHLHCKFAASVQHKSDGSVTKFLALDKCGPGKKFLQIIEVDSEPGPFEVLYD EEWLAVTRMFNSVFPLTERRANFSSASMNIQESRECVRKKLEERQFKPFEFVRTVPAH NPSQRIFDSMPDIPQNPQTLSLLELLGLPYLLDSSPVTGERTAIPASPAPPSDFQTYD SEEIPIDDTDDLEEIAEAKADDPTRE" CDS join(14479990..14480112,14480176..14480333, 14480422..14480543,14480633..14480826,14480907..14481089, 14481163..14481281,14481357..14481493,14481578..14481700, 14481851..14481948) /locus_tag="BRARA_H01333" /codon_start=1 /product="hypothetical protein" /protein_id="RID50618.1" /db_xref="Phytozome:Brara.H01333" /translation="MKIAVEGCMHGDLDNVYKTIQHHEQIHSTKVDLLLCCGDFQAVR NEKDMDSLSVPIKYREMKSFWKYYSGQEVAPVPTIFIGGNHEASNYLWELYYGGWAAS NIYFLGYAGVVKFGNLRIGGLSGIFNGRDYHSGHFERPPYNRNTIRSVYHVREYDVHK LMQLEEPLDIFLSHDWPVGITDYGDSKALIQQKPYFQEEIQARTLGSKPAALLLEKLK PRYWFSAHLHCKFAASVQHKSDGSVTKFLALDKCGPGKKFLQIIEVDSEPGPFEVLYD EEWLAVTRMFNSVFPLTERRANFSSASMNIQESRECVRKKLEERQFKPFEFVRTVPAH NPSQRIFDSMPDIPQNPQTLSLLELLGLPYLLDSSPVTGERTAIPASPAPPSDFQTYD SEEIPIDDTDDLEEIAEAKADDPTRE" gene 14482949..14485687 /locus_tag="BRARA_H01334" /db_xref="Phytozome:Brara.H01334" mRNA join(14482949..14483122,14483309..14483451, 14483694..14483821,14484061..14484159,14484275..14484433, 14484639..14484725,14484801..14484892,14485081..14485155, 14485233..14485687) /locus_tag="BRARA_H01334" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01334" mRNA join(14483137..14483237,14483309..14483451, 14483694..14483821,14484061..14484159,14484275..14484433, 14484639..14484725,14484801..14484892,14485081..14485155, 14485233..14485687) /locus_tag="BRARA_H01334" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01334" CDS join(14483372..14483451,14483694..14483821, 14484061..14484159,14484275..14484433,14484639..14484725, 14484801..14484892,14485081..14485155,14485233..14485448) /locus_tag="BRARA_H01334" /codon_start=1 /product="hypothetical protein" /protein_id="RID50619.1" /db_xref="Phytozome:Brara.H01334" /translation="MGYLNSVLTSSSQVHADDGPVSGGGLSQNGKFSYGYASSPGKRS SMEDFYETRIDGVHGEIVGLFGVFDGHGGARAAEYVKQNLFSNLIRHPKFISDTTAAI ADAYNQTDSEFLKSENSQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVS RDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEK VDSSLEFLILASDGLWDVVSNEEAVGMVKLIEDPEEGAKRLMTEAYQRGSADNITCVV VRFFSDQTGAVGTSSNSIPIDHGIIPDRSSSDPST" CDS join(14483372..14483451,14483694..14483821, 14484061..14484159,14484275..14484433,14484639..14484725, 14484801..14484892,14485081..14485155,14485233..14485448) /locus_tag="BRARA_H01334" /codon_start=1 /product="hypothetical protein" /protein_id="RID50620.1" /db_xref="Phytozome:Brara.H01334" /translation="MGYLNSVLTSSSQVHADDGPVSGGGLSQNGKFSYGYASSPGKRS SMEDFYETRIDGVHGEIVGLFGVFDGHGGARAAEYVKQNLFSNLIRHPKFISDTTAAI ADAYNQTDSEFLKSENSQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVS RDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEK VDSSLEFLILASDGLWDVVSNEEAVGMVKLIEDPEEGAKRLMTEAYQRGSADNITCVV VRFFSDQTGAVGTSSNSIPIDHGIIPDRSSSDPST" gene 14486772..14488577 /locus_tag="BRARA_H01335" /db_xref="Phytozome:Brara.H01335" mRNA join(14486772..14487034,14487542..14488025, 14488110..14488577) /locus_tag="BRARA_H01335" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01335" mRNA join(14486772..14487034,14487542..14487974, 14488110..14488577) /locus_tag="BRARA_H01335" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01335" CDS join(14486781..14487034,14487542..14488025, 14488110..14488481) /locus_tag="BRARA_H01335" /codon_start=1 /product="hypothetical protein" /protein_id="RID50621.1" /db_xref="Phytozome:Brara.H01335" /translation="MSGWSELPPEIIHMISLRIDNPFDLIHFRSVCSWWRSCSLQTFR PIPSLRCPLPPDAGGCGDDCHILRSRVYLVTSLSHDPPRFWLFKLQEEENGALALQSL LNRRTSSEWGVSYPSLLIDLLNSQVIELVQEHVACYTYWCDLFDGPAGSYKCEQPIGF MRLDGENKEFMALGRLSFQGLGMYRSFEDRWTEIETTSGPFPDGITWFNGQFYVIDTV GVTKVVKPTLEVSLFRRSRPCNKTRKRWFVKAEDKLLLVEMCTRNLEEYRRPHLLAEK GWFEVSELNEERNDWIQMEDVGGRVLFLDDHFSLSCLPNQVPGFKPNSIIFKGVLGYY GHQVFEFGEQGFILLGDIPEYTQLFPSPSWIVSNV" CDS join(14486781..14487034,14487542..14487974, 14488110..14488481) /locus_tag="BRARA_H01335" /codon_start=1 /product="hypothetical protein" /protein_id="RID50622.1" /db_xref="Phytozome:Brara.H01335" /translation="MSGWSELPPEIIHMISLRIDNPFDLIHFRSVCSWWRSCSLQTFR PIPSLRCPLPPDAGGCGDDCHILRSRVYLVTSLSHDPPRFWLFKLQEEENGALALQSL LNRRTSSEWGVSYPSLLIDLLNSQVIELVQEHVACYTYWCDLFDGPAGSYKCEQPIGF MRLDGENKEFMALGRLSFQGLGMYRSFEDRWTEIETTSGPFPDGITWFNGQFYVIDTV GVTKVVKPTLEFVKAEDKLLLVEMCTRNLEEYRRPHLLAEKGWFEVSELNEERNDWIQ MEDVGGRVLFLDDHFSLSCLPNQVPGFKPNSIIFKGVLGYYGHQVFEFGEQGFILLGD IPEYTQLFPSPSWIVSNV" gene 14488893..14490950 /locus_tag="BRARA_H01336" /db_xref="Phytozome:Brara.H01336" mRNA join(14488893..14489088,14489174..14489238, 14489916..14489978,14490143..14490194,14490296..14490346, 14490639..14490950) /locus_tag="BRARA_H01336" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01336" CDS join(14488996..14489088,14489174..14489238, 14489916..14489978,14490143..14490194,14490296..14490346, 14490639..14490719) /locus_tag="BRARA_H01336" /codon_start=1 /product="hypothetical protein" /protein_id="RID50623.1" /db_xref="Phytozome:Brara.H01336" /translation="MNHGQQSGEAKHEDDPALTEFLASLMDYTPTIPDDLVEHYLAKS GFQCPDVRLIRLVAVATQKFVADVASDALQHCKARPAPVVKDKKQQKDKRLVLTMEDL SKALREYGVNVKHPEYFADSPSTGIDPATREE" gene 14491196..14492949 /locus_tag="BRARA_H01337" /db_xref="Phytozome:Brara.H01337" mRNA join(14491196..14491358,14491442..14491769, 14491849..14491949,14492029..14492430,14492501..14492949) /locus_tag="BRARA_H01337" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01337" CDS join(14491281..14491358,14491442..14491769, 14491849..14491949,14492029..14492430,14492501..14492773) /locus_tag="BRARA_H01337" /codon_start=1 /product="hypothetical protein" /protein_id="RID50624.1" /db_xref="Phytozome:Brara.H01337" /translation="MVNPPLQSPTNPHFFQPLLPGFDTHLTMPVAFFSKHIQGKTMKK TVKLRSYTSDRTWEVKIDGRRLTRGWKDFAKAHDLRIGDIIIFKHEGDMAFSVTPFGP SCCEIQYTQSHIIKKEADTDDDDEDDNERQYKIRNGLKPKTEPMSSYSFDYCFVSEVT ASNLKLDTLYLPVGARSSSALNKRCHEMILVNKEGNSWTASLKFRESGGMYYIRRGWR RFCLDNRRKVGDLFVFNLVGDGKTTPMMCVCPEEECSELVSKHLSRGRRSRKTKKRSK WVASSSSRRNRFLTITLTRYNFISSKLILPIAFTNINGLNKYKEIILMDKHGVKRLTK LVQDGPHNNRRGLGKGWKLFCEANDVFKIGESFVLELVWEDTVPVLKFCSKVKVETVD V" gene <14493008..14494897 /locus_tag="BRARA_H01338" /db_xref="Phytozome:Brara.H01338" mRNA join(<14493008..14493088,14493188..14493592, 14493673..14493984,14494068..14494454,14494542..14494897) /locus_tag="BRARA_H01338" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01338" CDS join(14493008..14493088,14493188..14493592, 14493673..14493984,14494068..14494454,14494542..14494799) /locus_tag="BRARA_H01338" /codon_start=1 /product="hypothetical protein" /protein_id="RID50625.1" /db_xref="Phytozome:Brara.H01338" /translation="MADDAVLQSPTNPHFFQPLLPGYHSHMNVPVAFFSKHVQGRNGD KTARLRSDASDTTWEVVINGRRLTGGWKEFVKAHDLRVGDVLVFRHEGELVFHVTALG SSCCEVEYTTLDDGDDNKETVLASDIKPMKKRTKKNPRKKDSCSCFVANVTVSSLHED KLYVPVSFVRSNGLSNTYCKIVLLNEKGRSWKLSLVHDKSGSYLRQGWRSFCRANGIN GGRYTFKLVQNSEKPVIRLYQAEHRHEDNTHSYLVGSLTPSSLRNDTLYLSRRFVHSN GLKEKCCEMILKNDNGRTWCLVLRRNETNETTVISRGWRSFCHANGLKVRDPFKFKLV GTREKPVLQFCPSESNRNTRHVDCSEGDVHSLSKKEASSSPCQNPFVTLTVTQYSIKS NKLRLPLRFTRVNGINKAGKITLMGQDGVNWLVHLTNENKCGKLRLGRGWKGFCEAHG VKIGESFVLELIREKDATHVLKFCNKVNCV" gene complement(14496301..14498129) /locus_tag="BRARA_H01339" /db_xref="Phytozome:Brara.H01339" mRNA complement(join(14496301..14496861,14496968..14497032, 14497133..14497443,14497601..14498129)) /locus_tag="BRARA_H01339" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01339" CDS complement(join(14496562..14496861,14496968..14497032, 14497133..14497443,14497601..14498070)) /locus_tag="BRARA_H01339" /codon_start=1 /product="hypothetical protein" /protein_id="RID50626.1" /db_xref="Phytozome:Brara.H01339" /translation="MTNHLQDPLNSHLNPGLTKQEEQADQEMMSLQALKITTTLAFPM VFKAALELGVFDTIAANGKDAWLSSSEIAFGLPTKPTNPEAPMLLDRMLRLLVSHSVL KCRTVETGENNLTGKIQMVYAAEPVCTLFLKHGHESGSLMSLFMVHHSQVFFETWTHL KDLIQEGKDTFISAHGMRIFEYISLNEQFACMFNHAMSESSTMIMKKILEVYRGFEDI KTLVDIGGGLGTTLNLVTSKYPDIKGINFDLDMVLAQAPLYQGVEHVAGDMFIEVPKG DAIFMKWILHDWADEDCVKILKNCWRSLPEKGKVIIVDIVTPIEPKHDDIFSNIVFSM DMLMLTHCSGGKERSFSQFEALATASGFLNCEIICIAFSHCVIEFHK" gene 14506706..14508203 /locus_tag="BRARA_H01340" /db_xref="Phytozome:Brara.H01340" mRNA join(14506706..14506782,14506870..14506934, 14507151..14507208,14507294..14507675,14507763..14508203) /locus_tag="BRARA_H01340" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01340" CDS join(14506777..14506782,14506870..14506934, 14507151..14507208,14507294..14507675,14507763..14508004) /locus_tag="BRARA_H01340" /codon_start=1 /product="hypothetical protein" /protein_id="RID50627.1" /db_xref="Phytozome:Brara.H01340" /translation="MKFNVANPTTGCQKKLEIDDDQKLRAFYDKRLSQEVSGDALGEE FKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGHGRRTGERRRKSVRGC IVSQDLSVLNLVIVKKGEKDLPGLTDTEKPRMRGPKRASKIRKLFNLTKEDDVRKYVN TYRRKFTNKKGKEVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKANADAAEYQKLLA SRLKEQRDKRSESLAKKRSRLSSAAAKPSVTA" gene complement(<14508466..>14510439) /locus_tag="BRARA_H01341" /db_xref="Phytozome:Brara.H01341" mRNA complement(join(<14508466..14508515,14508586..14508868, 14508953..14509198,14509269..14509679,14509757..14509863, 14509946..14510291,14510374..>14510439)) /locus_tag="BRARA_H01341" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01341" CDS complement(join(14508466..14508515,14508586..14508868, 14508953..14509198,14509269..14509679,14509757..14509863, 14509946..14510291,14510374..14510439)) /locus_tag="BRARA_H01341" /codon_start=1 /product="hypothetical protein" /protein_id="RID50628.1" /db_xref="Phytozome:Brara.H01341" /translation="MTIPPTFSLFHHRFITGDKPVLTVDDEFLKKHTKVLLISDSSDK IWKVKLDGSRLAGGWEEFAKAHSFRAGDVVVFRHDGDEIFHVAVSPRSSSSDISHHAS PSFVDTDDAETDDDYSESDEGEDEYDDDDGGEDDADCCDSGNIMVNKNTKAGFSCILR ARVTPYSLIKDRLDLSKDFTVVSFNEHNKPCEIDLVNEKGRKWTLRLSKNSTSGVFYI RQGWVNFCSANGLSQGDICKFKLSENGERPVLRLCPSSNSHEEEEECLEADAVKTSSI RGCSKEKRKGKKKNSPSQFLTVKLTPNRLQTGQLYISSVFVNESGIKKSGEITLMNKD GRKWPSYLQMTGQCGSEWFYLRHGWREMCKANGVKVNDSFVLELIWEDDNPVFKFCSK AENKGNGNGRLRKKRACETSIVETERRKRGRPRVSNTNSSNLQRTRQESCSVSDQVAS VKLSILDTLNTVRQFRADIEAREKNLEASSLEVDALAERILGISQILNNNPV" gene 14511042..14513762 /locus_tag="BRARA_H01342" /db_xref="Phytozome:Brara.H01342" mRNA join(14511042..14511324,14511585..14511690, 14511781..14512026,14512095..14512205,14512302..14512460, 14512548..14513342,14513430..14513762) /locus_tag="BRARA_H01342" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01342" CDS join(14511284..14511324,14511585..14511690, 14511781..14512026,14512095..14512205,14512302..14512460, 14512548..14512640) /locus_tag="BRARA_H01342" /codon_start=1 /product="hypothetical protein" /protein_id="RID50629.1" /db_xref="Phytozome:Brara.H01342" /translation="MEVQPEMEPTSSISLIAAVSYGIASMAMVFINKAVIMQYPHSMT VLTLQQLATSLLIHCGRRMGYTRARGIDLATAKKLLPVSIFYNANVAFALASLKGVNI PMYIAIKRLTPLAVLIAGYLFGKGKPTTQVALSVLLTAAGCVIAALGDFSFDLFGYGL ALTSVFFQTMYLVLVEKSGAEDGLSSIEIMFYNSFLSLPFLSFLIIVTGEFPNSISLL LAKCSYLLGLGIRVVGSDSGRVLSGPGLSGLRV" gene <14526571..>14533017 /locus_tag="BRARA_H01343" /db_xref="Phytozome:Brara.H01343" mRNA join(<14526571..14527131,14532128..14532273, 14532622..14532750,14532837..>14533017) /locus_tag="BRARA_H01343" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01343" CDS join(14526571..14527131,14532128..14532273, 14532622..14532750,14532837..14533017) /locus_tag="BRARA_H01343" /codon_start=1 /product="hypothetical protein" /protein_id="RID50630.1" /db_xref="Phytozome:Brara.H01343" /translation="MAVDLMRFPKIDDQAAIEEAASQGLQSMEHLIRVLSNRPDKHNN VDCSEITDYTVSKFKTVISLLNRTGHARFRRGPVHSTVASPQKQQQSQIVKIAQTEAP IVSQPTRPAAVVAAPSRIVHSNQPSLTLDFTKPTIFGSKSSEIVEFSKENFSVSLSSS CMSSGITGDGSVSKGSSIFLTSAPSQPAAALIPVTSSGRPPLAAHPYRKKCLEHEHSL SGDFSGKISGSGCPCKRRRKNRMKRTVRVPAISSKIADIPPDEYSWRKYGQKPIKGSP HPRGYYKCSTFRGCPARKHVERALDDSTMLIVTYEGEHRHNQSAMQENVSSSGVNDLV FASA" gene 14542354..14545150 /locus_tag="BRARA_H01344" /db_xref="Phytozome:Brara.H01344" mRNA join(14542354..14542526,14542604..14545150) /locus_tag="BRARA_H01344" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01344" CDS 14542775..14544808 /locus_tag="BRARA_H01344" /codon_start=1 /product="hypothetical protein" /protein_id="RID50631.1" /db_xref="Phytozome:Brara.H01344" /translation="MSEPAMVERGIQSLLAATNHLKSSLEKSKAIGLALERTGPRFDE IEQRLPSLEAAVRPIRADREALVAVGVHINRAVGPAAAVLKVFDAVHGLEKSLLSDPK NDLSGYLAVLKRLEEALRFLGENCGLAIQWLEDIVEYLEDHSVADEKYLSNLKKSLRG LREFQQEKARLDGGLRDAALDKLENEFRRLLKDNSVPLPMASPSPSLGEQACIAPSQL PVTVIHKLQAVLGRLRANNRLEKCVSIYVEVRSSNVRASLQALDLDYLDISVTEFNDV QSIEGYISQWGNHLEFAVKHLFEAEFKLCNDVFERFGSNVWMDCFSKIAAQAGMLAFL QFGKTVTDSKKDPIKLLKLLDIFTSLNKLRGDFNRLFGGAACAETQSFTRDLIKKLID GAAEIFWELLVQVEIQKQIPPPSDGGVPRLVSFVTDYCNKLVGDKYKSTLSQVLLIHK SWRSERFQENQLTVEILRIIKMIEQNMDAWMKAYPDQTLAHFFGMNNHYHLYKSLKGT RIGDQLGDSWLKEHDQYKEYYATVFLRDTWGKLPSHLSREGLILFSGGHATARDLVKK RLKSFNDAFDEMYKKQSAWVVPEKDLRERVCQQIVQAVVPVYRSYMQNYGPLVEKDAS SSKYVRYTVVALEKILSSLYIPRPMRYGSFKGTPPSEKLRNDVDLRRTTSAVV" gene 14548070..14549237 /locus_tag="BRARA_H01345" /db_xref="Phytozome:Brara.H01345" mRNA join(14548070..14548590,14548683..14549237) /locus_tag="BRARA_H01345" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01345" CDS join(14548316..14548590,14548683..14549070) /locus_tag="BRARA_H01345" /codon_start=1 /product="hypothetical protein" /protein_id="RID50632.1" /db_xref="Phytozome:Brara.H01345" /translation="MEFLAGSTFEAGTAVQDKAAPAALFLTEESSRGGSGIGLRRCVK SLSEEISESSSSSVVVSGESSANEEEDGVVSSRGTWFGSSLEDSLPIKRGLSNHYIGK SKSFGNLMEVSKTKDLMKVESPLNKRRRLLIANKLRRSSLSSFNIYSKTNNNLSSMPL LALQESDEEDHICSYDNDDSSDDEISKLQEKRMKMTTNNRDFMVQTHSCLCLTSFQDA DR" gene complement(14551601..14556155) /locus_tag="BRARA_H01346" /db_xref="Phytozome:Brara.H01346" mRNA complement(join(14551601..14551889,14551970..14552175, 14552258..14552343,14552418..14552927,14553134..14555190, 14555935..14556155)) /locus_tag="BRARA_H01346" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01346" CDS complement(join(14551971..14552175,14552258..14552343, 14552418..14552927,14553134..14555179)) /locus_tag="BRARA_H01346" /codon_start=1 /product="hypothetical protein" /protein_id="RID50633.1" /db_xref="Phytozome:Brara.H01346" /translation="MDKSSTLLVHYDKGTPAVAKEIKEALEGNDVEAKVDAMKKAVML LLNGETIPQLFITIIRYVLPSEDHTIQKLLLLYLELIEKTDSKGKVLPEMILICQNLR NNLMHPNEYIRGVTLRFLCRLKETEIVEPLTPSVLQNLEHRHPFVRRNAILAVMAIYK LPNGEQLFVDAPEMIEKALSTEQDPSAKRNAFLMLFTCAEERAVNYLLSNVDKVSDWN ESLQMVVLELIRSVCKTKPAEKGKYIKIIISLLSATSSAVIYECAGTLVSLSSAPTAI RAAANTYCQLLLSQSDNNVKLILLDRLSELKSSHRDIMVELIIDVLRVLSSPNLDIRR KTLDIALDLITHHNINEVVQMLKKEVVKTQSGELEKNGEYRQMLIQAIHACAVKFPEV ASTVVHLLMDFLGDSNVASALDVISFVREIIETNPKLRVSIITRLLDTFYQIRAGKVC PCALWIIGEYCLSLSEVESGISTIKQCLGELPFYSVSEESEPTEASKKIQPTSSAMVS SRKPVILADGTYATQSAASETTFSTPTVVQGALTSGNLRALLLTGDFFLGAVVACTLT KLVLRLEEVQSSKTEVNKTVTQALLIIVSMLQLGQSPASPHPIDNDSYERIVLCIKLL CHRNDEMKKIWLESCRQSFVKMISEKQLREMEELKAKTQTTHAQPDDLIDFFHLKSRK GMSQLELEDQVQDDLKRATGEFTKDENDANKLNRILQLTGFSDPVYAEAYVTVHHYDI ALEVTVINRTKETLQNLCLELATMGDLKLVERPQNYSLAPATSMQIKANIKVSSTETG VIFGNIVYETSNVMERNVVVLNDIHIDIMDYISPAVCSDVAFRTMWAEFEWENKVAVN TKIENEREFLDHIIKSTNMKCLTGPSELEGECGFLAANLYAKSVFGEDALVNVSIEKQ TDGALSGYIRIRSKTQGIALSLGDKITLKQKGSS" gene <14557559..>14558846 /locus_tag="BRARA_H01347" /db_xref="Phytozome:Brara.H01347" mRNA join(<14557559..14557588,14558445..>14558846) /locus_tag="BRARA_H01347" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01347" CDS join(14557559..14557588,14558445..14558846) /locus_tag="BRARA_H01347" /codon_start=1 /product="hypothetical protein" /protein_id="RID50634.1" /db_xref="Phytozome:Brara.H01347" /translation="MYVSVIETIHQFLRPHNTLQEKLHLPPLKWSNNLSLYASRWART RRGDCNLIHSGGPYGENLFWGSGKGWTPQDAVAGWDSKRKYYDRKTYRCKATGDCLHY TQIVWKKSMRIRCAKGFYKSGDTFIICNYDPPGNIVGQPPF" gene complement(<14583628..>14585091) /locus_tag="BRARA_H01348" /db_xref="Phytozome:Brara.H01348" mRNA complement(join(<14583628..14583783,14583869..14584180, 14584600..>14585091)) /locus_tag="BRARA_H01348" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01348" CDS complement(join(14583628..14583783,14583869..14584180, 14584600..14585091)) /locus_tag="BRARA_H01348" /codon_start=1 /product="hypothetical protein" /protein_id="RID50635.1" /db_xref="Phytozome:Brara.H01348" /translation="MMWRNLRNVVNQNLRARLSQISRRDDAEKPTRLLRPTITESTTR LLRPKISEATEFACILIKPREVLTTMWPCRSRYESHGILLARTLTSLDTQFARGFLGE SEATEIEGISSIHHTKMLRRAQQVTYKTRWIFMEQLLRPPILEGTEIELRPSGELLTR RCSQPIEYLGRACMSNKRDVHPRLETTMGFSRSRSDAPLSCRAYHTSCPVLSPSVLIE EAEVGGRIIKFETGFIATRTKGSVILKDGGMVILATICSRRKANQPFKLMGDYREDAS ARCEMPTWLGRCYVTPWERLCGALIHRALTPLIRPQMGITIQV" gene 14597837..14599587 /locus_tag="BRARA_H01349" /db_xref="Phytozome:Brara.H01349" mRNA join(14597837..14598209,14598796..14599587) /locus_tag="BRARA_H01349" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01349" CDS join(14598013..14598209,14598796..14599246) /locus_tag="BRARA_H01349" /codon_start=1 /product="hypothetical protein" /protein_id="RID50636.1" /db_xref="Phytozome:Brara.H01349" /translation="MAFRGKEMMKKLVKKVGAETLTPELKEKLKACVPDSKVVMGRAK RGLYAGRHIQYGNRVSEDGGNKSRRCWKLNVQEKRLFSYIFDRHIKVKVTTHALRCID KAGGIDEYLLKTPYQKMDTEMGLFWKTKVEQRYAELGQMEVAFFNPEDEAKFEQGFKD LNIAKKEARREARKEARRKMYGDYGGEEKGEGEASLESGGSESHQDDHGWLEANA" gene complement(<14600009..>14601085) /locus_tag="BRARA_H01350" /db_xref="Phytozome:Brara.H01350" mRNA complement(<14600009..>14601085) /locus_tag="BRARA_H01350" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01350" CDS complement(14600009..14601085) /locus_tag="BRARA_H01350" /codon_start=1 /product="hypothetical protein" /protein_id="RID50637.1" /db_xref="Phytozome:Brara.H01350" /translation="MQRPEDHPRIDLAELKAHIVKKIGVERSRRYFYYLGRFLSQKLT KSEFDKSCHRLLGRENLPLHNKLVHSILRNASLAKSPPPGHKSLVLGKEDGPARNDHV RSNGVLHKVRSGTCVGTVRDRPSPLGPNHQPLCSREDKSGNRNTENEDFGPFAYHKSS LYSDERGLKIPDKGQAVDDEAQGERGRLVVSKGPVTAPLGIPFCAASVGGTRRTVPVS TRADVTSCYESGGLSDAEMMRKRMESIAAAHGLGGVSAECSSMLSNVLDVYLKKLIKS CVDLSGARSRWSREEIVNGVWPSNSLQIQTGNQLSGITQEQDSVSLLDFRVAMELNPT QLGEDWPLLRERMLLRSFEEREGV" gene complement(14601963..>14604573) /locus_tag="BRARA_H01351" /db_xref="Phytozome:Brara.H01351" mRNA complement(join(14601963..14602508,14602587..14602713, 14602800..14602858,14602928..14603003,14603096..14603141, 14603242..14603306,14603395..14603545,14603719..14603901, 14604119..14604210,14604290..14604396, 14604485..>14604573)) /locus_tag="BRARA_H01351" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01351" mRNA complement(join(14601963..14602508,14602587..14602713, 14602800..14602861,14602928..14603003,14603096..14603141, 14603242..14603306,14603395..14603545,14603719..14603901, 14604119..14604210,14604290..14604396, 14604485..>14604573)) /locus_tag="BRARA_H01351" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01351" CDS complement(join(14602217..14602508,14602587..14602713, 14602800..14602858,14602928..14603003,14603096..14603141, 14603242..14603306,14603395..14603545,14603719..14603901, 14604119..14604210,14604290..14604396, 14604485..>14604573)) /locus_tag="BRARA_H01351" /codon_start=1 /product="hypothetical protein" /protein_id="RID50639.1" /db_xref="Phytozome:Brara.H01351" /translation="DLVNAQQSTIQRLGSKRVIEQLLMQETFAREEGDRLIDIIKARV VDHPSALASNEGRHSDNGLTSEVNVGEMSNKAVMEAKRWLEEKKSASNSKSKATEDGA GSPVDVARSYMRSRLPWGSPAANNSEFRSPLPAGMQFLNEGTPFPYSAGNLSSSKMKR RSRSNPSWNIQDEIRKVRAKATEEMLKTASPSSAAPLEPKHITYVDGALRNEQSGVPP ESTIPTSEHNQTTEANQDVEETGVRSRGVVLDMKLISTQGVKTSEDTTAPQRTGVHDD LIQPSSTIGDTTNAVLALGATGNLCIPKDVFETSKEADEVGAAHPASNGFPSSSPSSP VAMEGEPKPKPPDETEASQHVAETPDDVSDGTIDKENNNSDSSGSHEEEWLPGDQSLP SSNSASSSPDTSKVLAYTRRGRGRGRGRGRGKGRGK" CDS complement(join(14602217..14602508,14602587..14602713, 14602800..14602861,14602928..14603003,14603096..14603141, 14603242..14603306,14603395..14603545,14603719..14603901, 14604119..14604210,14604290..14604396, 14604485..>14604573)) /locus_tag="BRARA_H01351" /codon_start=1 /product="hypothetical protein" /protein_id="RID50638.1" /db_xref="Phytozome:Brara.H01351" /translation="DLVNAQQSTIQRLGSKRVIEQLLMQETFAREEGDRLIDIIKARV VDHPSALASNEGRHSDNGLTSEVNVGEMSNKAVMEAKRWLEEKKSASNSKSKATEDGA GSPVDVARSYMRSRLPWGSPAANNSEFRSPLPAGMQFLNEGTPFPYSAGNLSSSKMKR RSRSNPSWNIQDEIRKVRAKATEEMLKTASPSSAAPLEPKHITYVDGALRNEQSGVPP ESTIPTSEHNQTTEANQDVEETGVRSRGVVLDMKLISTQGVKTSEDTTAPQSRTGVHD DLIQPSSTIGDTTNAVLALGATGNLCIPKDVFETSKEADEVGAAHPASNGFPSSSPSS PVAMEGEPKPKPPDETEASQHVAETPDDVSDGTIDKENNNSDSSGSHEEEWLPGDQSL PSSNSASSSPDTSKVLAYTRRGRGRGRGRGRGKGRGK" gene complement(14606776..14609952) /locus_tag="BRARA_H01352" /db_xref="Phytozome:Brara.H01352" mRNA complement(join(14606776..14606984,14607076..14607112, 14607193..14608152,14608487..14609952)) /locus_tag="BRARA_H01352" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01352" CDS complement(join(14607076..14607112,14607193..14608064)) /locus_tag="BRARA_H01352" /codon_start=1 /product="hypothetical protein" /protein_id="RID50640.1" /db_xref="Phytozome:Brara.H01352" /translation="MAALQHVCENHLQAKTFCSQVRINWDDLVCPICLDSPHNGVLLQ CSSYDNGCRAFVCNTDHLHSNCLDRFITAYGTDPPPPPPDEPRSKVLEESCKPLCPLC RGEVTGWVIVEEARLRLDEKQRCCEEERCRFTGTYTELRKHAQSEHPDSRPSKIDPAR KLDWENFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDDTGDEFEDVASNEGSWWT SCIFYKMFDNIRNARNRRRARRGGSSRSSYDNSNSDDSSVASIEFPEYRVDEIDDEFI TTTSGVNRSNSVHQRLRRHRSRFYEN" gene complement(14615257..14617053) /locus_tag="BRARA_H01353" /db_xref="Phytozome:Brara.H01353" mRNA complement(join(14615257..14615484,14615560..14615885, 14616146..14616214,14616305..14616400,14616497..14616731, 14616818..14617053)) /locus_tag="BRARA_H01353" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01353" CDS complement(join(14615331..14615484,14615560..14615885, 14616146..14616214,14616305..14616400,14616497..14616731, 14616818..14616972)) /locus_tag="BRARA_H01353" /codon_start=1 /product="hypothetical protein" /protein_id="RID50641.1" /db_xref="Phytozome:Brara.H01353" /translation="MQPKINSFFKPSSSPPIAASVTPETDDGLTAWENNRNVIVNTYE RRSPKADGSEVLKEPIEEPPRKGPSFAPKTLNKKRSYTQFHLELGQSDFLLRYCVECG ATYAPGDELDEKSHQSFHKDYMNGIPFKGWQNERAFTSPSLDKNRVAMVLENDSPAHR NKVQEVVKMMEVELGEDWILHRHCKVYLFVSSQRISGCLVAEPIKEAFKIIAPLDDKR QLKKERSSPSTTIHFGDIVLQREVSKRCPESDDRLDNRAIVCEEEAKPAVCGVRAIWV SPSNRRKGLATQLLDTARESFSNGCVLEKSQLAFSQPSSLGRAFGFNYFGTSSFLVYK AQLSVPGPIL" gene complement(<14627149..>14627523) /locus_tag="BRARA_H01354" /db_xref="Phytozome:Brara.H01354" mRNA complement(<14627149..>14627523) /locus_tag="BRARA_H01354" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01354" CDS complement(14627149..14627523) /locus_tag="BRARA_H01354" /codon_start=1 /product="hypothetical protein" /protein_id="RID50642.1" /db_xref="Phytozome:Brara.H01354" /translation="MSGVWVFNKNGVMRLVENPYNQSGGDWSESSSSGGSQQQRMRRK ILVHLPTSEVVSSYKSLERILKCLGWERYYNGDNADHLLQFHKRTSIDLISLPRDFSK FNSIHMYDIVVKNPNVFHVRDM" gene <14632370..>14633209 /locus_tag="BRARA_H01355" /db_xref="Phytozome:Brara.H01355" mRNA <14632370..>14633209 /locus_tag="BRARA_H01355" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01355" CDS 14632370..14633209 /locus_tag="BRARA_H01355" /codon_start=1 /product="hypothetical protein" /protein_id="RID50643.1" /db_xref="Phytozome:Brara.H01355" /translation="MGLNASLCLFSLTFLLVFFSTAITADNITQAFEKYSNFSTMNDL LIKTKLTIPISKYLTITLLAVSNEAITPIINRSDVELKNILMNHVILDYYDEMKFNGM KEKSIMLTTLYQTTGLGEEMNGFLNCTKSKGRVYFGSGVKGSPLVAEYVKALYHNPYN MSIVQISMPIVAPGLSLAIFPPPPPPAPPAPAPAPSPMNAAKAPGPGPADEDNASDTD VPKHTPTTETAEVDSPAPTPSADNEKIEAADKAGTSSFACKAGLSFDVVLLLLLFGSF AGL" gene complement(<14633710..>14638186) /locus_tag="BRARA_H01356" /db_xref="Phytozome:Brara.H01356" mRNA complement(join(<14633710..14633790,14634060..14634119, 14634245..14634313,14634810..14635061, 14638178..>14638186)) /locus_tag="BRARA_H01356" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01356" CDS complement(join(14633710..14633790,14634060..14634119, 14634245..14634313,14634810..14635061,14638178..14638186)) /locus_tag="BRARA_H01356" /codon_start=1 /product="hypothetical protein" /protein_id="RID50644.1" /db_xref="Phytozome:Brara.H01356" /translation="MQRRKRNANGEEKAKPLTAAGVTWSMDRRTRSATKQNGAKAAGS VTKLASPKKVKRKKSEVETETESEKKTKKEEEEAETEDSTKRKSHTGEGRSGRSCSWR TVTLNPENPRRGCFEIREEGGQTFITLLEMKRPFAPMKALDMEEVIEDIINKIK" gene complement(<14638692..>14642335) /locus_tag="BRARA_H01357" /db_xref="Phytozome:Brara.H01357" mRNA complement(join(<14638692..14638952,14639076..14639206, 14639497..14639622,14639709..14639862,14639937..14640061, 14640304..14640406,14640500..14640625,14640713..14640889, 14640956..14641090,14641256..14641593, 14642329..>14642335)) /locus_tag="BRARA_H01357" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01357" CDS complement(join(14638692..14638952,14639076..14639206, 14639497..14639622,14639709..14639862,14639937..14640061, 14640304..14640406,14640500..14640625,14640713..14640889, 14640956..14641090,14641256..14641593,14642329..14642335)) /locus_tag="BRARA_H01357" /codon_start=1 /product="hypothetical protein" /protein_id="RID50645.1" /db_xref="Phytozome:Brara.H01357" /translation="MSYLVYRVFSFILIKTLVLSYNGFNFLCIGAEFLMRDVASKRRD FSSLTQPTRTRFNTLNLPVTEAFKLGSSIINLEMVDDEKNHGSMLCHMDGTTVRSNVI VSHDKYNDDNFLQVYYDETRYGERWRSHDPTDREIMCSHLIDRSIRPLFTAGFPANVM VNVCVLKTNWKHEADAELMAIIATSAALMKLNITQAGPIGVIRIGRINENIIINPTID EQRRSDFNLLYVCTRQNTIMADLVASEISESDLATNIKLAQLEAVKCIDSQVKLRERY ESDKKVKLLTSNSKNLQDTKIKSDVNRSDGRGSHQIRPVHCEAGYLHALHGSSLISCG ETQVLCTATIGKPGETQSVDVLPRKSFRVDYDFPPFCTNHIMDIFSRRWREIGDGMFI EKALLAVIPSQRDFPYAIHLNSKVLASDGSSSTTSVCGGSIALVDAGVPIKSHVAGVS IGLVTDDETSNGQLENYRIITDTSGLENDLGEMDFKIAGTRNGITAIQLDAKSTLLSL DVIGEAIKYGRQAHLQILDHMEQAINSPKETSYYKERRIEDDTGNHLKLSSS" gene complement(14642904..14645037) /locus_tag="BRARA_H01358" /db_xref="Phytozome:Brara.H01358" mRNA complement(join(14642904..14643218,14643322..14643396, 14643488..14643561,14643677..14643788,14644144..14644216, 14644291..14644346,14644435..14644511,14644802..14645037)) /locus_tag="BRARA_H01358" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01358" CDS complement(join(14643087..14643218,14643322..14643396, 14643488..14643561,14643677..14643788,14644144..14644216, 14644291..14644346,14644435..14644511,14644802..14644904)) /locus_tag="BRARA_H01358" /codon_start=1 /product="hypothetical protein" /protein_id="RID50646.1" /db_xref="Phytozome:Brara.H01358" /translation="MDLNLDAPHSMGTTIIGVTYNGGVVLGADSRTSTGMYVANRASD KITQLTDNVYVCRSGSAADSQVVSDYVRYFLHQHTIQLGQPATVKVSANLIRMLAYNN KNMLQTGLIVGGWDKYEGGKIYGIPLGGTVVEQPFAIGGSGSSYLYGFFDQAWKENMT KEEAEQLVVKAVSLAIARDGASGGVVRTVIINSEGVTRNFYPGDKLQLWHEELEPQNS LLDILNAAGPEPMAM" gene 14655862..14657373 /locus_tag="BRARA_H01359" /db_xref="Phytozome:Brara.H01359" mRNA join(14655862..14656879,14657126..14657373) /locus_tag="BRARA_H01359" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01359" CDS 14655943..14656584 /locus_tag="BRARA_H01359" /codon_start=1 /product="hypothetical protein" /protein_id="RID50648.1" /db_xref="Phytozome:Brara.H01359" /translation="MDLDGIISTKQTVNYESKTRAVDSNTAVSFSIRVREVELRFVRD GSFLRENLLKHRTIASPVIGLEIPPCFLAGEEICRGYVAKALSKTIIPTWIQPFILPQ ICKDAITEGKRSGFMVEAQVGAVKQTYINNCIDVNDYNSEGRKIPTEPDCPICLQDFG PRSIITKLRCCDYNFHRDCILTWLGHKPSCPTCRDDIHNPRPKKFTPKIFLGR" gene complement(14657126..14659047) /locus_tag="BRARA_H01360" /db_xref="Phytozome:Brara.H01360" mRNA complement(join(14657126..14657373,14657482..14657575, 14657651..14659047)) /locus_tag="BRARA_H01360" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01360" CDS complement(14658203..14658460) /locus_tag="BRARA_H01360" /codon_start=1 /product="hypothetical protein" /protein_id="RID50647.1" /db_xref="Phytozome:Brara.H01360" /translation="MSSSGSTKPRWTIACVGISSFRALGSNSASLLRCPRIRSTVTRD CKAATWKNSDVPLREMVLHLQHSETPPLLAPASLRNGLCCY" gene complement(14664526..14666756) /locus_tag="BRARA_H01361" /db_xref="Phytozome:Brara.H01361" mRNA complement(join(14664526..14664915,14665038..14665117, 14665216..14665287,14665365..14665405,14665491..14665547, 14665631..14665687,14665760..14665836,14665927..14666053, 14666529..14666756)) /locus_tag="BRARA_H01361" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01361" mRNA complement(join(14664526..14664915,14665038..14665117, 14665216..14665287,14665365..14665405,14665491..14665547, 14665631..14665687,14665760..14665836,14665927..14666053, 14666489..14666756)) /locus_tag="BRARA_H01361" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01361" CDS complement(join(14664737..14664915,14665038..14665117, 14665216..14665287,14665365..14665405,14665491..14665547, 14665631..14665687,14665760..14665836,14665927..14666035)) /locus_tag="BRARA_H01361" /codon_start=1 /product="hypothetical protein" /protein_id="RID50649.1" /db_xref="Phytozome:Brara.H01361" /translation="MVMWVFGYGSLVWNPGFHYDEKVLGFIKGYKRVFDLACIDHRGT PEHPARTCTLEIDEEAICWGTAFCVRGGPEEERLAMEYLERRECEYDLKTCVDFYKED DPLNPAVTGVMVFTSTPDKVSNKYYLGPAPLEDMARQIATANGPCGNNRDYLFLLEKA MHDIGHEEEYVIELANEVRKVLAEKVSPVKESRASPVAKKSKSNVPTAHQILPHQPEA VATTL" CDS complement(join(14664737..14664915,14665038..14665117, 14665216..14665287,14665365..14665405,14665491..14665547, 14665631..14665687,14665760..14665836,14665927..14666035)) /locus_tag="BRARA_H01361" /codon_start=1 /product="hypothetical protein" /protein_id="RID50650.1" /db_xref="Phytozome:Brara.H01361" /translation="MVMWVFGYGSLVWNPGFHYDEKVLGFIKGYKRVFDLACIDHRGT PEHPARTCTLEIDEEAICWGTAFCVRGGPEEERLAMEYLERRECEYDLKTCVDFYKED DPLNPAVTGVMVFTSTPDKVSNKYYLGPAPLEDMARQIATANGPCGNNRDYLFLLEKA MHDIGHEEEYVIELANEVRKVLAEKVSPVKESRASPVAKKSKSNVPTAHQILPHQPEA VATTL" gene 14667461..14669065 /locus_tag="BRARA_H01362" /db_xref="Phytozome:Brara.H01362" mRNA join(14667461..14667522,14667781..14668266, 14668487..14669065) /locus_tag="BRARA_H01362" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01362" CDS join(14667795..14668266,14668487..14668944) /locus_tag="BRARA_H01362" /codon_start=1 /product="hypothetical protein" /protein_id="RID50651.1" /db_xref="Phytozome:Brara.H01362" /translation="MEEGTSSGSRRTRSQVAPDWTLKDCLILVNEIAAVESDCSNALS SFQKWTMISDNCNALDVHRNFNQCRRKWDSLVSDYSQIKKWESQERGGGHSYWSLSVE KRRKLNLPGNVDNELFQAINAVVMIQENKEGSEPDSDSDPEAQEDFDVVDVTAELGSK RSRQRTMVVMKENPPHKRKTEEERRRKNIQEQRAKATHQKNKTMEVKKKPVVEISTDV EEEEEEEEEEEEEETMSIEEEVKALEAKLGEKADMIHAIVGRNLAKGSETEDDVGIED KLKFVRQQGDELIACLSEIVNTLHRLREVPQEI" gene 14669448..14671795 /locus_tag="BRARA_H01363" /db_xref="Phytozome:Brara.H01363" mRNA join(14669448..14669689,14670076..14671065, 14671140..14671795) /locus_tag="BRARA_H01363" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01363" CDS join(14670176..14671065,14671140..14671479) /locus_tag="BRARA_H01363" /codon_start=1 /product="hypothetical protein" /protein_id="RID50652.1" /db_xref="Phytozome:Brara.H01363" /translation="MLEGPKFDMLAPGNHHNYDAFTQDFYQKLGEEGTNMSTDSMQTS NAGGSVSMSVDNSSVGSSDALIGHPGLKPMRHPYSLSVGQSVFRPGRVTHALNGDALA QALMDSNHPTGGLANYEEWTIDLRKLHMGPAFAQGAFGKLYRGTYKGEDVAIKLLERP ENSPEKAQALEQQFQQEVSMLAFLKHPNIVRFIGACVKPMVWCIVTEYAKGGSVRQFL TKRQNRAVPLKLAVKQALDVARGMAYVHERNFIHRDLKSDNLLISADRSIKIADFGVA RIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGLLPFQNMTAV QAAFAVVNRGVRPTVPADCLPVLGEIMTRCWDANPEVRPCFAEIVNLLEGAETEVMTT VRKARFRCCMTQPMTID" gene complement(14671915..>14678820) /locus_tag="BRARA_H01364" /db_xref="Phytozome:Brara.H01364" mRNA complement(join(14671915..14672687,14672785..14674461, 14676135..14676347,14676452..14676878,14676983..14677071, 14677161..14677896,14677987..14678147,14678240..14678356, 14678427..14678502,14678576..>14678820)) /locus_tag="BRARA_H01364" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01364" mRNA complement(join(14671915..14672687,14672785..14674469)) /locus_tag="BRARA_H01364" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01364" CDS complement(join(14671983..14672687,14672785..14674461, 14676135..14676347,14676452..14676878,14676983..14677071, 14677161..14677896,14677987..14678147,14678240..14678356, 14678427..14678502,14678576..14678820)) /locus_tag="BRARA_H01364" /codon_start=1 /product="hypothetical protein" /protein_id="RID50654.1" /db_xref="Phytozome:Brara.H01364" /translation="MDGQGNEPEVPNPTAENEQLALAAETETETENSNGGGDNPKEEE GLIEKAQKLMEHITNGANNPNPTVLHALSHLLESQESLFIKENGFYSNGRGSHISGKL CKLIKENDEFFELISSTFLSENTYSTAVKAASARLLMNWLLTWTHPYIFDDAVTENFK KWVLEEAVKFPGEHSGSSEASDSEMLKTYSTGLLAHSLTSRGQLVEDVLTSGLSAKLM HYLRVRVIGEASTSRRDALHTTEAKHVSLKTKEDGRSRVRRVVDTAEGDHVLEADAGR ETDVLSEGELEIDGRDRCNVPAVFDGKMKPGDGNTGRDDPSRNRLSRSKSRARGKVNE GATDTDSLLASPTSGRLGVRDRDQSKNLDVRNAEDGTKWLGKMKSGIMEIEREKNDEC FQDCVIGTKNITDVVKRAVGAAETEARAANAPDEAVKAAGDAAAELVKTAALEEFKSS GSEEAAVAAARRAATTVIDAAEVSRNHTCVTSDQAAGTSSVETDAIVDVGEVSLPDIE SLAQLQEKYCIQCLEILGEYVEVLGPVLHEKGVDVCITLLERTSQLGDSFTLSPLLPD VMKLICALAAHRKFAAMFVDRGGLQKLLAVPRVTETFYGLSSCLYTIGSLQGIMERVC ALPSDLIHQVVKLAIELLDCSQDQARKNSALFFAAAFVFRAILDAFDAHNSLQKLLAI LKDAASASGLGETASALLKEAQLNPLPSLAPPSSIAYSATQEMSAPVAQVQWPSGRAS GGFFNSKPEVCAHDEDPNSKCNAALSAKKKHLASSTQETSTPVAQQQWPSGRTNCGFF PSKPKVNAHEEDPSSRGNAAPSAKKKQLAFSPSFGSQSRKQSLCQDAQPQSTQRINSS SNSDPACGDTSEAVAEKNDLDADAQFKTPTFPRKRKLSELRETEMSTSSKRINLGELG PRTPACPTSASLRRSSTIAEASGFQTPASALDVNQSGSSRLGQMTPASQLRLPSDPQP SERLSLDSLVVQYLKHQHRQCRAPITTLPPVSLLHPHVCPEPKRLLEAPLNITDRLGT RELQSYYSGVHGNRRDRQFVFSRFKSWRSYRDETALFTSISLLGGTNHLAVGSHAGEI KIFDASSGNMLESVSGHQAPVTLVQSYVSGDTQLLLSSSYSDVQLWDASDITVGSKHS FDGCKAAKFSNSGSLIAALSSEGPTKDVLLYNVETGSLSEKFTDPDTSSRTSPYTLVH FNPCDSLILWNGHLWDRRVPNSCKRFDQFTDYGGGGFHPSRNEVIINSEVWDLRNMNT RLIRSVPSLDQTAITFNSRGDVIYAMLRRNIEDVMSAVNTRRAKHPLFAAFRTLDAVN YSDIATIPVDRCLLDFATEPTDSFLGLITMEDQDDMFSSARMYEIGRRRPTDDDSDPD DDGETEDEDEDDEDDEDDLDRILGLAGDDSDSGDDDMSSDDNEDNSASDFDDDDGGMF FDGGIMEIVSEGDDEDDNGDSDGEDSDDDGDSISSGEEDFLNSIH" CDS complement(join(14671983..14672687,14672785..14674353)) /locus_tag="BRARA_H01364" /codon_start=1 /product="hypothetical protein" /protein_id="RID50653.1" /db_xref="Phytozome:Brara.H01364" /translation="MSAPVAQVQWPSGRASGGFFNSKPEVCAHDEDPNSKCNAALSAK KKHLASSTQETSTPVAQQQWPSGRTNCGFFPSKPKVNAHEEDPSSRGNAAPSAKKKQL AFSPSFGSQSRKQSLCQDAQPQSTQRINSSSNSDPACGDTSEAVAEKNDLDADAQFKT PTFPRKRKLSELRETEMSTSSKRINLGELGPRTPACPTSASLRRSSTIAEASGFQTPA SALDVNQSGSSRLGQMTPASQLRLPSDPQPSERLSLDSLVVQYLKHQHRQCRAPITTL PPVSLLHPHVCPEPKRLLEAPLNITDRLGTRELQSYYSGVHGNRRDRQFVFSRFKSWR SYRDETALFTSISLLGGTNHLAVGSHAGEIKIFDASSGNMLESVSGHQAPVTLVQSYV SGDTQLLLSSSYSDVQLWDASDITVGSKHSFDGCKAAKFSNSGSLIAALSSEGPTKDV LLYNVETGSLSEKFTDPDTSSRTSPYTLVHFNPCDSLILWNGHLWDRRVPNSCKRFDQ FTDYGGGGFHPSRNEVIINSEVWDLRNMNTRLIRSVPSLDQTAITFNSRGDVIYAMLR RNIEDVMSAVNTRRAKHPLFAAFRTLDAVNYSDIATIPVDRCLLDFATEPTDSFLGLI TMEDQDDMFSSARMYEIGRRRPTDDDSDPDDDGETEDEDEDDEDDEDDLDRILGLAGD DSDSGDDDMSSDDNEDNSASDFDDDDGGMFFDGGIMEIVSEGDDEDDNGDSDGEDSDD DGDSISSGEEDFLNSIH" gene 14679506..14681277 /locus_tag="BRARA_H01365" /db_xref="Phytozome:Brara.H01365" mRNA join(14679506..14679658,14679734..14679968, 14680040..14680142,14680221..14680285,14680389..14680505, 14680579..14680707,14680806..14680881,14680993..14681277) /locus_tag="BRARA_H01365" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01365" CDS join(14679597..14679658,14679734..14679968, 14680040..14680142,14680221..14680285,14680389..14680505, 14680579..14680707,14680806..14680881,14680993..14680997) /locus_tag="BRARA_H01365" /codon_start=1 /product="hypothetical protein" /protein_id="RID50655.1" /db_xref="Phytozome:Brara.H01365" /translation="MDGEGASGESSSSNQLENWKKEQDRLKKKLITHDDFSWQGSETL KYVGGVDISFSKDDSSVACACLVVLELPSLRVVHNELSLIRLQVPYVPGFLAFREAPV LLQILEKMRDDHHPFYPQVLMVDGNGILHPRGFGLACHLGVLAHLPTIGVGKNLHHVD GLDHSEVRRLFQLKENEDKKVITLVGNSGFTWGIGLRPTLSSLKPIYVSVGHRISLET AVEVVKMTCMYRVPEPIRQADIRSRAYLQKHQLRLLKDLGPLGMD" gene <14683021..>14685081 /locus_tag="BRARA_H01366" /db_xref="Phytozome:Brara.H01366" mRNA join(<14683021..14683450,14683585..14683832, 14683874..14684107,14684139..14684288,14684326..>14685081) /locus_tag="BRARA_H01366" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01366" CDS join(14683021..14683450,14683585..14683832, 14683874..14684107,14684139..14684288,14684326..14685081) /locus_tag="BRARA_H01366" /codon_start=1 /product="hypothetical protein" /protein_id="RID50656.1" /db_xref="Phytozome:Brara.H01366" /translation="MGGSMMSEVCDNNIDAGLSSLAITNNVPIQAPIVSSYNDHIRPL LDTVDRLRNLNVMKEGIQLSTIVVAGDQSSGKSSVLESLAGISLPRAADKICTRVPLV MRLQRSSSPEPKIWLKYGDKSYSTDEEHITEDICKATEAIAGTGEGVSDTPLELYVRR QSVPDLTMVDLPGITRNPVNDQPEDIYEQVSAMIKKYIEPQESIILNVLAATCDFSTC ESIRMSKQKVTADDVNIGLGYVCVRNRVGDETYQEAREKEEELFKKHPFLSKIDKDIV GIPVLAKRLVEIQAKMITRCLPDIVTKILPAVITCEREAWMTFTDIIRSVESLLKLLI IQGDYSEYPDDVNMHCSTRSVLEECKCIGLPNFIPRSAFVSILTKRLDAIHARPVEFM REIWDYVEVVLLSVINKYSENFSQIQPSIQRPCRRLISKIREQSVTRVIEMVEMEKLT DYTCNPEYTTVCTQKIAAQVSFVNAVVGLNQSAVALAGFGTVPITHLRKYPSQLLHQA FDMKVSITAYWSIVVRRIVDSVTLYLQFTVKNLVNSQFQKEIVEELGGGGDVEKMLDE SPAVACKREKLKNSIKLLKESKEAVAAVLDQNHGHGYRY" gene <14691569..>14692770 /locus_tag="BRARA_H01367" /db_xref="Phytozome:Brara.H01367" mRNA join(<14691569..14692016,14692088..>14692770) /locus_tag="BRARA_H01367" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01367" CDS join(14691569..14692016,14692088..14692770) /locus_tag="BRARA_H01367" /codon_start=1 /product="hypothetical protein" /protein_id="RID50657.1" /db_xref="Phytozome:Brara.H01367" /translation="MKGEKRRCVNVPQEILQEILVKLPVKSLARFKAVSREWGGTIES KYFIEKHNRYQKSLQVGQVRIVSFSKEKRYNGLALKNMLVSASGIIHVSPCLPIRAFN RFDGYKISEPCDGLICLYTYSRIFNLVNPATTSRRRISDPTPPYSFSGRHEVYTLLGI GRSNSVSPRYKIVWFFECDIKRVNKSTRCMVFALDSNTWRYVDPPHCRVYYRHSLIHL DGVMYCFADYMEEPRLFEQDVKLLAFDLHTETFRSISITPDIGCKCCHELSMCVLNYR ICIFKSFVDDQDCFFKIWGLDIDKRSWETMYSIDLSCFPPEFKVGKRIIPMATINDYV IISSFDRTIWVLYSSKSCILYHMPFACHLVMSYFETLVSTYQ" gene complement(<14693511..>14693864) /locus_tag="BRARA_H01368" /db_xref="Phytozome:Brara.H01368" mRNA complement(<14693511..>14693864) /locus_tag="BRARA_H01368" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01368" CDS complement(14693511..>14693864) /locus_tag="BRARA_H01368" /codon_start=1 /product="hypothetical protein" /protein_id="RID50658.1" /db_xref="Phytozome:Brara.H01368" /translation="PACFVVAAWSSTTGSCGIGGVFKGVTRPHIPDICSSRRLVSSAL MAEAIVVRSAVMLAASSNLRSLQVFSDSQALVSMVKAKESRPALFGILFDIYHFSCLF DTISFSLIYSPSTKL" gene 14697375..14699102 /locus_tag="BRARA_H01369" /db_xref="Phytozome:Brara.H01369" mRNA join(14697375..14697572,14697690..14697798, 14698307..14698521,14698645..14699102) /locus_tag="BRARA_H01369" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01369" CDS join(14697709..14697798,14698307..14698521, 14698645..14698927) /locus_tag="BRARA_H01369" /codon_start=1 /product="hypothetical protein" /protein_id="RID50659.1" /db_xref="Phytozome:Brara.H01369" /translation="MAVTMKHMSLIVSLFGVLSFLLGVIAENKKPASGTPINGKGVVI CKYPSDPTVALGYLSAAFLLACTIAGYKSLFMSYKGRSVPNSVLFKSTSFSVFFNIAL ITSGLALSLLLWPTITEQLHLTRNVHRNLETSCPTAKTGLLGGGAFVSLDSCLFWLVA LMLADNAREDHFDETENRNVNGNSSSRDVNLKIDA" gene <14702102..>14702431 /locus_tag="BRARA_H01370" /db_xref="Phytozome:Brara.H01370" mRNA join(<14702102..14702153,14702247..>14702431) /locus_tag="BRARA_H01370" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01370" CDS join(14702102..14702153,14702247..14702431) /locus_tag="BRARA_H01370" /codon_start=1 /product="hypothetical protein" /protein_id="RID50660.1" /db_xref="Phytozome:Brara.H01370" /translation="MAKLSCSYFLVLIIVFSVCLMVEKTEGKVCEITLKVGTDCIRFF CAQDCAGQYYGGLGYCFDDPKVPGPLNCRCRYDC" gene <14712524..>14712865 /locus_tag="BRARA_H01371" /db_xref="Phytozome:Brara.H01371" mRNA join(<14712524..14712575,14712678..>14712865) /locus_tag="BRARA_H01371" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01371" CDS join(14712524..14712575,14712678..14712865) /locus_tag="BRARA_H01371" /codon_start=1 /product="hypothetical protein" /protein_id="RID50661.1" /db_xref="Phytozome:Brara.H01371" /translation="MAKLSCSYFLVLMIVFSVYLMVEKTEGKNICQTTIDKGVECVRS VCRKDCAQQHIGGLAYCFNDPEVPGPLNCRCYYYC" gene <14720588..>14720915 /locus_tag="BRARA_H01372" /db_xref="Phytozome:Brara.H01372" mRNA join(<14720588..14720639,14720734..>14720915) /locus_tag="BRARA_H01372" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01372" CDS join(14720588..14720639,14720734..14720915) /locus_tag="BRARA_H01372" /codon_start=1 /product="hypothetical protein" /protein_id="RID50662.1" /db_xref="Phytozome:Brara.H01372" /translation="MAKLSCSFFLLLVIIFSVCLMVEKSEGMLCEITIDQEQDCEMFF CTQDCARHYNGVGECLDDPNVFGPRNCRCKYNC" gene 14723901..14728873 /locus_tag="BRARA_H01373" /db_xref="Phytozome:Brara.H01373" mRNA join(14723901..14724088,14724167..14724287, 14724362..14724471,14724551..14724640,14724745..14724810, 14724992..14725054,14727817..14727920,14728062..14728110, 14728188..14728274,14728394..14728499,14728602..14728873) /locus_tag="BRARA_H01373" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01373" CDS join(14723948..14724088,14724167..14724287, 14724362..14724471,14724551..14724640,14724745..14724810, 14724992..14725054,14727817..14727920,14728062..14728110, 14728188..14728274,14728394..14728499,14728602..14728708) /locus_tag="BRARA_H01373" /codon_start=1 /product="hypothetical protein" /protein_id="RID50663.1" /db_xref="Phytozome:Brara.H01373" /translation="MPLGERGGWESSESMYCGVETDFSDDVPSLLSFHISTGGFDYVL APLMNPSYRPSLVEANGSSDTQALPVSGSDLVLAPSQWSSHVVGKVSSWIDLDSEDEV LRMDSETTLKQEIAWATHLSLQACLLPTPKGTSCANYARCVNQILQGLGNLQLWLRVP LVKSDGDSMDATSEGLNDSWELWNSFRLLCEHDSKLSVSELLGSFGDNELSPECLDGA QRFLKPDGISIPSSYTSFIQPVTASKLYNDVKAHKDLAHFETPYVVKLHSVARLAPSQ PVFTFAHPNFSTKANNQRYKKLRFNLPSDAGSALVHGFAGYFDSVLYKDVHXXXXTPM AVPTGSAFRIRGY" gene complement(14729012..14731322) /locus_tag="BRARA_H01374" /db_xref="Phytozome:Brara.H01374" mRNA complement(join(14729012..14729544,14729640..14730069, 14730458..14730567,14730636..14730780,14730899..14731322)) /locus_tag="BRARA_H01374" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01374" CDS complement(join(14729291..14729544,14729640..14730069, 14730458..14730567,14730636..14730780,14730899..14731174)) /locus_tag="BRARA_H01374" /codon_start=1 /product="hypothetical protein" /protein_id="RID50664.1" /db_xref="Phytozome:Brara.H01374" /translation="MAQEQEGAVAETRDQNDSPVATATSDDSVKKKQNGFFSRIWNAM FRDKGDDFEKRLAYISKEEANVLSRIKRRSITWRKLTRNLVLSSLFFEVIAVGYAIMA TRTKDLDWKMRSFRILPMFLLPALSALAYSSIVTFSKMFDRRDQRTLEKLRAERLDKI NELKERTNFYITQQLIERYDPDPAAKAAAATVLASKLGADSGLKVVLGDESQVDPAWG KSNDMEVNQSRGLRNRRHPNARPHSSASTSTHHSDDESRHSGASERLLGTAEQNQQMD LTHYSPEGYAAPDGSWISRIAALLVGEDPTQSFAIICENCHMHNGLARKEDFAYITYY CPHCNALNKPKYSQENPLLLPPVPAPLVTDSPSLIETSEVVNSSSSSSERGNSPIPEI EEAATTETGTPS" gene complement(<14732211..>14732672) /locus_tag="BRARA_H01375" /db_xref="Phytozome:Brara.H01375" mRNA complement(<14732211..>14732672) /locus_tag="BRARA_H01375" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01375" CDS complement(14732211..14732672) /locus_tag="BRARA_H01375" /codon_start=1 /product="hypothetical protein" /protein_id="RID50665.1" /db_xref="Phytozome:Brara.H01375" /translation="MPPSTPKSHLLSSSLEEDQKFKCYRGVRKRSWGKWVSEIRVPRT GRRIWLGSYDAPEKAARAYDSALFCIKGEKGAFNFPNDKKPQLPEGSVRPLSKHDIQT IATDYALSVASAPSSPTTTVPATYQVPSHVPASSDASSANEHYLPVDATAE" gene complement(14734027..>14735209) /locus_tag="BRARA_H01376" /db_xref="Phytozome:Brara.H01376" mRNA complement(join(14734027..14734404,14734487..14734637, 14734719..14734983,14735076..>14735209)) /locus_tag="BRARA_H01376" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01376" CDS complement(join(14734088..14734404,14734487..14734637, 14734719..14734983,14735076..14735209)) /locus_tag="BRARA_H01376" /codon_start=1 /product="hypothetical protein" /protein_id="RID50666.1" /db_xref="Phytozome:Brara.H01376" /translation="MESLHRVETLISGLHHHPRTNNTNRNRVPRSVNIFNAANHVNPR KCQCFDLYDQLVPYNKAWTWQKSLVEEKQILLDRNQDCPDTLILLQHSPVYTMGTGSS EDYLNFNIKDAPFDVYRTERGGEVTYHGPGQLVMYPIINLRNHEMDLHWYLRTLEEVI IRVLSSAFSIKASRLDGLTGVWVGNQKVAAIGIRVSKWITYHGLALNVTTDLAPFNSI VPCGIRDRQVGSIKGLLGDGEEQGKVGDLRLMDVAHESLLKEFSEVFQLQIEKQTVSD LNILKAESFKCL" gene 14735638..14738041 /locus_tag="BRARA_H01377" /db_xref="Phytozome:Brara.H01377" mRNA join(14735638..14736718,14736817..14736903, 14737052..14737193,14737308..14737424,14737532..14737611, 14737795..14738041) /locus_tag="BRARA_H01377" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01377" CDS 14736272..14736565 /locus_tag="BRARA_H01377" /codon_start=1 /product="hypothetical protein" /protein_id="RID50667.1" /db_xref="Phytozome:Brara.H01377" /translation="MRLSYHTMRFVPSKGKKDSKRRRSWWQRFFFDDNDDGNWLGLRE EDIVDEMSDEEKLETWKKRRAEVIVELREVQEEIGDNVDGDVRQKWEPILCWS" gene 14739544..14741463 /locus_tag="BRARA_H01378" /db_xref="Phytozome:Brara.H01378" mRNA join(14739544..14740175,14740276..14740312, 14740397..14740633,14740712..14740759,14740843..14741463) /locus_tag="BRARA_H01378" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01378" CDS join(14739874..14740175,14740276..14740312, 14740397..14740633,14740712..14740759,14740843..14741103) /locus_tag="BRARA_H01378" /codon_start=1 /product="hypothetical protein" /protein_id="RID50668.1" /db_xref="Phytozome:Brara.H01378" /translation="MGNVTSNVAAKLAFFPPEPATYTVTNDEETGNLVFSGVSPDMNM EVHQLSTKPGNRVVATFWRHPFARFTLLYSHGNAADLGQMVELFIELRAHLRVNIMSY DYSGYGASTGKPSEFNTYHDIEAVYNCLRGDYGIKQEEVILYGQSVGSGPTLHMASRL KRLRGVVLHSAILSGIRVLYPVKVTLWFDIYKNIDKIRHVSSQVLVIHGTNDEIVDLS HGKRLWELAKEKYDPLWVKGGGHCNLETYPEYIQHLRKFINAMEKLSLTNPPSKQLAN EPSISETKHNRCLRFRKR" gene complement(14741502..14743072) /locus_tag="BRARA_H01379" /db_xref="Phytozome:Brara.H01379" mRNA complement(join(14741502..14742059,14742395..14742418, 14742760..14743072)) /locus_tag="BRARA_H01379" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01379" CDS complement(join(14741758..14742059,14742395..14742418, 14742760..14742952)) /locus_tag="BRARA_H01379" /codon_start=1 /product="hypothetical protein" /protein_id="RID50669.1" /db_xref="Phytozome:Brara.H01379" /translation="MARRSGDCMKCLVIFAVVSALVVCGPALYWKFNKGFAGSTRKTS VCPPCVCDCPPPVSLLELAPGLANLSVTDCGGDDPELKQEMEKQFVDLLTEELKLQEA VADEHSRHMNVTLAEAKRVASQYQKEAEKCNAATEICESARERAEALLIKERKITALW EKRARQSGWEGE" gene complement(14743375..14749271) /locus_tag="BRARA_H01380" /db_xref="Phytozome:Brara.H01380" mRNA complement(join(14743375..14743754,14743997..14744139, 14744233..14744287,14744363..14745238,14745313..14745397, 14745838..14746030,14746178..14746250,14748555..14749271)) /locus_tag="BRARA_H01380" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01380" CDS complement(join(14744086..14744139,14744233..14744287, 14744363..14744523)) /locus_tag="BRARA_H01380" /codon_start=1 /product="hypothetical protein" /protein_id="RID50670.1" /db_xref="Phytozome:Brara.H01380" /translation="MKWKTSERKNCDDSRTYIYIEKDCISGAKEYYSFTCITGFNTWV NRGSTNGSKSVREIEDGFLLHRVSFSHFVTYTINLSGQVIEHKNS" gene complement(14760510..14761327) /locus_tag="BRARA_H01381" /db_xref="Phytozome:Brara.H01381" mRNA complement(join(14760510..14761043,14761224..14761327)) /locus_tag="BRARA_H01381" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01381" CDS complement(14760580..14760936) /locus_tag="BRARA_H01381" /codon_start=1 /product="hypothetical protein" /protein_id="RID50671.1" /db_xref="Phytozome:Brara.H01381" /translation="MQTQISWSFLVESVMAKFCVYLLEASHRISSLARRCESFQDRRR TREGGTVKRCNQAKKEVDGSRSGDFPAKPEPFSSDPETSIATQGCTCRSSVKVLLLRN TCFSWILILCIGLRLG" gene complement(<14769208..>14770506) /locus_tag="BRARA_H01382" /db_xref="Phytozome:Brara.H01382" mRNA complement(<14769208..>14770506) /locus_tag="BRARA_H01382" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01382" CDS complement(14769208..14770506) /locus_tag="BRARA_H01382" /codon_start=1 /product="hypothetical protein" /protein_id="RID50672.1" /db_xref="Phytozome:Brara.H01382" /translation="MVGAKPVKTMEKGSDGAGLLHGRYELGRLLGHGTFAKVYHARNV TTGKSMAMKVVAKEKVIKVGMVEQIKREISVMSMVKHPNIVELHEVMASKSKIYFAME LVRGGELFAKISKGRLREDVARVYFQQLISAVDFCHSRGVYHRDLKPENLLLDEEGNL KVTDFGLSALTEHLKQDGLFHTTCGTPAYVAPEVILKKGYDGAKADLWSCGVILFVLL AGYLPFQDDNLVNMYRKIYRGDFKCPGWLSSDARKLVTKLLDPNPNTRISIDKVMDSS WFKKHSTRSKNEAEDLDISVHKSKEETETLNAFHIIALSEGFDLSPLFEEKKKEEKRE MRFATSRPASSVISSLEEAARVGDKFDVRKSESRVRIEGKQNGRKGKLAVEAEIFAVA PSFVVVEVKKDHGDTLEYNNFCSTALRPALKDIFWTSTPV" gene 14784240..14786730 /locus_tag="BRARA_H01383" /db_xref="Phytozome:Brara.H01383" mRNA join(14784240..14784401,14784501..14784558, 14784713..14784960,14785024..14785167,14785252..14785403, 14785490..14785612,14785689..14785866,14785955..14786073, 14786152..14786266,14786366..14786730) /locus_tag="BRARA_H01383" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01383" CDS join(14784363..14784401,14784501..14784558, 14784713..14784960,14785024..14785167,14785252..14785403, 14785490..14785612,14785689..14785866,14785955..14786073, 14786152..14786266,14786366..14786530) /locus_tag="BRARA_H01383" /codon_start=1 /product="hypothetical protein" /protein_id="RID50673.1" /db_xref="Phytozome:Brara.H01383" /translation="MASRIADSLFAFTGPQQCLPRAPQVAHARLSPGVYAVRPIDLLL KGKTHRRRTFLVSAKKRVGCIKAVAVPAAPPSADSAEEREQLAESYGFKQIGQDLPDN VTLKDIMDTLPKEVFEIDDVKAWKSVLVSVTSYALGLFMIAKAPWYLLPLAWAWTGTA VTGFFVIGHDCAHKSFSKNKLVEDIVGTLAFLPLVYPYEPWRFKHDRHHAKTNMLVHD TAWQPVPPEEFDSSPVLRKAIILGYGPIRPWLSIAHWVNWHFNLRKFRPSEVNRVKIS LACVFAFMAVGWPLIIYKVGILGWVKFWLMPWLGYHFWMSTFTMVHHTAPHIPFKPAD EWNAAQAQLNGTVHCDYPSWIEILCHDINVHIPHHISPRIPSYNLRAAHESIQENWGK YTNLATWNWRLMKTIMTVCHVYNKEENYIPFDRLAPEESQPITFLKKAMPDYAA" gene 14798428..14800684 /locus_tag="BRARA_H01384" /db_xref="Phytozome:Brara.H01384" mRNA join(14798428..14798708,14798794..14798840, 14799253..14799887,14799964..14800122,14800214..14800684) /locus_tag="BRARA_H01384" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01384" CDS join(14798537..14798708,14798794..14798840, 14799253..14799887,14799964..14800122,14800214..14800565) /locus_tag="BRARA_H01384" /codon_start=1 /product="hypothetical protein" /protein_id="RID50674.1" /db_xref="Phytozome:Brara.H01384" /translation="MDDKDSGEADVYAAAETEKGEKVEPEKELCHGDDDGLSQLRGEE SPVRETLAKDHDEDVRENSSVEPNREDVKERDRGSGKESVVSAIVPVDEVAVENHVVE PSTSMTVLINPSMVEASLSSNPSAAQGVSPVSVPSKREQRSDSRVVSNLSVSPVLRRP ARDGYHWRKYGQKQVKSPKGSRSYYRCTYSDCCAKKIECSNDSGNVIEIVNKGSHSHE PLRKNSFSPRETRAASVIPPMEDNTVVPTGSALSISTKENVCQSLAIVEGKRNCENEA VEEPEPKRRLKKSNSQSSDSVSKPGKKHKVVVHAAGDVGISGDGYRWRKYGQKMVKGN PNPRNYYRCTSAGCSVRKHIETALENRTAVVITYKGVHNHDMPVPKKHHGPPSSALVA AAAPTSMRTRLEDQVNIPTSGHSSVGGGSDKQNSEAVDVGGGEKVMESARTLLSIGFE IKQC" gene <14801180..>14802716 /locus_tag="BRARA_H01385" /db_xref="Phytozome:Brara.H01385" mRNA join(<14801180..14801244,14801420..14801789, 14801863..14801943,14802043..14802144,14802433..14802507, 14802606..>14802716) /locus_tag="BRARA_H01385" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01385" CDS join(14801180..14801244,14801420..14801789, 14801863..14801943,14802043..14802144,14802433..14802507, 14802606..14802716) /locus_tag="BRARA_H01385" /codon_start=1 /product="hypothetical protein" /protein_id="RID50675.1" /db_xref="Phytozome:Brara.H01385" /translation="MFLYTNPDLSSIQIFIPYTSPNRRATTVLSINQTRLITSVRRLE LPGTSITHVIPITNQSLTRDLPWYRSQGRHFSSKTEDTDESSEGEDDEDYEEDSAEME AEREYSPAEKVEAAAEIGYKVMGPLKPSERLFKLYEPVFAVVQIGSHQFKVSNGDSIF TEKLKFCDINDKLVLIKVLLLGSASQTIIGRPILPDATVHAVVEEHALDEKVLIFKKK RRKNYRRTTGHRQELTKLRITDIQGIEKPEPKIVHKPSKAAHTEAELVA" gene 14803636..14806780 /locus_tag="BRARA_H01386" /db_xref="Phytozome:Brara.H01386" mRNA join(14803636..14803991,14804376..14805167, 14805253..14805437,14805522..14805772,14805841..14805986, 14806060..14806236,14806323..14806780) /locus_tag="BRARA_H01386" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01386" CDS join(14803977..14803991,14804376..14805167, 14805253..14805437,14805522..14805772,14805841..14805986, 14806060..14806236,14806323..14806511) /locus_tag="BRARA_H01386" /codon_start=1 /product="hypothetical protein" /protein_id="RID50676.1" /db_xref="Phytozome:Brara.H01386" /translation="MSDKKVFVFGSFTEHETRSLLEQKPINPPQCHKEKSVKSIQFGS FNPVNSANGELKKGPADGLVKSRPSSSHKEDKKIQSAVTQKSLDASRPSSSHKEDKTF QYAESQKSLDASRPPSSDKINDNTAKKLSGKHSSGEHVEENGIINEVSERNPPLNNGV AVKAADHIGLEKLCVSDGESDSLCIASSSKFQALDTDIFPNDSSSGTTIPRKNSHMVS AESIPAMKDFTPRGLINAGNLCFLNATLQALLSCSPFVQLLQGIQLQAIPKAESPTLA AFSEFISELDMPSSSSFRNNVAVVESGRPFTPAMFEGVLRNFTPDVLNNMSGRPRQED AQEFLSFIMDQMHDELLKLREVSPKLNASKSSVVSSANDDDEWETVGPKNKSAVTRTQ SFVPSQLSDIFGGQLRSVVKAKGNKDSATVQPYLLLHLDIHPEAVSTIEDALHLFSAP EDLEGYRASVTGKAGVVSARKSIKIQKLSKIMILHLMRFSYGNQGSTKLHKRVHFPLD LNLGRYLLVSPSNGGLKYELVATITHHGRDPSKGHYTTDARRKNNQWLRFDDASVTAV GTKQVLHDQAYVLFYKQV" gene complement(<14807008..>14809735) /locus_tag="BRARA_H01387" /db_xref="Phytozome:Brara.H01387" mRNA complement(join(<14807008..14807024,14807131..14807209, 14809496..>14809735)) /locus_tag="BRARA_H01387" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01387" CDS complement(join(14807008..14807024,14807131..14807209, 14809496..14809735)) /locus_tag="BRARA_H01387" /codon_start=1 /product="hypothetical protein" /protein_id="RID50677.1" /db_xref="Phytozome:Brara.H01387" /translation="MAIGSHVLTGIVMILFISGELIVPGKGTCQGDIEGLMRQCAVFV QRPGPKVNPSAACCKAVKKSDILCACGRITSSVHNATIINMDKVVHVTGFCGKPLAHD TKCGSYVVP" gene complement(14810107..14812935) /locus_tag="BRARA_H01388" /db_xref="Phytozome:Brara.H01388" mRNA complement(join(14810107..14810504,14810588..14810668, 14810766..14810937,14811010..14811088,14811169..14811262, 14811355..14811448,14811547..14811661,14811825..14811851, 14811966..14812130,14812320..14812466,14812562..14812935)) /locus_tag="BRARA_H01388" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01388" CDS complement(join(14810339..14810504,14810588..14810668, 14810766..14810937,14811010..14811088,14811169..14811262, 14811355..14811448,14811547..14811661,14811825..14811851, 14811966..14812130,14812320..14812466,14812562..14812909)) /locus_tag="BRARA_H01388" /codon_start=1 /product="hypothetical protein" /protein_id="RID50678.1" /db_xref="Phytozome:Brara.H01388" /translation="MLDLDNMSMSASVSLTCCPAFLPAATGPELAKPIDPSENIAEDC NPEHKPMIPPAEEVRDINNAITVSNGRQDPSEKSKKGLVLEDHLKNWVKRRVESGVSE SRCVLPFLVGAKRMAECLVCHKLVYPGEEVLCSVRGCQGVYHLFCAKESLGFHNLGKF RCPQHECFVCKQRTQWRCVKCPMAAHDKHAPWPKEILHLKDQPGRAVCWRHSTDWRLD TKSGDAQSEIEEVFCQLPLPYVEEEFRIDLTWKDSVAKDDLPPYVHIRRNIYLVKKKR DNANDGVGCTNCGPTCCRSCVCRVQCVSCSKRCGCPETCGNRPFRKDKKIKIVKTKLC GWGVEAAESINKEDFIVEYIGEVISDAQCEQRLWDMKHKGLKDFYMCEIQKDFTIDAT FKGNASRFLNHSCNPNCVLEKWQVEGETRVGVFAARQIEAGEPLTYDYRFVQFGPEVK CNCGSENCQGYLGTKRKEPNCLVVSWGAKRRRVSHRPLAHKLQQD" gene complement(14813319..14815166) /locus_tag="BRARA_H01389" /db_xref="Phytozome:Brara.H01389" mRNA complement(join(14813319..14813827,14813907..14813972, 14814233..14814336,14814433..14814506,14814643..14814739, 14815027..14815166)) /locus_tag="BRARA_H01389" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01389" mRNA complement(join(14813319..14813827,14813907..14813972, 14814233..14814336,14814433..14814506,14814643..14814739, 14814924..14815166)) /locus_tag="BRARA_H01389" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01389" CDS complement(join(14813591..14813827,14813907..14813972, 14814233..14814336,14814433..14814506,14814643..14814710)) /locus_tag="BRARA_H01389" /codon_start=1 /product="hypothetical protein" /protein_id="RID50679.1" /db_xref="Phytozome:Brara.H01389" /translation="MVLVSNSSHQNKEIHIRRRISEIYNKREEDFPSLKDYNDYLEEV ECMVFDLVDGINVEAIEEKIKKYSQENAEQIMINRARKAEDLTAALAACKAQQPQTDV DTSTNNGSTSGTAYSQAARPTGMGPQPVPIGGGGGDHQRYSMEDEAMMRLKAERATRA GGFSLEISKKRALEEAFASIWV" CDS complement(join(14813591..14813827,14813907..14813972, 14814233..14814336,14814433..14814506,14814643..14814710)) /locus_tag="BRARA_H01389" /codon_start=1 /product="hypothetical protein" /protein_id="RID50680.1" /db_xref="Phytozome:Brara.H01389" /translation="MVLVSNSSHQNKEIHIRRRISEIYNKREEDFPSLKDYNDYLEEV ECMVFDLVDGINVEAIEEKIKKYSQENAEQIMINRARKAEDLTAALAACKAQQPQTDV DTSTNNGSTSGTAYSQAARPTGMGPQPVPIGGGGGDHQRYSMEDEAMMRLKAERATRA GGFSLEISKKRALEEAFASIWV" gene complement(14815600..14819265) /locus_tag="BRARA_H01390" /db_xref="Phytozome:Brara.H01390" mRNA complement(join(14815600..14816016,14816118..14816223, 14816310..14816405,14816475..14816608,14816688..14816951, 14817027..14817112,14817186..14817278,14817365..14817460, 14817539..14817646,14817875..14818450,14818685..14819265)) /locus_tag="BRARA_H01390" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01390" mRNA complement(join(14815600..14816016,14816118..14816223, 14816310..14816405,14816475..14816608,14816688..14816951, 14817027..14817112,14817186..14817278,14817365..14817460, 14817539..14817646,14817875..14818454,14818685..14819265)) /locus_tag="BRARA_H01390" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01390" CDS complement(join(14815828..14816016,14816118..14816223, 14816310..14816405,14816475..14816608,14816688..14816951, 14817027..14817112,14817186..14817278,14817365..14817460, 14817539..14817646,14817875..14818139)) /locus_tag="BRARA_H01390" /codon_start=1 /product="hypothetical protein" /protein_id="RID50681.1" /db_xref="Phytozome:Brara.H01390" /translation="MAKTTRGSCFVVVVALLAITHLCICEALLSQKEQDKVTKLPGQN FNVDFAHYSGFVTTNEKLGRALFYWFFEAADDAASKPLVLWLNGGPGCSSVAFGEAEE IGPFHIKSDGKTLYLNQYSWNQAANILFLDAPVGVGYSYSNTSSDLRSNGDKRTAQDS LKFLLKWVELYPEYKGREFYIVGESYAGHYVPQLSQAIVRHNKASGDNTINLKGYMVG NGLMDDFHDRLGLFQYIWSLGFISDQTYSLLKLQCGFESFIHSSKACDKILETADKEI GNIDQYSVFTPACIANASQSNMLLKKRPRTSRVSEQYDPCTEKHSKVYFNLPEVQEAL HVPPGLAPSKWDTCSDVVNENWKDSSSSVLNIYHELIAAGLRIWVFSGDADAVVPVTA TRYSIDALNLRPLSPYGPWYIDGQVGGWTQQYAGLNFVTVRGAGHEVPLHRPKEALAL FKAFISGTQLSTPESSINKDMSELVSDS" CDS complement(join(14815828..14816016,14816118..14816223, 14816310..14816405,14816475..14816608,14816688..14816951, 14817027..14817112,14817186..14817278,14817365..14817460, 14817539..14817646,14817875..14818139)) /locus_tag="BRARA_H01390" /codon_start=1 /product="hypothetical protein" /protein_id="RID50682.1" /db_xref="Phytozome:Brara.H01390" /translation="MAKTTRGSCFVVVVALLAITHLCICEALLSQKEQDKVTKLPGQN FNVDFAHYSGFVTTNEKLGRALFYWFFEAADDAASKPLVLWLNGGPGCSSVAFGEAEE IGPFHIKSDGKTLYLNQYSWNQAANILFLDAPVGVGYSYSNTSSDLRSNGDKRTAQDS LKFLLKWVELYPEYKGREFYIVGESYAGHYVPQLSQAIVRHNKASGDNTINLKGYMVG NGLMDDFHDRLGLFQYIWSLGFISDQTYSLLKLQCGFESFIHSSKACDKILETADKEI GNIDQYSVFTPACIANASQSNMLLKKRPRTSRVSEQYDPCTEKHSKVYFNLPEVQEAL HVPPGLAPSKWDTCSDVVNENWKDSSSSVLNIYHELIAAGLRIWVFSGDADAVVPVTA TRYSIDALNLRPLSPYGPWYIDGQVGGWTQQYAGLNFVTVRGAGHEVPLHRPKEALAL FKAFISGTQLSTPESSINKDMSELVSDS" gene <14829100..>14833165 /locus_tag="BRARA_H01391" /db_xref="Phytozome:Brara.H01391" mRNA join(<14829100..14830052,14830149..14830835, 14830871..14831741,14832080..14832300,14832397..14832610, 14832746..>14833165) /locus_tag="BRARA_H01391" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01391" CDS join(14829100..14830052,14830149..14830835, 14830871..14831741,14832080..14832300,14832397..14832610, 14832746..14833165) /locus_tag="BRARA_H01391" /codon_start=1 /product="hypothetical protein" /protein_id="RID50683.1" /db_xref="Phytozome:Brara.H01391" /translation="MSSSFTDDANGKLILCVAESGHSFEFECSETTISVESVMRFVES VSGIAFSDQLLLSLDMRLEPQKLLSAFGLPASDREVFVFNKAKLQSNSHPPSPEDVVD SQGVDDHALPPASLHDHHHPLDDALDPALKALPLYERQFRYHFHKGRTVYNCTVVKHE NCERFTREQKVQQRAVEVATRNLEQYYRVIYQNFLEFMKRYKHQHRLHSDLLMNFERD IERLRSAKIHPCLLTDSRRCLLDFVKEDNLKKAVENCASSHRQFENKIAQFQQMFVEV KRKVEELFACRASLSVKNLEVTVKDHERFIDEQRAYCNHSGFKDVNTVKKLVDDCMSS QMSSSLRPHDAVSALGPMYEVHDKNHLPKMQACYNPISELLGFCKNKKNEMNSFVHSY MQKITYVTYIIKDAKLQFPVFREAMVRQDDLFADLKLLRGVGPAYRACLAEAVRRKAS MKLYMGMAGQLAEKLAMKRETEVRRREEFLKTHGPFVPRDVLASMGLYDTPTQCDVNV APYDTSLINIEMADVDRYAPEYLVGLHSKFASSRSSVGIFDDYLAASELVEIAGTSKM EVENAKLKADLASAISRICSLGPQVEYEVMDESEVEHMLKNAAENTAEALQAKDEYEK HLLSMLKEKQRHCDSYEKRIRELEQRLNDDYLQGNINNNTDASGLEVTEYKAEASGDV EGNKAHVSGSEHMDEEGSCVSNLSSKQPCKAGERMDENMVDSSLMLSHPLDSSMLESH QNNEKGGKDDVVGETGVFLSNSSTAESSPKSLNNKVALGMGLDTKHSDDVILEFRNEL MEKSSKLSETESKLNGAMEEVASLSRELEMNQKLLEESQMNCAHLENCLHEAREEAQT HLCAADRRASEYNTLRASSVKMRGLFERLRSSVCAGGGVAGFAESLRTLAQALAKSIN DNEDVGTVEFRKCIRVLADKVSILSKHWEESFEKCRNLEATSDQARKDLEEKEELVKT LYTKHQLGKQANREKISFGRLEVHEIAAFVLNPVGHYEAISRNCANYYLSSESEALFT DHLPNRPTYIVGQVVHIERQAVKQPSALSASASPKAGKSDSLGSRTLASSSRSTSSSG TTPTNPYGLSSGCEYFIVTIAMLPDTPIHQKAS" gene complement(14833495..14835897) /locus_tag="BRARA_H01392" /db_xref="Phytozome:Brara.H01392" mRNA complement(join(14833495..14834326,14834425..14834732, 14834808..14834914,14834988..14835161,14835239..14835508, 14835621..14835897)) /locus_tag="BRARA_H01392" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01392" CDS complement(join(14833629..14834326,14834425..14834732, 14834808..14834914,14834988..14835161,14835239..14835508, 14835621..14835743)) /locus_tag="BRARA_H01392" /codon_start=1 /product="hypothetical protein" /protein_id="RID50684.1" /db_xref="Phytozome:Brara.H01392" /translation="MVEMRPESDSAAKCRDELPVKLEIAEDGLEEEHGPLNKRSKLWS SSPMAPAKYSPFDGPSPLGLSLRKSPSLLDLIQMRLRQSGDPKAGGSGGVKHESKCIT AGSNLGPGSIEKLKASNFPASVLKIGQWEYKSRYEGDLVAKCYFAKHKLVWEVLERGL KSKIEIQWSDIVGLKANCPEKGPGTLTLLLSRQPLFFRETNPQPRKHTLWQATSDFTD GQASMYRKHFLQCAEGIMNKHLEKLVQCDHRLLYLSRESEIIMDSPCFDARRSIFEDP SESTKGNNPFGSFNLSKAPSVSGTRNLASPVGAQSSSEHMYLSHEAPSPSSDARANEA VNSRNTTDCGQMGGLRQSMSLSDFLAVLCDPKDTSDSSQVEEVAGLHQSMSVSDFLAV LSDSGNITDSSQIKVPGLQQSMSVSDFVGLLSDSAVGNHPEHMENFNGMKQQLLSDNI QFDAPQDEKSLMPRVDSLFNLLYKDLNGAANSQLNTEVSVGLKSELSDLKGIVPDNNN NRVLDPASSSRPQGMLRKDSFSDLLLHLPRITSLPKFLSNISEEDGGAYNR" gene <14838260..>14838718 /locus_tag="BRARA_H01393" /db_xref="Phytozome:Brara.H01393" mRNA <14838260..>14838718 /locus_tag="BRARA_H01393" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01393" CDS 14838260..14838718 /locus_tag="BRARA_H01393" /codon_start=1 /product="hypothetical protein" /protein_id="RID50685.1" /db_xref="Phytozome:Brara.H01393" /translation="MPVPDPGAGRVFIFLITLFLFLSIAVGGGCLIAYTILPYPPVWL SYLGIFFVCLPWSFWILTFAYRIVSRTFGFRMVIGSGGNNNNATGESNARDIDPPEQS LEAQDDDPEAIAHPQGQVEGNQSKKRMSTSSNSTVDSHESEMPLAISMGS" gene <14844731..>14847423 /locus_tag="BRARA_H01394" /db_xref="Phytozome:Brara.H01394" mRNA join(<14844731..14844826,14844969..14845064, 14845146..14845227,14845412..14845514,14845612..14845699, 14845783..14845926,14845962..14846060,14846147..14846389, 14846516..14846861,14846958..14847127,14847223..>14847423) /locus_tag="BRARA_H01394" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01394" CDS join(14844731..14844826,14844969..14845064, 14845146..14845227,14845412..14845514,14845612..14845699, 14845783..14845926,14845962..14846060,14846147..14846389, 14846516..14846861,14846958..14847127,14847223..14847423) /locus_tag="BRARA_H01394" /codon_start=1 /product="hypothetical protein" /protein_id="RID50686.1" /db_xref="Phytozome:Brara.H01394" /translation="MAFVCVLVERRLDFEGHEILRFTREQLLQLKDAVEVSEAILKLN QEISSDLFGEDQSWSRSESQPAVQVQNRYSETDDNRDWHSRAPIPSPIWSSSCFALTK AEVPWSARRGTLSEKDQVLKTVKGILNKMTPEKYDLLKGQLIDSGITSADILKGVMYA LLCFDISGELPSFPSEEAAGKEVTLLLNNCQEQFEGADKLKEERMDKERMAKLRTLGN IRLIGELLKQKIVHHIVQELLGHDNEAFPAEEDVEALSQLFITIGKQLDESPKSRGIN DTYFVRLKELTMHPKLAPRLRFMVGNVIDLRANNWVPRREEEHLGLRPGAMANMRSSN NRGGADVEAIGSEGFFGRSGTGGMMPGMPGARKIPGTDDDVWEMARSRSVPRVNRQSP QPSGHVQSSSIMDKSLSVNSRLLHQGSGGILNGRPSALSQKALSRKTKSLLEEYFSVR LLDEALQCVEELKSPSYHPELVREAISLGFEKNPPCVEPALNNFGEILGSLVMANASD FVMVKEVLMKMKDELFKKAVLDSVVKSVSESLLATQAAEVEACRSLV" gene complement(14847821..>14848620) /locus_tag="BRARA_H01395" /db_xref="Phytozome:Brara.H01395" mRNA complement(join(14847821..14848316,14848399..>14848620)) /locus_tag="BRARA_H01395" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01395" CDS complement(join(14848065..14848316,14848399..>14848620)) /locus_tag="BRARA_H01395" /codon_start=1 /product="hypothetical protein" /protein_id="RID50687.1" /db_xref="Phytozome:Brara.H01395" /translation="ECAFKEGIPRREHWAHLGCVSKVPPYASLIPRVPVKGEVIEAKT LEDAFKLLQHGPVGAKLHVFSPEIDLVGEDGVYDGPSGGGTSYVGLRDVILVAVDKIN GEAVGTVKICYKKNTSFINVSLSRMFTTLAHHGDDSQTIAPTGLLVDFIVPRLSK" gene <14851925..>14853326 /locus_tag="BRARA_H01396" /db_xref="Phytozome:Brara.H01396" mRNA join(<14851925..14851948,14853087..>14853326) /locus_tag="BRARA_H01396" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01396" CDS join(14851925..14851948,14853087..14853326) /locus_tag="BRARA_H01396" /codon_start=1 /product="hypothetical protein" /protein_id="RID50688.1" /db_xref="Phytozome:Brara.H01396" /translation="MKSGTIKVRDVFIISKLKFHSSCLYFLHIVLHLFLNHHKKNILS DFYINVIFTAIFICCSSAKDPAPETPNMRAAKTFGRLSFMGKS" gene complement(<14859298..>14860290) /locus_tag="BRARA_H01397" /db_xref="Phytozome:Brara.H01397" mRNA complement(join(<14859298..14859549,14859637..14860139, 14860233..>14860290)) /locus_tag="BRARA_H01397" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01397" CDS complement(join(14859298..14859549,14859637..14860139, 14860233..14860290)) /locus_tag="BRARA_H01397" /codon_start=1 /product="hypothetical protein" /protein_id="RID50689.1" /db_xref="Phytozome:Brara.H01397" /translation="MSSRCHPDCQRAAAAKEEDDSAERAATVAANLISSARLILKLDS EFTEYSAQYLVDNALVLKEPVQGPPRSTFTIADCLEHLVDVASPKTEADLEEMAKQQQ RRSKITVKDCLECAFKEGIPRREHWAHLGCVSKVPPYASLMPRVPVKGEVIEVKKLED ALELLKHGPVGAKLHVFSPDIDRVGEDGVYQGLAGAETRYVELRDVIIGGVDKVNGVE VATVKICYKKRTSLMKVALNRIIMLLQHHADESQSVEPTHLLVDFIVPRLFN" gene complement(14861643..14863072) /locus_tag="BRARA_H01398" /db_xref="Phytozome:Brara.H01398" mRNA complement(join(14861643..14862043,14862123..14862622, 14862705..14863072)) /locus_tag="BRARA_H01398" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01398" CDS complement(join(14861786..14862043,14862123..14862622, 14862705..14862831)) /locus_tag="BRARA_H01398" /codon_start=1 /product="hypothetical protein" /protein_id="RID50690.1" /db_xref="Phytozome:Brara.H01398" /translation="MCNVTSFWSASFVFVQRLSQLQKMSHECHPDCQRSMASKEEHDS AERAATVAANLISATRHALKLDPEMTEYSAQFLVDNALLEEKPGQSPHSFTLTVEDCL EYLVNMASPKTEAELEEMEKQQKRRAKITVKDCLECAFKEGIPKRESWAHLGCVSPVP AFASFMPRVPMKGKVIEVKKLEDAVKLMKRHPIAAKLLVFSPEIDHVGNGVYVGPSGA VGESRYVGLRDVILCGEEKFEGDDVMNVQICYKKRTSIFKVSLTRMVTTLADEGDKSQ TIEPSGLLVDFVVPRIFK" gene 14871062..14871616 /locus_tag="BRARA_H01399" /db_xref="Phytozome:Brara.H01399" mRNA join(14871062..14871307,14871374..14871616) /locus_tag="BRARA_H01399" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01399" CDS join(14871193..14871307,14871374..14871570) /locus_tag="BRARA_H01399" /codon_start=1 /product="hypothetical protein" /protein_id="RID50691.1" /db_xref="Phytozome:Brara.H01399" /translation="MKRYCRDLLLIITIFFITFAFAEELQCCSDKTTDLVHKERLVIS GKRAHGSISQMSRARGVYGGGSLIRPKGKKNIAMASMTKSASLAMLQVTFAILIHLFL Y" gene complement(14871740..14873491) /locus_tag="BRARA_H01400" /db_xref="Phytozome:Brara.H01400" mRNA complement(join(14871740..14872444,14873107..14873491)) /locus_tag="BRARA_H01400" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01400" CDS complement(join(14871904..14872444,14873107..14873471)) /locus_tag="BRARA_H01400" /codon_start=1 /product="hypothetical protein" /protein_id="RID50692.1" /db_xref="Phytozome:Brara.H01400" /translation="MEVNDEGEKLKMGSLLSPGWADLTRECLIDIFSRLTVDQRWIGP MLVCKTWMNVCHDPLFNTIFDLETRFQSFPESINWWNPEFEDKVDSFLRSVVDRSEGG LTEIRVRHCTDRSLSYAAERCPKLEVLWIKSCPNVTDASMTKIASNCPNLKELDMSYS YGISHESLVMLGRNCNNLKILKRNLYPRLDPNMPTIIAPLDYLATYPKHANVEAEVIG RHMPQLKQLEFRYTTLTAKGLALVCEGCSDLEYMDLYGCISLRSEEITRWTSSLMSLR EINKPNFMFPMAILRMSRPDHPRYG" gene 14874588..14876234 /locus_tag="BRARA_H01401" /db_xref="Phytozome:Brara.H01401" mRNA join(14874588..14874677,14874883..14875028, 14875120..14875220,14875293..14875332,14875620..14875691, 14875775..14875831,14875931..14876234) /locus_tag="BRARA_H01401" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01401" CDS join(14874617..14874677,14874883..14875028, 14875120..14875220,14875293..14875332,14875620..14875691, 14875775..14875831,14875931..14876005) /locus_tag="BRARA_H01401" /codon_start=1 /product="hypothetical protein" /protein_id="RID50693.1" /db_xref="Phytozome:Brara.H01401" /translation="MASTATTTDFFKPFLSPFSNGNKAAQQRGSRQKNNVVWLNRKSP NRSLRVNGLFGGGKKDNNDDNGQSKAGILGNMQNLYETVKKAQMVVQVEAVRVQKELA VAEFDGYCEGELVKVTLSGNQQPIRTDITDAAMELGSEKLSLLVTEAYKDAHAKSVLA MKERMSDLAQSLGMPPGLSDGLK" gene <14878075..>14879163 /locus_tag="BRARA_H01402" /db_xref="Phytozome:Brara.H01402" mRNA join(<14878075..14878261,14878772..>14879163) /locus_tag="BRARA_H01402" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01402" CDS join(14878075..14878261,14878772..14879163) /locus_tag="BRARA_H01402" /codon_start=1 /product="hypothetical protein" /protein_id="RID50694.1" /db_xref="Phytozome:Brara.H01402" /translation="MGIIVPVLTLVFLLLTTMSHAASKPRMILVGGSAQAWKVPDSPG NTLNHWAENNRFKLGDILVWKYDAKVDSVLQVTKEDYDSCNTANPLKQFNDGDTKFEL DNSGAYFFISGAPDHCAKGQKIHLVVLAERNPGGGGGGSADRGAGDNPKVTPVSPPAN TPAPAPAHNAAGGLNVGSGLFLVAVAIGLAMA" gene complement(14879951..14881656) /locus_tag="BRARA_H01403" /db_xref="Phytozome:Brara.H01403" mRNA complement(join(14879951..14880172,14880254..14880583, 14881169..14881656)) /locus_tag="BRARA_H01403" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01403" CDS complement(join(14880071..14880172,14880254..14880583, 14881169..14881504)) /locus_tag="BRARA_H01403" /codon_start=1 /product="hypothetical protein" /protein_id="RID50695.1" /db_xref="Phytozome:Brara.H01403" /translation="MVVEQQQHEKNKKRFALFLATCDSEFVKKAYGGYFNVFVSTFGE EGEQWDLFRVVDGDFPDEKDLDSYDGFIISGSPHDAFADADWIVKLCSLCQKLDEMEK KVLGICFGHQIITRVKGGKIGRARQGPDMGLRTITIAKDNEKLGLYFGDEVPASLAIV KCHQDEVLELPDSATVLASSEVCQVEMFSIGDHLLCIQGHPEYNKGILFEIVDRVLNM KLMEQEFADKAKSTMETAEPDKDLWQKLCKNFLKGQI" gene complement(<14882450..>14884166) /locus_tag="BRARA_H01404" /db_xref="Phytozome:Brara.H01404" mRNA complement(join(<14882450..14882560,14882699..14883028, 14883856..14884093,14884102..>14884166)) /locus_tag="BRARA_H01404" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01404" CDS complement(join(14882450..14882560,14882699..14883028, 14883856..14884093,14884102..14884166)) /locus_tag="BRARA_H01404" /artificial_location="low-quality sequence region" /codon_start=1 /product="hypothetical protein" /protein_id="RID50696.1" /db_xref="Phytozome:Brara.H01404" /translation="MVEQKRYALFLATLDSEFVKKTVPQRVVETFGDEGEHWDSFRVV EGEFPEEKDLEKYDGFVISGSSHDSFENDPWILRLCEIVKKLDEMKKKVLGICFGHQI IARVRGGTVGRARKGPELKLADITIVKDAITPGSYFGNEIPDSLAIIKLHQDEVLVLP ETAKVLAYSDKYEVEMFTIEDHFFCIQGHPEYNRDILFEIVDRVLRLGYITQEMADSA KATLENRGADRKLLETICKNFLKGRVPAN" gene complement(14889688..14892682) /locus_tag="BRARA_H01405" /db_xref="Phytozome:Brara.H01405" mRNA complement(join(14889688..14889875,14890846..14890997, 14891149..14891307,14891401..14891641,14891719..14891835, 14891939..14892007,14892287..14892682)) /locus_tag="BRARA_H01405" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01405" CDS complement(join(14889872..14889875,14890846..14890997, 14891149..14891307,14891401..14891641,14891719..14891835, 14891939..14892007,14892287..14892465)) /locus_tag="BRARA_H01405" /codon_start=1 /product="hypothetical protein" /protein_id="RID50697.1" /db_xref="Phytozome:Brara.H01405" /translation="MGKFEEHKPALAMIGIQMCYAGVTLSARATMVNGLSPRVFILYR QAFATIFIFPFLYFSRGKPKISSLDLKSFSLIFLVSLVGITINQNLYLEGLYLASSAM GSAVGNIIPAITFLISFLAGYEKVNLWDKRGLAKIAGTILCVAGATSMTLLRGPKILN SGSTLPVANAVLGDLKGQNTWLFGCLFLFSSTLCWSFWLTLQVPISAYYPDHLSLSAW MCLFGTLQCAVVTFFLEKDPNSWILHSYSEFATCLYAGVGASALSFTVQAWAISKRGP VFSALFNPLCTVIVTILAALFFQEEIYIGR" mRNA complement(join(14890380..14890761,14890846..14890997, 14891149..14891307,14891401..14891641,14891719..14891835, 14891939..14892007,14892287..14892682)) /locus_tag="BRARA_H01405" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01405" CDS complement(join(14890575..14890761,14890846..14890997, 14891149..14891307,14891401..14891641,14891719..14891835, 14891939..14892007,14892287..14892465)) /locus_tag="BRARA_H01405" /codon_start=1 /product="hypothetical protein" /protein_id="RID50698.1" /db_xref="Phytozome:Brara.H01405" /translation="MGKFEEHKPALAMIGIQMCYAGVTLSARATMVNGLSPRVFILYR QAFATIFIFPFLYFSRGKPKISSLDLKSFSLIFLVSLVGITINQNLYLEGLYLASSAM GSAVGNIIPAITFLISFLAGYEKVNLWDKRGLAKIAGTILCVAGATSMTLLRGPKILN SGSTLPVANAVLGDLKGQNTWLFGCLFLFSSTLCWSFWLTLQVPISAYYPDHLSLSAW MCLFGTLQCAVVTFFLEKDPNSWILHSYSEFATCLYAGVGASALSFTVQAWAISKRGP VFSALFNPLCTVIVTILAALFFQEEIYIGSLIGGLGVIMGLYIVLWGKAKDVMMNQEQ IDSENDSEVKLHNEDFSNTTNCNRDLEDPLLSK" gene 14924129..14925394 /locus_tag="BRARA_H01406" /db_xref="Phytozome:Brara.H01406" mRNA join(14924129..14924276,14924697..14925394) /locus_tag="BRARA_H01406" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01406" CDS 14924698..14925240 /locus_tag="BRARA_H01406" /codon_start=1 /product="hypothetical protein" /protein_id="RID50699.1" /db_xref="Phytozome:Brara.H01406" /translation="MQPTSSMNEQFLKKWQMGLQIFRPSIDNTSVSERKRAIKLSADV AMASLRKGTTCWSRALIQKAATQDNFLVRQMLSGIKEETLINKKLPKIVCHRKIVRRS KKILMRRKSKSAMEEVAAKAKKLVKRKTQGLRNIVPGGEFMSNNVLLIQETLDYIVSL QTQVNVMRSIVDAADAGVER" gene 14927016..14927919 /locus_tag="BRARA_H01407" /db_xref="Phytozome:Brara.H01407" mRNA join(14927016..14927191,14927323..14927452, 14927554..14927919) /locus_tag="BRARA_H01407" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01407" CDS join(14927075..14927191,14927323..14927452, 14927554..14927591) /locus_tag="BRARA_H01407" /codon_start=1 /product="hypothetical protein" /protein_id="RID50700.1" /db_xref="Phytozome:Brara.H01407" /translation="MRNRPPSLLSLTVNAAVLNLSRINDLSHLPDHIVPELFARTLEA GKLNERVLRLFMASGNEEVLSVIDALKIKIDVTPIIPTRCHERFGLNEMD" gene 14931624..14932501 /locus_tag="BRARA_H01408" /db_xref="Phytozome:Brara.H01408" mRNA 14931624..14932501 /locus_tag="BRARA_H01408" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01408" CDS 14931743..14932195 /locus_tag="BRARA_H01408" /codon_start=1 /product="hypothetical protein" /protein_id="RID50701.1" /db_xref="Phytozome:Brara.H01408" /translation="MSISILHDEPITGDQSSQPKCHTASLSTKILSKILVCLIMIPVA ATALLFILMSLSFSLFFFSLYWFLHRHRRRESSDGLLSPRCVKSLPQFKFYEPTTLYG SECVVCIEGFRQGQWCRKLPGCGHVFHRKCVDFWLVKVGTCPICRDRV" gene 14934081..14935219 /locus_tag="BRARA_H01409" /db_xref="Phytozome:Brara.H01409" mRNA join(14934081..14934376,14934480..14934673, 14934765..14935219) /locus_tag="BRARA_H01409" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01409" CDS join(14934101..14934376,14934480..14934673, 14934765..14935137) /locus_tag="BRARA_H01409" /codon_start=1 /product="hypothetical protein" /protein_id="RID50702.1" /db_xref="Phytozome:Brara.H01409" /translation="MKSSCGTRFTFLALYLFALQCVYAGSFHKDVNIHWGNGRGKIHD NEGKLLSLSLDKSSGSGFQSNQEFLYGKAEVQMKLVPGNSAGTVTTFYLKSHGTTWDE VDFEFLGNITGHPYTLHTNVYTKGLGDKEQQFHLWFDPTAGFHTYCITWNPQRIIFTV DGIPIREFKNSESIGIHFPKSQPMRVYASLWEAEHWATRGGLEKTDWSKAPFTAYYRN YNVEGCVWANGKSSCPKKSHWFTKKLGKGGMNKVKWAQRKYMVYNYCTDKKRFPQGVP AVCT" gene 14940200..14941507 /locus_tag="BRARA_H01410" /db_xref="Phytozome:Brara.H01410" mRNA join(14940200..14940506,14940611..14940804, 14941009..14941507) /locus_tag="BRARA_H01410" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01410" CDS join(14940225..14940506,14940611..14940804, 14941009..14941381) /locus_tag="BRARA_H01410" /codon_start=1 /product="hypothetical protein" /protein_id="RID50703.1" /db_xref="Phytozome:Brara.H01410" /translation="MKFSCGTRFAFLVLFLFTAQSVAVYAGSFHKDVKIHWGDGRGKI RDRDGKLLSLSLDKSSGSGFQSNQEFLYGRAEVQMKLVPGNSAGTVTTFYLKSPGTTW DEIDFEFLGNISGHPYTLHTNVYTQGSGEKEQQFHLWFDPTVNFHTYCITWNPQRIIF TVDGIPIREFKNTESMGVPFPKNQPMRLYASLWEAEHWATRGGLEKTDWSKAPFTAFY RNYNVEGCVWANGKSSCPGNSPWFTQKVDFEGQKKMKWAQSKYMIYNYCTDKRRFPRG VPAVCT" gene 14945712..14947347 /locus_tag="BRARA_H01411" /db_xref="Phytozome:Brara.H01411" mRNA join(14945712..14945989,14946069..14946262, 14946778..14947347) /locus_tag="BRARA_H01411" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01411" CDS join(14945726..14945989,14946069..14946262, 14946778..14947168) /locus_tag="BRARA_H01411" /codon_start=1 /product="hypothetical protein" /protein_id="RID50704.1" /db_xref="Phytozome:Brara.H01411" /translation="MSSFKIFFFSALLAAVFSASAADFNSDVNVAWGNGRGKILNNGQ LLTLTLDKSSGSGFQSKAEYLFGKIDMQIKLVPGNSAGTVTTFYLKSEGSTWDEIDFE FLGNMSGDPYTLHTNVYTQGKGDKEQQFHLWFDPAANFHTYSILWNPQRIIWTVDNTP IREFKNSEPLGVLFPKSKPMRMYASLWNADDWATRGGLVKTDWSKAPFVASYRHIKCD GCVHANGRSSCSSTRPTSNWYTQQMDSTSQARLRWVQKNYMIYNYCTDSKRFPQGIPR ECATSA" gene 14947948..14950394 /locus_tag="BRARA_H01412" /db_xref="Phytozome:Brara.H01412" mRNA join(14947948..14948528,14949673..14949747, 14949851..14950394) /locus_tag="BRARA_H01412" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01412" CDS join(14948019..14948528,14949673..14949747, 14949851..14950111) /locus_tag="BRARA_H01412" /codon_start=1 /product="hypothetical protein" /protein_id="RID50705.1" /db_xref="Phytozome:Brara.H01412" /translation="MSHNDTIPLYQSSQSDIDEIENMMNAGFQSGPGTVLPARPPSPI RPSIPVSSSPFVQSNLPPLPPSSSSAAQKATPVPAPPSLPPAVNFGSSEGSKTTGFGS PPNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPNREDPGKALRDWDLWGPFFFIVFLGL TLSWSASVKKSEVFAVAFALLAAGAVILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVG AVICMLKDNVILKMIVVTVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYVSVGFL IIAID" gene 14955592..14957613 /locus_tag="BRARA_H01413" /db_xref="Phytozome:Brara.H01413" mRNA join(14955592..14955870,14956040..14956157, 14956251..14956754,14956839..14956938,14957026..14957054, 14957172..14957613) /locus_tag="BRARA_H01413" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01413" CDS join(14955788..14955870,14956040..14956157, 14956251..14956754,14956839..14956938,14957026..14957045) /locus_tag="BRARA_H01413" /codon_start=1 /product="hypothetical protein" /protein_id="RID50706.1" /db_xref="Phytozome:Brara.H01413" /translation="MMVAKSFDLWQKDVFFSAAEEVQQSADTMESAYRLWVKEKRESG RVSVESDHLCNELQAALSTAKWQLEEFERAVRLSHGRCRDDTTLTRHKQFVSAIENQI HRVQSALSENGEQPLRWVDLNKEERDDLAMFLSGSSQTSESFSSESINVSRCYGERTL DCVIDIDDERGSPESAGNRNGARRTWSSPDISALRINVPLEEEENKRYVSQTEATPKE KGYKPLFWMQRCRDYNQLFDRVRYYQRRFGVPFNRRVQLVLSFTLLLFLLLLFRAY" gene 14958047..14959347 /locus_tag="BRARA_H01414" /db_xref="Phytozome:Brara.H01414" mRNA join(14958047..14958153,14958399..14958486, 14958591..14958628,14958755..14958819,14959061..14959347) /locus_tag="BRARA_H01414" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01414" CDS join(14958148..14958153,14958399..14958486, 14958591..14958628,14958755..14958819,14959061..14959130) /locus_tag="BRARA_H01414" /codon_start=1 /product="hypothetical protein" /protein_id="RID50707.1" /db_xref="Phytozome:Brara.H01414" /translation="MATIPVNPKPFLNNLTGKTVIVKLKWGMEYKGFLASVDSYMNLQ LGNTEEYIDGQLTGNLGEILIRCNNVLYVRGVPEDEELGDAEQD" gene complement(14960207..>14964361) /locus_tag="BRARA_H01415" /db_xref="Phytozome:Brara.H01415" mRNA complement(join(14960207..14960537,14960612..14960848, 14960918..14961145,14961228..14961324,14961403..14961638, 14961710..14961932,14961997..14962089,14962175..14962360, 14962445..14962514,14962602..14962638,14962737..14962758, 14963234..14963277,14963343..14963400,14963479..14963555, 14963863..14963922,14964002..>14964361)) /locus_tag="BRARA_H01415" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01415" CDS complement(join(14960457..14960537,14960612..14960848, 14960918..14961145,14961228..14961324,14961403..14961638, 14961710..14961932,14961997..14962089,14962175..14962360, 14962445..14962514,14962602..14962638,14962737..14962758, 14963234..14963277,14963343..14963400,14963479..14963555, 14963863..14963922,14964002..14964361)) /locus_tag="BRARA_H01415" /codon_start=1 /product="hypothetical protein" /protein_id="RID50708.1" /db_xref="Phytozome:Brara.H01415" /translation="MSSSSSSSPFDLMSAIFKGEPVDVSDPANASAYESVAAELTSML TENRNFAMIITTSIAVLIGCIVMIVWRKSSSGNSKPGEPLKPLVIKPPREDEVEDGRK KVTIFFGTQTGTAEGFAKALGEEARARYEKTRFKIVDLDDYAADDDEYEEKLKKEDVA FFFLATYGDGEPTDNAARFYKWFTEGSDRGEWLKNLKYGVQYEHFNKVAKVVDDILVE QGAQRLVHVGLGDDDQCIEDDFTAWREALWPELDTLLREEGDTAVTPYTAAVLEYRVS IHSSEDALSEKHFANGNGHVVFDAQHPYRANVAVRRELHTPESDRSCTHLEFDIAGSG LTYETGDHVGVLCDNLNETVEEALRLLDMSPDTYFSLHSDKEDGTPISSSLPPPFPPC NLRTALTRYACLLSSPKKSALVALAAHASDPTEAERLKHLASPAGKDEYSKWIVESQR SLLEVMAEFPSAKPPLGVFFAAVAPRLQPRFYSISSSPKIGETRIHVTCALVYEKMPT GRIHKGVCSTWMKSAVPYEKSENCSSAPIFVRQSNFKLPTDSKVPIIMIGPGTGLAPF RGFLQERLALVESGVELGPSVLFFGCRNRRMDFIYEEELQRFLESGALSELSVAFSRE GPTKEYVQHKMMDKACDIWSMISQGGYVYVCGDAKGMARDVHRSLHTIAQEQGSMDST KAESFVKNLQMSGRYLRDVW" gene 14969173..14972297 /locus_tag="BRARA_H01416" /db_xref="Phytozome:Brara.H01416" mRNA join(14969173..14969347,14969468..14969541, 14970160..14972297) /locus_tag="BRARA_H01416" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01416" CDS join(14969523..14969541,14970160..14972114) /locus_tag="BRARA_H01416" /codon_start=1 /product="hypothetical protein" /protein_id="RID50709.1" /db_xref="Phytozome:Brara.H01416" /translation="MDSSLDGAAGDSSKCSEMSVEEKRQLVYELSKQSSHLAPEVLQA WSRQEILQILCAEMGKERKYTGLTKVKIIETLMKIVSEKNAGECDENKKKRDSDCCLP VQRSAKRPRKVDNPTRYVAPTSNNNASGEEKTTYCKNLACRAVMRREDSFCRRCSCCI CRKYDENKDPSLWLTCSSDPPFEGGSCGSSCHLECAFDSEKSGLAKQSEECCFYCVSC GKPNSLLECWKKQMTIAKEARRVDILCYRLLLVQKLVKGSSKYRNICEAVEKAVKCLE ADVGPLTMKMGRGIVNRLNSGPDVQKLCSSALESLQTLETKPPEVAALPSPRSSNGLS SEISADTATTVPPTKIRFEDVNATSLTVILASNEVASPANIVHYSIWHRKVTEKEYQE KSTCTLFTPNARFVVSGLAPASEYCFKVVSFSGTRELGVDVINVVTRSPEERSESPLT NCSTLSSNPSSVEAESNNGKKDSPSTEENAAKRTVESDLVEAEKNVEGVVLLDEVEEE AVQDKNVAVTTTNGNSSPVTPFKSDQTKNRQVRNKKLVKDNGNNGDHHSANGGAESGL EHCVKIIRQLECSGHIEKDFRQKFLTWYSLRATPQESRVVKIFIDTFTDDPVDLAEQL VHTFSDRVSVKRSAIGGGASAVVQSGFCMKLWH" gene <14982042..14987009 /locus_tag="BRARA_H01417" /db_xref="Phytozome:Brara.H01417" mRNA join(<14982042..14982080,14983008..14983127, 14983218..14983454,14983811..14984945,14985029..14985708, 14985785..14985958,14986206..14986570,14986665..14987009) /locus_tag="BRARA_H01417" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01417" CDS join(14982042..14982080,14983008..14983127, 14983218..14983454,14983811..14984945,14985029..14985708, 14985785..14985958,14986206..14986570,14986665..14986758) /locus_tag="BRARA_H01417" /codon_start=1 /product="hypothetical protein" /protein_id="RID50710.1" /db_xref="Phytozome:Brara.H01417" /translation="MSLEDIKNETVDLEKIPIEEVFQQLKCTREGLTTQEGEERIQIF GPNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIALANGDGRPPDWQDFVGIICLL VINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEQEAAILVPGDIVSIKLGDI IPADGRLLEGDPLKVDQSALTGESLPVTKHPGQEVYSGSTCKQGEIEAVVIATGVHTF FGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAIGMVIEIIVMYPIQRRKYRDGIDNL LVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLT LNKLSVDKNLVEVFCKGVEKDQVLLFAAMASRIENQDAIDAAMVGMLADPKEARACIR EVHFLPFNPVDKRTALTYIDSSGNWHRVSKGAPEQILELAKANSDLSKKVLSIIEKYA ERGLRSLAVARQVVPEKTKESPGGPWEFVGLLPLFDPPRHDSAETIRRALNLGVNVKM ITGDQLAIGKETGRRLGMGTNMYPSSALLGSHKDANLASIPVEELIEKADGFAGVFPE HKYEIVKKLQELKHIVGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGL SVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLIALIWEFDFSAFMVLIIAILN DGTIMTISKERVKPSPTPDSWKLKEIFATGVVLGGYQAMMTVVFFWAAHKTDFFSDTF GVRSIRDNNNELMGAVYLQVSIISQALIFVTRSRSWSFVERPGALLMVAFLIAQLVAT LIAVYANWEFAKVRGIGWGWAGVIWLYSIVTYFPQDIFKFAIRYILSGKAWLNLFENK TAFTMKKDYGKEEREAQWALAQRTLHGLQPKEAVNIFPEKGSYRELSEIAEQAKRRAE IARLRELHTLKGHVESVVKLKGLDIETSGHYTV" gene complement(14992726..14993354) /locus_tag="BRARA_H01418" /db_xref="Phytozome:Brara.H01418" mRNA complement(14992726..14993354) /locus_tag="BRARA_H01418" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01418" CDS complement(14992837..14993319) /locus_tag="BRARA_H01418" /codon_start=1 /product="hypothetical protein" /protein_id="RID50711.1" /db_xref="Phytozome:Brara.H01418" /translation="MERQIMNNKKRLFSVEPTKLSPSEVFTRKYTSHLVPALKKLNMD KNPSPINQLTVKHEVDKALALSAQEFAWSGFLLQKLSSSNNPTTTTSSSSNGTRILEG ACKEDEDGEIEEKLSELQKLLPGGEEMNVEEMLSEIGNYIKCLELQTIALKSIVQDTT " gene complement(14999031..15005138) /locus_tag="BRARA_H01419" /db_xref="Phytozome:Brara.H01419" mRNA complement(join(14999031..14999491,14999576..14999723, 14999801..15000042,15000137..15000476,15000569..15000634, 15000726..15000854,15000944..15001095,15001182..15001329, 15001407..15001535,15001642..15001779,15001865..15001933, 15002017..15002085,15002160..15002416,15002506..15002653, 15002738..15002900,15002988..15003120,15003200..15003377, 15003447..15003683,15003764..15003832,15003915..15004014, 15004109..15004219,15004882..15005138)) /locus_tag="BRARA_H01419" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01419" CDS complement(join(14999610..14999723,14999801..15000042, 15000137..15000476,15000569..15000634,15000726..15000854, 15000944..15001095,15001182..15001329,15001407..15001535, 15001642..15001779,15001865..15001933,15002017..15002085, 15002160..15002416,15002506..15002653,15002738..15002900, 15002988..15003120,15003200..15003377,15003447..15003683, 15003764..15003832,15003915..15004014,15004109..15004164)) /locus_tag="BRARA_H01419" /codon_start=1 /product="hypothetical protein" /protein_id="RID50712.1" /db_xref="Phytozome:Brara.H01419" /translation="MSVSMRDLDPAFQGAGQKAGIEVWRIENFSPAPIPKSSIGKFFT GDSYIVLKTTALKTGALRHDIHYWLGKDTSQDEAGTAAVKTVELDAALGGRAVQYREV QGHETEKFLSYFKPCIIPQEGGVASGFKHVEAEEHITRLFVCRGKHVVHVKEVPFARS SLNHDGIYILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGTCEVATVEDGRL MADAESGEFWGFFGGFAPLPRKTANDEDKTYNSDITKLFCVEKGQANPVEGDSLKREM LDTSKCYILDCGLEVFVWMGRTTSLDDRKVASGAAEEMIRSSERPKSQMIRIIEGFET VTFRSKFDTWTQETNTTVSEDGRGRVAALLQRQGVNVRGLMKAAPPKEEPQAFIDCTG NLQVWRVNGQEKVLLQAADHSKFYSGDCYVFQYSYPGEEKEEVLIGTWFGKQSVEEER ASAVSMASKMVESMKFVPAQARIYEGKEPLQFFVIMQSFIVFKGGISSGYKKYIAEKE VDEDTYNENGLALFRIQGSGPENMQAIQVDPVASSLNSSYCYILHNDSSVFTWIGNLA TSTDQELVERQLDLIKPNLQTRAQKEGSESEQFWELLGGKTEYRSQKLTKEPESDPHL FSCSFTKDILKVTEIYNFTQDDLMTEDIFIVDCHSEIFVWVGQEVAPKNKLQALTIGE KFIEKDSLLEKLSPEAPIYVIMEGGEPSFFTRFFTSWDSSKSAMHGNSFQRKLRIVKN GGAPVAEKPKRRTPASYSGRASVPDKSQQRTRSMSFSPERVRVRGRSPAFNALAATFE SQNARNLSTPPPVVRKLYPRSVTPDSSKLAPKSSAIASRSALFEQRLKTPPQEQPSVS KPPKGSPKTPESPAPESGSKGKEEKKENDKEEEEKSMSSRIGSLTIQEDAKEGVEDEE DLPAHPYERLKTTSSDPVSDIDVTRREAYLSPEEFKEKFGMTKEAFYKLPKWKQNKFK MAVQLF" gene 15012910..15015604 /locus_tag="BRARA_H01420" /db_xref="Phytozome:Brara.H01420" mRNA join(15012910..15013263,15013633..15013757, 15013834..15014058,15014926..15015169,15015251..15015604) /locus_tag="BRARA_H01420" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01420" CDS join(15013011..15013263,15013633..15013757, 15013834..15014058,15014926..15015169,15015251..15015456) /locus_tag="BRARA_H01420" /codon_start=1 /product="hypothetical protein" /protein_id="RID50713.1" /db_xref="Phytozome:Brara.H01420" /translation="MVEGVSKIMWIVMATVFAATAAATLVAHGEETPCYFVFGDSVFD NGNNNALNTIAKVNYLPYGIDFPEGPTGRFSNGRIIPDVIAELAGFNDTIPPFAGAPP AQANIGLNYASGGGGIREETSQNLGERISLRKQINNHQSAIINAVVPPSQLRRCLYTI SIGSNDYLNNYFLQPPTPARRQYTPEEFAESLIRFYNIYLKQLYLLGARKVALFGIGK IGCIPRIVATLGGGVGCAEEVNQAVDLFNNKLKALVTDFNNKLSSAKFTYVDLFSGNA EDFAALGITVGDRSCCTVNPGEELCAQNGPVCPDRTKYIFWDNVHTTEIINTVIAIAA FNGDITSPFSISQLVN" gene 15018091..15020554 /locus_tag="BRARA_H01421" /db_xref="Phytozome:Brara.H01421" mRNA join(15018091..15018446,15018897..15019021, 15019091..15019315,15019858..15020101,15020180..15020554) /locus_tag="BRARA_H01421" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01421" CDS join(15018194..15018446,15018897..15019021, 15019091..15019315,15019858..15020101,15020180..15020385) /locus_tag="BRARA_H01421" /codon_start=1 /product="hypothetical protein" /protein_id="RID50714.1" /db_xref="Phytozome:Brara.H01421" /translation="MVEGVSKALWIVVATVFAVAAAITPVACGQQAPCYFVFGDSQFD NGNNNVLNTTAKVNYLPYGIDFSEGPTGRFSNGRNIPDVIAELAGFNDSIPPFAGASP GQANIGLNYASGGGGIREETSQNLGERISLRRQINNHQRAIINAAVPRRQLRQCLYTI NIGSNDYLNNYFLQPPTPARRRYNPEQFAESLIRLYNIYLKQLYLLGARKVALFGIGK IGCTPRIIASLGGGVGCAEEVNQAVELFNNKLEGLVADFNDRFSSVMFTYVDLFSGNA EDFAALGITVGDRSCCTVNPGEELCAQNGPVCPDRTKYIFWDNVHTTETVNTVIAVGA VDGNITSPFSIAELLN" gene <15032133..>15033624 /locus_tag="BRARA_H01422" /db_xref="Phytozome:Brara.H01422" mRNA join(<15032133..15032190,15033299..>15033624) /locus_tag="BRARA_H01422" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01422" CDS join(15032133..15032190,15033299..15033624) /locus_tag="BRARA_H01422" /codon_start=1 /product="hypothetical protein" /protein_id="RID50715.1" /db_xref="Phytozome:Brara.H01422" /translation="MKKALSLVVFMIFSIMLAPVKNRVNANVCSEGLGICYQCDERCK ARHGPTGQGMCDRYNLCTCNYICGPVPPPPSPPTKYCSGGAGLCDIRCGNPCCNQSCA QKYPGGVGFCDSLAHTLLCKCQYPC" gene complement(<15034367..>15034880) /locus_tag="BRARA_H01423" /db_xref="Phytozome:Brara.H01423" mRNA complement(join(<15034367..15034677,15034823..>15034880)) /locus_tag="BRARA_H01423" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01423" CDS complement(join(15034367..15034677,15034823..15034880)) /locus_tag="BRARA_H01423" /codon_start=1 /product="hypothetical protein" /protein_id="RID50716.1" /db_xref="Phytozome:Brara.H01423" /translation="MERGSSRVFLFIFFIMLASDANKVMAKPCNNNLGDCQQCDERCK AKHGPTCQGSCDNTFKQCICNNESASPASKICYSGAGLCTTKCWDECCNKTCASMYLN GVGYCDSIGHINLCKCQYPC" gene 15041008..15044048 /locus_tag="BRARA_H01424" /db_xref="Phytozome:Brara.H01424" mRNA join(15041008..15041301,15041534..15042007, 15042087..15042185,15042257..15042357,15042435..15042506, 15042582..15042672,15042901..15042981,15043066..15043128, 15043201..15043323,15043422..15043460,15043567..15044048) /locus_tag="BRARA_H01424" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01424" CDS join(15041615..15042007,15042087..15042185, 15042257..15042357,15042435..15042506,15042582..15042672, 15042901..15042981,15043066..15043128,15043201..15043323, 15043422..15043460,15043567..15043710) /locus_tag="BRARA_H01424" /codon_start=1 /product="hypothetical protein" /protein_id="RID50717.1" /db_xref="Phytozome:Brara.H01424" /translation="MKAAKRWSLGNLRDMASSLPGPRHRAPSRRRARVYIIMALSLIA FFAVIAYMYPHHSKRACYMISSRGCKALADWLPPSLREYSDDEIAARVVISEILSNPP VIRKDSKIAFMFLTPGALPFERLWDRFFQGHEGKFSVYIHASKERPVHYSRYFVNREI RSDEVVWGRISMVDAERRLLANALRDPTNQQFVLLSDSCVPLRSFEYIYNYLMYSNVS YVDCFDDPGQHGSGRHMNHMLPEIQKKDFRKGAQWFTMKRQHAVATMADSLYYSKFRD YCGPGIENNKNCIADEHYLPTFFHMLDPTGISNWTVTQVDWSERKWHPKTYMPEDVTH ELLNNLTSTDTVVHVTSVGVGEEIWMPCMWNGIKRPCYLFGRKFHPDTLDKLLDLFSN YTKSVSWQL" gene complement(15045191..15046388) /locus_tag="BRARA_H01425" /db_xref="Phytozome:Brara.H01425" mRNA complement(join(15045191..15045513,15045984..15046388)) /locus_tag="BRARA_H01425" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01425" CDS complement(15046024..15046296) /locus_tag="BRARA_H01425" /codon_start=1 /product="hypothetical protein" /protein_id="RID50718.1" /db_xref="Phytozome:Brara.H01425" /translation="MAMRRIYSEIKGKKVKELPAYIKSTFSVETVKTSVRKSLDNYND KYIQTSSVDPLLHICFGGMAFSYLVALPNERRHLEHQQHAKEHGGH" gene 15046916..15048695 /locus_tag="BRARA_H01426" /db_xref="Phytozome:Brara.H01426" mRNA join(15046916..15047099,15047212..15047405, 15047754..15047990,15048093..15048241,15048340..15048695) /locus_tag="BRARA_H01426" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01426" CDS join(15047004..15047099,15047212..15047405, 15047754..15047990,15048093..15048241,15048340..15048509) /locus_tag="BRARA_H01426" /codon_start=1 /product="hypothetical protein" /protein_id="RID50719.1" /db_xref="Phytozome:Brara.H01426" /translation="MAEEPQKTSSSSPSLQQPSSDKKPEDAEIKPQVPDHGDSPKYPV LYPGLAPGSNPGQYGEQMNRGAGIYAVPVHQFGGYVSGLPSNYLIPLTYNVPTTRPSN ENEAGGENQAQAGQGQQQQQPAHQRQVVVRRFEIAFQLDIFLILKLAAVIFLFNQDGS RQRLALLVIFATIIYLYQTGALAPFIRWLSQGMHRAAVPPPPHRPAARADNDPAAAMP LNQDAGPEGQENEAGDGNRANANENVGAGAGQQGNQWWGIVKEIQMIVFGFITSLLPG FHNID" gene 15050832..15055000 /locus_tag="BRARA_H01427" /db_xref="Phytozome:Brara.H01427" mRNA join(15050832..15051037,15051383..15051831, 15051920..15052085,15052160..15052283,15052360..15052472, 15052557..15052898,15052984..15053232,15053332..15053421, 15053504..15053554,15053656..15054363,15054514..15055000) /locus_tag="BRARA_H01427" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01427" CDS join(15051434..15051831,15051920..15052085, 15052160..15052283,15052360..15052472,15052557..15052898, 15052984..15053232,15053332..15053421,15053504..15053554, 15053656..15054363,15054514..15054768) /locus_tag="BRARA_H01427" /codon_start=1 /product="hypothetical protein" /protein_id="RID50720.1" /db_xref="Phytozome:Brara.H01427" /translation="MGESETKGKVKKETEKACVSVERVESTLLSSLVKKKGKETTSKR KYNKRKTEEEICSKSSNKKYSRGLEDEEEEESKKTRKRKSKRQQKDSNKVEEVDEALR LQRRTRYLLIKMKMQQNLIDAYAAEGWKGQSREKIRPDKELERARKHILDCKLGLRDA IRQLEHLSSVGRMEEKVMAPDGSIHHDHIFCAECNSREASLDNDIILCDGTCNRAFHQ KCLDPPLETESIPPGDQGWFCKICDCKIEIIDTMNAQIGTQYPVDSNWQDIFNEEANL PVGSEATLNKDADWPSDDSEDGDYDPEMKERNSSRSDSGGGGGGDNDGGSSSTSVSLA SDGVALSTGSWEGHGFGNVVESGEASNEETVHGPRQRKSVDYTKLYHEMFGKDAVLQE QGSEDEDWGPSDRRKRRKESDAASTVVTMCENSKRDEDVVEEVQEQNERDSVSVGGKG GRRPIFRIPRAAVEKLRQVFAENELPSKSVRDSLSKELSLDPEKVSKWFKNTRYMALR NRKAESVKQPEESKVFLSGDSGPEAAMEKNTEADESHDNMEEIVMEKNTETNEIQETD DETVMETNTDTNQIQETMEETVMEKKTESNVIQETMDEAVMEKNTEANETQDTMDEAV MEERTEANEIQQETMEETFMEKTTEAHEVQDSMDDETNTETNEIQETVDEAVLETNTE TNEVQNTIDKSVPLKFNDPTNQTTASPCHDDNNEEIQHANDCFPTPIEDENQQYLEQK DSSLTLPPHEEVSSEKSLQTSLEDKERKEVEEFEAVMEMLCRAENKLLDVTQRLERFR TPKGRKKLGKSSSCLHEEDSVVYVPTPEIKEGR" gene 15077068..15080645 /locus_tag="BRARA_H01428" /db_xref="Phytozome:Brara.H01428" mRNA join(15077068..15077415,15077675..15078628, 15078704..15078923,15079012..15080645) /locus_tag="BRARA_H01428" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01428" CDS join(15077240..15077415,15077675..15078628, 15078704..15078923,15079012..15080508) /locus_tag="BRARA_H01428" /codon_start=1 /product="hypothetical protein" /protein_id="RID50721.1" /db_xref="Phytozome:Brara.H01428" /translation="MRTGAYTVHQTLTPEAASVLKQSLTLARRRGHSQVTPLHVASTL LTSTRSNLFRRACLKRGCVEQQQSQQNQPFLAVKVELEQLVVSILDDPSVSRVMREAG LSSVSVKGNIDDDSSVVSPVFYGSSSSVGVFSSPCSPTSSENNQGGGTLSPNPSKIWH GHLTNHHSFEQNPFFHFPKGKTFAPDHVREDANPVIEVLLGKKNNKKRNTVIVGDSVS LTEGVVAKLMGRIERGEVPDDLKQTHFIKFQFSQVGLNFMKKEDIEGQVRELKRKVDS FTSWSSKGVIVCLGDLNWAVWSGENSALSSQYSAADHLVEEIGRLVYEYSNSGAKVWL LGTASYQTYMRCQMKQPPLDVQWALQAVSIPSGELSLTLHASSGHNSDMAPQVMEMKP FRVKEEGSGQEEEDDKLNFCGECAFNYEKEAKAFISAQHKILPPWLQPHGDSNNINQK FQDELSGLRKKWNRFCQALHHMKPGMTSQSFGWRAEQSSSAFLDSSGVKQNSRASSSV AKFRRQNSCTIEFSFGTNNQESLKKNLTDELSLDGFKISNDEGVEAKITLALGHSPFP SDDEEEPERSTTMRGLSEKLQENIPWQRGVLPSVVEAMEEFVKRSTRRDTWMLLSGND VSAKRRLALTVTTSLFGSVDNMLKINLKTSKASEAYKELEKALKNGEKVVVLIEGVDL ADDRFMELLVDRVEAGKSEDLDDSQGKKSHMIFLLTREDDECEDNEHVVIPMVLKCKK LSSGLVNNKRKPESDAVSTMVKMKNPRIQEEEDVACDISNIKKEFSRQLSFGSNALDL NLRVDAEEKEEEAAAKSATQERFLDSIKNRFDFTVLSNEDITKFFVTKIKDSCEEILG QHEERFGFTVEPELIEKFYRGCGFFANGLFEEWVKNVFQTGLVTVKNGGKEGISVINL CLRGIDMIDQGEVYEEEGFMGTCLPNRIQVSFVD" gene complement(<15095288..>15103790) /locus_tag="BRARA_H01429" /db_xref="Phytozome:Brara.H01429" mRNA complement(join(<15095288..15095569,15103233..15103310, 15103394..15103546,15103632..>15103790)) /locus_tag="BRARA_H01429" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01429" CDS complement(join(15095288..15095569,15103233..15103310, 15103394..15103546,15103632..>15103790)) /locus_tag="BRARA_H01429" /codon_start=1 /product="hypothetical protein" /protein_id="RID50722.1" /db_xref="Phytozome:Brara.H01429" /translation="GTNLKFKCLEKHNVRLTGRVNFYITLEATDPATGSVCSFQTLFS DFGSCLSLGRTNCFLTSANEPVDDEWEEEDTPGINEFYKGPMPKWFSDEALERDTKKY YVVPESELHDNDWLQLLMEVAFFSKADRCLDAYLPLELNKVVVETLEDYTTEAREKLK ADNAIFYISYKCSTDPFSVTLSGYPHLSTTLVGDHLAVVRKTMDGKPEHISLEVSLTR KQEKE" gene <15111410..>15112987 /locus_tag="BRARA_H01430" /db_xref="Phytozome:Brara.H01430" mRNA <15111410..>15112987 /locus_tag="BRARA_H01430" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01430" CDS 15111410..15112987 /locus_tag="BRARA_H01430" /codon_start=1 /product="hypothetical protein" /protein_id="RID50723.1" /db_xref="Phytozome:Brara.H01430" /translation="MSSSSLFNASLSPLNPNQHPIRRHPSPSLLRHRPVAVSCTSDGN TITPIETSVKPPRRTENTIRDDARLHRSTAVNPFSARYVPFNAPPNSSEHYSLDEIVY RSRSGGLLDVEHDMDALKHFDGAYWRDLFDSRVGKSTWPYGSGVWSKKEWVLPEIDDD DIVSAFEGNSNLFWAERFGKQFLEMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRK MNRPVVGVGCASTGDTSAALSAYCAAAGIPSIVFLPANKISMAQLVQPIANGAFVLSI DTDFDGCMKLIREVTSELPIYLANSLNSLRLEGQKTAAIEILQQFDWQAPEWVIVPGG NLGNIYAFYKGFKMCQELGLVDRIPRLVCAQAANANPLYLHYKSGWKDFKPMTASTTF ASAIQIGDPVSIDRAVYALKQCDGIVEEATEEELMDAMAQADSTGMFICPHTGVALTA LFKLRKQGVIAPTDRTVVVSTAHGLKFTQSKVDYHSKAIPDMACRFSNPPVEVKADFG AVMDVLKSYLGSQKLRS" gene complement(15113060..15115105) /locus_tag="BRARA_H01431" /db_xref="Phytozome:Brara.H01431" mRNA complement(join(15113060..15113759,15114688..15115105)) /locus_tag="BRARA_H01431" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01431" CDS complement(join(15113133..15113759,15114688..15115026)) /locus_tag="BRARA_H01431" /codon_start=1 /product="hypothetical protein" /protein_id="RID50724.1" /db_xref="Phytozome:Brara.H01431" /translation="MKLAGLKSIENAHEDSVWAATWVPATEDRPSLLLTGSLDETVKL WRADELDLVRTNTGHSLGVAAVAAHPSGIIAASSSLDSFVRVFDVDTNATIAVLEAPP SEVWGMQFEPMGTILAVAGGSSASVKLWDTASWRILSTLSIPRPEAPKPSDKTSSKKF VLSVAWSPNGKRLACGSMDGTICVFDVERSKLLHQLEGHNMPVRSLVFSPVDPRVLFS GSDDGHVNMHDAEGKTLVGSMSGHTSWVLSVDASPDGGAIATGSSDRTVRLWDLKMRA AIQTMSNHNDQVWSVAFRPPGGTGIRAGRLASVSDDKSVSLYDYS" gene 15116194..15118977 /locus_tag="BRARA_H01432" /db_xref="Phytozome:Brara.H01432" mRNA join(15116194..15116384,15116939..15117042, 15117199..15117329,15117484..15117588,15117654..15117810, 15118084..15118413,15118691..15118977) /locus_tag="BRARA_H01432" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01432" CDS join(15116231..15116384,15116939..15117042, 15117199..15117329,15117484..15117588,15117654..15117810, 15118084..15118413,15118691..15118876) /locus_tag="BRARA_H01432" /codon_start=1 /product="hypothetical protein" /protein_id="RID50725.1" /db_xref="Phytozome:Brara.H01432" /translation="MAEALIDDPVYVAVSTDVSESRLTLTWALRHLQPKKLYLLHVHQ PISINPTSSGLEQSEIDAIQESELTSSYEILLKYRDICVVEGILEQDVDISYSLANNV GEGIVELIYENNIKKLIMGAAADSHKSEDMVNITSRKFDYVTKHAPHCCKIWLVGNGN LIHTREGWFDRRGSPHPSSESLTSLQGLDSALVPYEEAVGGAHDNESHALSSPEDQSA RGFETMYYEEQRRGLEIEERRIKAEEDLRAEIENMKGIQKELEEQLYIDCPRQFEMFQ RERDEAMKTTVELLRLLNLDNSESASHSPSSSFQRSVSNEPPPYFLCPITQEVMREPS VAADGHTYEAEALREWLDNGHDTSPMTNLKLAHRNLVPNHPLRSAIQEWLQGHS" gene <15126785..>15128973 /locus_tag="BRARA_H01433" /db_xref="Phytozome:Brara.H01433" mRNA join(<15126785..15127234,15127513..15127764, 15128299..>15128973) /locus_tag="BRARA_H01433" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01433" CDS join(15126785..15127234,15127513..15127764, 15128299..15128973) /locus_tag="BRARA_H01433" /codon_start=1 /product="hypothetical protein" /protein_id="RID50726.1" /db_xref="Phytozome:Brara.H01433" /translation="MANQAKPRPLLLEKKPVEFVKPLKHTPCGNLSLSTLDNEPINEP MYAYIYVYESNEKNQNDPVSLLRKALSDLLLYYYPVSGKLVRRKSDGKFQLACIGEGV PFAVATADHDLCSLNYVENFADEVAMQLVHELDVNFQSDNGCHPLSLQVTKFSCGGFT IGIAVTHVLCDGYGVATIFNALTELASGKSELSVVPVWQRERLVGKLDGEPAKVPGAD YEGLMATSPYMPSGDMVTETVNIMSENISRLKDTVVLKEHFTTFEILCAYIWKATSRA LKLNLDGITILIITVGIRNVLDPPLPEGYYGNAYIDVYVEIPARELEESSISDIAKLV KRAKKSSLNKTHIVEELRNSERLMKEYAKFEGVADGVFLLTDLRNTGLFESMDFGWNK PVNIWPLTPQKYERNFGIIMRPPKLDPSMEGEAKVVMTLPRNAMVNLKKEMAKKVDPW LKLMKPRL" gene <15136967..15138565 /locus_tag="BRARA_H01434" /db_xref="Phytozome:Brara.H01434" mRNA <15136967..15138565 /locus_tag="BRARA_H01434" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01434" CDS 15136967..15138316 /locus_tag="BRARA_H01434" /codon_start=1 /product="hypothetical protein" /protein_id="RID50727.1" /db_xref="Phytozome:Brara.H01434" /translation="MAKTKHVMYLKLSLTLLILVHVLATTNGLDSPSSKTGRPWPFKK LNKPVVLMISCDGFRFGYQFKTDTPNIDLLISEGTEAKSGLIPVFPTMTFPNHYSIAT GLYPAYHGIIMNSFTDPVTGDKFNKGLDPKWWLGEPLWVTAANQGRKAVTYFWPGSEV PKDSWTCPKELCPHYNSSVTFEERVDNVLSYFDLPQSDIPDFLMLYFDQPDKEGHEYG PDDPRVTAAVGRVDKMIGRVIQGLKKREIFDEVNVILLGDHGMVTNCDMKTIYIDDLA EWVKIPADWINAYSPVLAMNPKWGKDVKNPSEKNAELVAKMNEGLSSGKVENGEFLQV YLKEKLPKRLHYSESSRIPPIVGMVGEGLIVRQNRTGVHECYGDHGYDNKYFSMRSIF IGHGPRFRQGKKVPSFENVQIYNVVAEILGLIPASNNGSSLFTRSILSSSGETGEVE" gene complement(<15140460..>15141898) /locus_tag="BRARA_H01435" /db_xref="Phytozome:Brara.H01435" mRNA complement(join(<15140460..15140589,15140616..15140726, 15140790..>15141898)) /locus_tag="BRARA_H01435" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01435" CDS complement(join(15140460..15140589,15140616..15140726, 15140790..>15141898)) /locus_tag="BRARA_H01435" /codon_start=1 /product="hypothetical protein" /protein_id="RID50728.1" /db_xref="Phytozome:Brara.H01435" /translation="VGLKSLLFLPGNRTWKSLQDQDQDQNTQKLSSSDQSLRFKQKGE EQAMISYATVTAKKPISSCFIFAFLFFSSQNAVVSLNQVSNSQPVARPLKKLDKHVVL LISSDGFRFGYQFKTNLPNIHCLITNGTEAETGLIPVFPTVTYPNHYSIVTGLYPAYH GIINNNFVDPKTGDVFTMASHEPEWWLGEPLWETAVNQGLKAATYIWPGSEVRKGSWD CPKGFCQHYNSSVPDGDDDDRVDTILRYFDLPSSDIPSVITIHFNDPDPQGHQVGPDD SLITEAVVNVDRLIGRFIDGLEKRGVFEDVTMIMVGDHGMVGTCDKKLIVLDDLAPWI KIPSGWVQNYTPLLAIKPPSGHDAKDVVDKMNQGLRLHYSDSYRIAPIIGLVDEGYKV EQKKSKGKECGGAHGGRKVASFENIEIHNLICSILGLKAAPNNGSDEFASKVLLPRK" gene 15142019..>15143635 /locus_tag="BRARA_H01436" /db_xref="Phytozome:Brara.H01436" mRNA join(15142019..15142260,15142345..>15143635) /locus_tag="BRARA_H01436" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01436" CDS join(15142049..15142260,15142345..15143635) /locus_tag="BRARA_H01436" /codon_start=1 /product="hypothetical protein" /protein_id="RID50729.1" /db_xref="Phytozome:Brara.H01436" /translation="MEEWIPLLDIFLKSPSPETEAALWLDEASISFSSSSSSSSSSSP INRSSFVSLLKKQSYINDQNSSPSANKVMFIETLPNMVQSRILSFLLYDHRRFCGKDL VWLAREMLGGSGKEVDFWVQRAAQNLLDIMPERKFDWISRLDLGSDDDGSIVEEFDSV PDWLTEKKGGCAGAILPWLPVSCADVGSETLVVDSCNEEDMMSQGRDNMEVDHSESVN EDDHEINVALQPDVHEMSVNLRAKVKSCESTSEVLALCDEIRKLCLMKGRDCLRVLAL VEPWNAEDETAAVLLSNLLSGNEEEESGWPSQILCSTVLPKFLALEKSASRVLMSATI EFCKIHQRAAEYGLVFPLMLRKEGINTFICEVISRVLKECWHLGHISSFCQKLLSGRT EERKIMFLPCHRDLISDDMTWNESLFILFQNILTHDVPLTQGSVDCLVSKVQEMAERY CKSLKFGNFLLHFISKCAPMLHAHKYPLIESVKCTNSLVTKSILSKLNAL" gene complement(15144014..15146344) /locus_tag="BRARA_H01437" /db_xref="Phytozome:Brara.H01437" mRNA complement(join(15144014..15144512,15144595..15144675, 15144761..15144865,15144928..15145011,15145081..15145197, 15145280..15145372,15145459..15145567,15145661..15145785, 15145928..15146007,15146116..15146344)) /locus_tag="BRARA_H01437" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01437" CDS complement(join(15144393..15144512,15144595..15144675, 15144761..15144865,15144928..15145011,15145081..15145197, 15145280..15145372,15145459..15145567,15145661..15145785, 15145928..15146007,15146116..15146209)) /locus_tag="BRARA_H01437" /codon_start=1 /product="hypothetical protein" /protein_id="RID50730.1" /db_xref="Phytozome:Brara.H01437" /translation="MISLLKARERLLNLTPLLSSSIRRRLSSNPSYSYEDTRGSDVFI HPSAVVHPNAVIGKGVSVGPYCTVGSSVKLGNGCKLYPSSHIFGNTELGESCVLMTGA VVGDELPGSTVIGGNNIIGHHAVVGVKCQDLKYKYGDECFLCIGSNNEIREFCSIHRS SKASDKTVIGDNNLIMGSCHIAHDCKIGDRNIFANNTLLAGHVIVEDYTHTAGATVVH QFCHIGSFSFIGGGSVVSQDVPKYMMVTGERAELRGLNLEGLRRNGFTMSEMKSLRAA YRKIFMSTETSSLEERLTKMEHNQELYSVPAVVSMLHSIRDSFAEGRRGICKFRQWLD S" gene 15147492..15149274 /locus_tag="BRARA_H01438" /db_xref="Phytozome:Brara.H01438" mRNA join(15147492..15147828,15147916..15148026, 15148111..15148179,15148260..15148391,15148500..15148577, 15148660..15148809,15148907..15149274) /locus_tag="BRARA_H01438" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01438" CDS join(15147625..15147828,15147916..15148026, 15148111..15148179,15148260..15148391,15148500..15148577, 15148660..15148809,15148907..15149080) /locus_tag="BRARA_H01438" /codon_start=1 /product="hypothetical protein" /protein_id="RID50731.1" /db_xref="Phytozome:Brara.H01438" /translation="MNRVLLIAVVALALLASSALLPVGAKKPLSSAPRKEDVPYIKCQ VCEKLASRLHQLVKEKQVGISPKKISEYEIIEIAENVCNLKKEEADWMLKIDIVEKGD KLQLVEQEEEGMCNSECKTIEAACQKVIGYSDTDVAEYIYKSKPDLASLVNHLCKDLT DACTKNPPPVPKDRVPGEPFVAKPSKDAEMDKIMRSMQGIPGAPGMKVYSREDLEKYK ANPEKFGTEDEDGDDDDEDEEEDDKFPKNLGKVLKETKKEEWKKTVTKLLKKKGEALR GHAQKVSNRVRRWWKGVSSKKSKSGKSEL" gene complement(<15149345..>15151074) /locus_tag="BRARA_H01439" /db_xref="Phytozome:Brara.H01439" mRNA complement(join(<15149345..15149550,15149646..15149796, 15149875..15149990,15150068..15150155,15150264..15150383, 15150430..15150492,15150568..15150723,15150782..15150783, 15150951..>15151074)) /locus_tag="BRARA_H01439" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01439" CDS complement(join(15149345..15149550,15149646..15149796, 15149875..15149990,15150068..15150155,15150264..15150383, 15150430..15150492,15150568..15150723,15150782..15150783, 15150951..15151074)) /locus_tag="BRARA_H01439" /codon_start=1 /product="hypothetical protein" /protein_id="RID50732.1" /db_xref="Phytozome:Brara.H01439" /translation="MSNNEVAQDESMCDAGDSTNAADVTDDTTSADYYFDSYSHFDEM LKDVVRTKTYENVIYQNKFLIKDKVVLDVGAGTGILSLFCAKAGAAHVYAVECSQMAD MAKEIVKANGFSDIEEIELPTPKVDVIISEWMGYFLLFENMLDSVLYARNKWLVDGGV VLPDKASLFLTAIEDSEYKEDKIEFWNSVYGFDMSCIKKKAMMEPLVDTVDPKQIVTD SKLLKTMDISKMSSGDASFTAPFKLIAQRNDYIHALVAYFDVSFTMCHKLLGFSTGPK SRATHWKQTVMYLEDVLTICEGETITGSMSVSYNKKNARDVDMKLSYSLDGQHSKISR TQHYKMR" gene 15151432..15152636 /locus_tag="BRARA_H01440" /db_xref="Phytozome:Brara.H01440" mRNA join(15151432..15151591,15151811..15152009, 15152246..15152636) /locus_tag="BRARA_H01440" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01440" CDS join(15151828..15152009,15152246..15152432) /locus_tag="BRARA_H01440" /codon_start=1 /product="hypothetical protein" /protein_id="RID50733.1" /db_xref="Phytozome:Brara.H01440" /translation="MASKLIQVQSKACEASKFVAKHGTSYYRQLLEKNKHFIQEPATV ETCQELSKQLLYTRLASIPKRYETFWKELDYVKNLWKNRSDLKVEDAGIAALFGLECF AWYCAGEISGRGFTFTGYYP" gene 15154338..15155902 /locus_tag="BRARA_H01441" /db_xref="Phytozome:Brara.H01441" mRNA join(15154338..15154736,15154822..15154861, 15154956..15155075,15155197..15155370,15155499..15155902) /locus_tag="BRARA_H01441" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01441" CDS join(15154659..15154736,15154822..15154861, 15154956..15155075,15155197..15155370,15155499..15155647) /locus_tag="BRARA_H01441" /codon_start=1 /product="hypothetical protein" /protein_id="RID50734.1" /db_xref="Phytozome:Brara.H01441" /translation="MMNGGALTRFTFSVATFLLLTVVRSQEPCSKTCIAQDCATIGIR YGKYCGIGYTGCRGEPPCDSLDACCLTHDNCVDLKGMTYVNCHKQFKRCLNKVSRSVK QSNGTKVGFSTQCPYSVVIPTMYNGMDYGIFFSGIGNILEPPAPGKGPVVEVNLAQSG ADTKGGLGTKVDIQKKEGSKVSASLN" gene <15158668..>15159864 /locus_tag="BRARA_H01442" /db_xref="Phytozome:Brara.H01442" mRNA <15158668..>15159864 /locus_tag="BRARA_H01442" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01442" CDS 15158668..15159864 /locus_tag="BRARA_H01442" /codon_start=1 /product="hypothetical protein" /protein_id="RID50735.1" /db_xref="Phytozome:Brara.H01442" /translation="MNSIPLDLLYEIFSRMPTKSIGRSRCVSEQWRSILCSADFTEYF LTKSSTRPSLLFTMNRFRSNEFLFFSSPPQIPSKPSSSSSLAAAYFKLNMQLEFYGHA AGLFCFRRMEFTRKGWENTVHVICNPSLGQYVFLPTLKTSSQTFLGFDPIDKVFKVLS PNDTFSSSFAYILTLGTGEKRWRRVHFPLAHSHSSGGVCINGSLYYLARENTTYFIVC FDVRSEKFKLIQGSFVDSDARLRLINYKGKLGVISWPKKFWDSRVGAYSRSKEEVRIW VLEDDEKQDWSEYAYTLPGDKFCDVECDVLKVYVAGVTSATGEIVLMNPNYDHPNPFY VFYFHPERNVIKRVEVQGFGSHGTVHAFVDHVDDLTIDMKSWQLDFLKFESTNKFNAL CLLEDI" gene 15162102..15163567 /locus_tag="BRARA_H01443" /db_xref="Phytozome:Brara.H01443" mRNA join(15162102..15162286,15162400..15162563, 15163263..15163567) /locus_tag="BRARA_H01443" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01443" CDS join(15162139..15162286,15162400..15162563, 15163263..15163382) /locus_tag="BRARA_H01443" /codon_start=1 /product="hypothetical protein" /protein_id="RID50736.1" /db_xref="Phytozome:Brara.H01443" /translation="MTTVPGQLVWEIVKRNNCFLVKQFGRGNAKVQFSKETNNLCNLN SYKHSGLANKKTVTIQVADKEQGVVLGTTKTKKQNKPKLSVNKSVLKKEFPRMAKAVA NQVVDNYYRPDLKKAALARLSVISKGLRVAKSGPKRRNRQA" gene 15164150..15167270 /locus_tag="BRARA_H01444" /db_xref="Phytozome:Brara.H01444" mRNA join(15164150..15164459,15164997..15165833, 15166208..15166705,15166779..15166821,15166890..15167270) /locus_tag="BRARA_H01444" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01444" CDS join(15164402..15164459,15164997..15165833, 15166208..15166705,15166779..15166821,15166890..15167055) /locus_tag="BRARA_H01444" /codon_start=1 /product="hypothetical protein" /protein_id="RID50737.1" /db_xref="Phytozome:Brara.H01444" /translation="MGTRLKLIFWTCVSILAFVELGAASNIGICYGRNADNLPSPNKV SSLIQHLNIKFVRIYDSNIDVLKAFANTNIELMIGVPNADLLAFAQFQSNVDTWLRNN ILPYYPTTKITSISVGLEVTEAPDNATGLVLPAMQNIHTALKKAGLDKKIKISSSHSL AILSRSFPPSSATFSKKHSAFLKPMLEFLVENDSPFMIDLYPYYAYRDSAEKVQLEYA LFESSSQVVDPATGLLYSNMFDAQLDAVYFALTAMNFKSVKVMVTESGWPSKGSPKET AATPDNALAYNTNLIRHVIGDPGTPAKPGEEIDVYLFSLFNENRKPGMESERNWGMFY ANGTSVYALDFTGESAVPGPVSPSNSTTGVSPSPGDNGNSTVTIGGGGGAKKWCVASS QASVTELQSALDWACGPGNVDCSAVQPNQPCFEPDTVLSHASYAFNTYYQQSGGSSLD CSFGGVSVEVDKDPSYGNCLYMIAPSTDGMNRTMAGNITGNITAIDSPLASPSTSNEG IRQMVVSVAVSALLPCFVVSLSLLW" gene complement(15167516..15168634) /locus_tag="BRARA_H01445" /db_xref="Phytozome:Brara.H01445" mRNA complement(join(15167516..15167741,15167826..15167963, 15168403..15168634)) /locus_tag="BRARA_H01445" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01445" CDS complement(join(15167607..15167741,15167826..15167963, 15168403..15168534)) /locus_tag="BRARA_H01445" /codon_start=1 /product="hypothetical protein" /protein_id="RID50738.1" /db_xref="Phytozome:Brara.H01445" /translation="MSWQTYVDEHLMCDVGDGQGHHLAAAAIFGHDGSVWAQSANFPQ FKGQEFANVMKDFDEPGHLAPTGLFLAGAKYMVIQGEPGAVIRGKKGAGGITIKKTGQ SCVFGIYEEPVTPGQCNMVVERLGDYLIEQDL" gene 15180814..15182617 /locus_tag="BRARA_H01446" /db_xref="Phytozome:Brara.H01446" mRNA join(15180814..15181247,15181761..15181970, 15182298..15182617) /locus_tag="BRARA_H01446" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01446" CDS join(15180844..15181247,15181761..15181970, 15182298..15182475) /locus_tag="BRARA_H01446" /codon_start=1 /product="hypothetical protein" /protein_id="RID50739.1" /db_xref="Phytozome:Brara.H01446" /translation="MAFPRSSSISFFTFLLFSVLINTAISSRVSSFIKLPTSVDESVS SSLESYCASWRLAVETDNAGKWKVVPSQCVSSLETYYDKGQFDKDYSVVAGYAYAYAK TITLKGDGKDAWVFDIDETLLSNLEYYKAHGYGSEPYNSLAFNEWVLQGTAPGFAASL KLFNRLKKLGFALILLTGRDEVQRSVTEQNLLDAGYSGWEYLLLRGHQDQGKAAAQYK SEQRSRMVKEGYRLHGNTGDQWSDLQGFSVADRSFKVPNPMYYIA" gene <15183242..>15183947 /locus_tag="BRARA_H01447" /db_xref="Phytozome:Brara.H01447" mRNA join(<15183242..15183642,15183860..>15183947) /locus_tag="BRARA_H01447" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01447" CDS join(15183242..15183642,15183860..15183947) /locus_tag="BRARA_H01447" /codon_start=1 /product="hypothetical protein" /protein_id="RID50740.1" /db_xref="Phytozome:Brara.H01447" /translation="MASPPRALSVSFFVLPLLALLINPATSIRTSIIKLPRSDGSRSA AADTYCESWRLAVETNNAGTWDVLPSSCVDSVARYLNGDQYGSDYDVIVDYALAFAKT VKISGDGKDVWIFDIDETLLTNIGYYKNHGYGVSRSEPFDSKSFNEWVVQGTLREMNG IL" gene 15184102..15184823 /locus_tag="BRARA_H01448" /db_xref="Phytozome:Brara.H01448" mRNA join(15184102..15184387,15184502..15184823) /locus_tag="BRARA_H01448" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01448" CDS join(15184257..15184387,15184502..15184679) /locus_tag="BRARA_H01448" /codon_start=1 /product="hypothetical protein" /protein_id="RID50741.1" /db_xref="Phytozome:Brara.H01448" /translation="MYNALKKLGFTIVLLTGRDEDQRNVTETNLRDVGYSGWERLILR GPDDQGKSATNYKSEQRSKLIDQGFKIHGNTGDQWSDLLGFAVADRSFKVPNPMYYIP " gene 15193530..15194861 /locus_tag="BRARA_H01449" /db_xref="Phytozome:Brara.H01449" mRNA 15193530..15194861 /locus_tag="BRARA_H01449" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01449" CDS 15193580..15194629 /locus_tag="BRARA_H01449" /codon_start=1 /product="hypothetical protein" /protein_id="RID50742.1" /db_xref="Phytozome:Brara.H01449" /translation="MIGENREPYPTVQIPTWPVSEDFTTAEIFSPAMNSPDCSMLKAL TALHRYLPSNESYPDSDPEPFGPDSLVDAYSCDHFRMYDFKVLRCSRGRSHDWTECPY AHPGEKARRRDPTKYNYSGTACPEFRKGGCKKGDSCEFAHGVFECWLHPSRYRTQPCK DGGGCRRKVCFFAHSPEQLRYVQARSPDRVDSFVRAFQLSISPVSSSPPVSPRADSES SQSLSRSLGSSYINDVTTSFRNLRFEKLKSFPSYYNNPFRCYQSGFGSPRGSMLGPGF QSLPTTPARPENLDIWENGLEKDPAMERVVESGRELRAKMFEKLSKENCMDRVEPDQN SGDAPDVGWVSELVM" gene complement(<15201010..>15201345) /locus_tag="BRARA_H01450" /db_xref="Phytozome:Brara.H01450" mRNA complement(<15201010..>15201345) /locus_tag="BRARA_H01450" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01450" CDS complement(15201010..>15201345) /locus_tag="BRARA_H01450" /codon_start=1 /product="hypothetical protein" /protein_id="RID50743.1" /db_xref="Phytozome:Brara.H01450" /translation="VLRWLRNPSPDEFVKLIIKLVFQASLYYIWKERSSRIDNQSFRP AQTVILEMKQIIQARLDPLSRVHTSRRTYITLLGTWLRLFQEQHVWKFVSFCRVIVVR SVEHKKSWT" gene complement(<15208858..>15210468) /locus_tag="BRARA_H01451" /db_xref="Phytozome:Brara.H01451" mRNA complement(<15208858..>15210468) /locus_tag="BRARA_H01451" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01451" CDS complement(15208858..15210468) /locus_tag="BRARA_H01451" /codon_start=1 /product="hypothetical protein" /protein_id="RID50744.1" /db_xref="Phytozome:Brara.H01451" /translation="MCNTTTTTTTGMAVSENQQSQTGTFLDLFSVKTFQQQTKRSLLH CQNRTSPLMSEAVTEAKSLLTLAFPIALTALVLYLRSAVSMFFLGRLGDLELAAGSLA IAFANITGYSVLSGLALGMEPLCSQAFGAHRYKLLSLTLHRTVVFLLVCSVPVSVLWL NVGKISVYLHQDHDIAELAQTYLIFSLPDLITNTLLHPIRTYLRAQAIIHPVTLATLF GAVFHLPANLFLVSYLRLGLIGVAVASSVTNLLVVTFLICYVWASGLHVPTWTNPTWD CFRGWAPLLRLAGPSCVSVCLEWWWYEIMIVLCGLLVNPRSTVAAMGVLIQTTSFLYV FPSSLSFAVSTRVGNELGANRPKTAKLSATVAVFFAAVTGVIAAAFAYSVRNAWGRVF TGDDEILRLTAAALPLLGLCEIGNCPQTVGCGVVRGTARPSTAANVNLGAFYLVGMPV ALGLGFWAGVGFNGLWLGLLAAQVSCAGLMMYVVGTTDWETEAKKAQTLTCAESVESD LIKAVVNTIGGDGESDEAQPLIRITVLY" gene complement(15220629..15224041) /locus_tag="BRARA_H01452" /db_xref="Phytozome:Brara.H01452" mRNA complement(join(15220629..15221159,15221276..15221335, 15221841..15221906,15222031..15222096,15222618..15222698, 15223026..15223401,15223601..15224041)) /locus_tag="BRARA_H01452" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01452" CDS complement(join(15220962..15221159,15221276..15221335, 15221841..15221906,15222031..15222096,15222618..15222698, 15223026..15223401,15223601..15223917)) /locus_tag="BRARA_H01452" /codon_start=1 /product="hypothetical protein" /protein_id="RID50745.1" /db_xref="Phytozome:Brara.H01452" /translation="MNRGVSESSPVLQQMMAAGNPNWWKESGDMRPPPPLMGHQQGPL PPQMTNNNNYLRPRMMPTLLPHFLPYPSTSSSPSLPNNPNLSSWLERNDLPPESWSLS QLLLGGLMMGEEERLEIMNHHNHHDGQQHHSFQGKMRLENWEEQVLSHQEASMGVVDI KQESIINNNNGHHLICSPNSPPNKSCVTTTTTTSLNSTDDNNNNSMFDFSSNHNGLNF SEGRHTPPDQSSECNSLDIGGSTNKKPRLQPSPSSQSTLKVRKEKLGGRIAALHQLVS PFGKTDTASVLSEAVGYIRFLHSQIEALSHPYFSTTASGNMRHQQHILNDQCMKRRGA SSSSTENQNANEEPKKDLRSRGLCLVPISCTLQVGSDNGADYWAPALGSAGFQ" gene <15235044..15235905 /locus_tag="BRARA_H01453" /db_xref="Phytozome:Brara.H01453" mRNA join(<15235044..15235215,15235292..15235433, 15235550..15235620,15235745..15235905) /locus_tag="BRARA_H01453" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01453" CDS join(15235044..15235215,15235292..15235433, 15235550..15235586) /locus_tag="BRARA_H01453" /codon_start=1 /product="hypothetical protein" /protein_id="RID50746.1" /db_xref="Phytozome:Brara.H01453" /translation="MYNSATEEEDKSGPETCLYVKVSMEGAPYLRKIDLKTYKSYVEL SSALEKMFSCFTLGQFGSHGGCGRDGLNESRVTDLLRGSEYVVAYEDKDSDWMLVGDV PWEMFICSDVPARG" gene complement(15236046..15237136) /locus_tag="BRARA_H01454" /db_xref="Phytozome:Brara.H01454" mRNA complement(join(15236046..15236395,15236486..15237136)) /locus_tag="BRARA_H01454" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01454" CDS complement(join(15236286..15236395,15236486..15237068)) /locus_tag="BRARA_H01454" /codon_start=1 /product="hypothetical protein" /protein_id="RID50747.1" /db_xref="Phytozome:Brara.H01454" /translation="MKFVLPSVSWFNSNSSKKDVAMVETVTSTASLDQGKGLFGIKIG TWSLGSVITSGDRKQKPTTINRGLKRLAVSRKSSRLNSVNTVYRFRPYVSKVPWHTGL RAFLSQLFPRYGHYCGPNWSSGKDGGSLVWDQRPIDWLDHCCYCHDIGYDTHDQAEML KADMAFLECLESNKHVVTRGDAHVAFFYKTMCITGLKSILIPYRSYLVKIQYGQNLLD FGWIMSNFSKRS" gene complement(15237394..15239431) /locus_tag="BRARA_H01455" /db_xref="Phytozome:Brara.H01455" mRNA complement(join(15237394..15237662,15237749..15239063, 15239218..15239431)) /locus_tag="BRARA_H01455" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01455" CDS complement(join(15237643..15237662,15237749..15239060)) /locus_tag="BRARA_H01455" /codon_start=1 /product="hypothetical protein" /protein_id="RID50748.1" /db_xref="Phytozome:Brara.H01455" /translation="MATVSPSSISKAGLIPGAAFTVKKNDYSFKCCFWRNAGQKQTPS SAQRLVLPLSTSLKLFPTHGKQFVLHPHRSRATGTDVVATVDEQDSSPPVAEADANEK ADAAPTTTISQSRGTSRPGRKSEMPSVKNEELVAGATFTGKVRAIQPFGAFVDFGAFT DGLVHVSQLSDTFVKDVASVVTIGQEVKVRLVEADIEAKRIALTMRENDDPPKRQPGG SDSKPRGGGKRNASKEDGFSSKYVKGQMLDGTVKNLTRSGAFITIGEGEEGFLPTNEE ADDGIGSMMMGGGSSLQAGQEVKVRVLRIARGRVTLTMKEEDDGKFDETLSQGVVHTA TNPFVLAFRKNEEIAAFLDKREEEAEEKPVEPVTVTVSESEEAVVSEETSEEVVSSET PKVEEVIETKAQDASQESTLGAAAEVEEVEKIEETSDAPSVPETKNEEEVA" gene complement(<15241018..>15241326) /locus_tag="BRARA_H01456" /db_xref="Phytozome:Brara.H01456" mRNA complement(<15241018..>15241326) /locus_tag="BRARA_H01456" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01456" CDS complement(15241018..15241326) /locus_tag="BRARA_H01456" /codon_start=1 /product="hypothetical protein" /protein_id="RID50749.1" /db_xref="Phytozome:Brara.H01456" /translation="MSSSQQSSTQSVRTISLAEDARGKTGLLPQVISNMNTPKANTSE LVLAFPVLESSGEIYPNVPATLEVCGSIPCSQSLARPKSPSFAFIDSSRRMFAGLMSL " gene complement(15243922..15246760) /locus_tag="BRARA_H01457" /db_xref="Phytozome:Brara.H01457" mRNA complement(join(15243922..15244232,15244311..15244451, 15244524..15244740,15244815..15244984,15245505..15245750, 15246215..15246760)) /locus_tag="BRARA_H01457" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01457" CDS complement(join(15244149..15244232,15244311..15244451, 15244524..15244740,15244815..15244984,15245505..15245750, 15246215..15246694)) /locus_tag="BRARA_H01457" /codon_start=1 /product="hypothetical protein" /protein_id="RID50750.1" /db_xref="Phytozome:Brara.H01457" /translation="MGQGPSGGLNRQGGDSKPDGGGDKKEKKFEPAAPPSRVGRKQRK QRGPEAAARLPTVTPSTKCKLRLLKMERIKDYLLMEEEFVANQERLKPQEEKAEEDRS KVDDLRGTPMSVGNLEELIDENHAIVSSSVGPEYYVGIMSFVDKDQLEPGCSILMHNK VLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLEAQIQEIKEAVELPLTHPELYED IGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELF RVADDLSPSIVFIDEIDAVGTKRYDANSGGEREIQRTMLELLNQLDGFDSRGDVKVIL ATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLSEDVNLEEFVMTK DEFSGADIKAICTEAGLLALRERRMKVTHPDFKKAKEKVMFKKKEGVPEGLYM" gene <15254693..>15255127 /locus_tag="BRARA_H01458" /db_xref="Phytozome:Brara.H01458" mRNA join(<15254693..15254756,15254961..>15255127) /locus_tag="BRARA_H01458" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01458" CDS join(15254693..15254756,15254961..15255127) /locus_tag="BRARA_H01458" /codon_start=1 /product="hypothetical protein" /protein_id="RID50751.1" /db_xref="Phytozome:Brara.H01458" /translation="MALTRNCIFLVFLCLTVLLIPEFAKAQGKGRPIVIGTCYQFLHC NQTCVESDFSGGKCVPLPPARIDFVCVCYPKY" gene <15266243..>15266653 /locus_tag="BRARA_H01459" /db_xref="Phytozome:Brara.H01459" mRNA join(<15266243..15266306,15266484..>15266653) /locus_tag="BRARA_H01459" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01459" CDS join(15266243..15266306,15266484..15266653) /locus_tag="BRARA_H01459" /codon_start=1 /product="hypothetical protein" /protein_id="RID50752.1" /db_xref="Phytozome:Brara.H01459" /translation="MASSKTCFFLVFLCLVVLLIPKSAQAEDGRSHLEIVQGPCSKFP DCNQQCTDLRYPGGGKCIKVGRYVTYLMCACFA" gene <15270301..>15270732 /locus_tag="BRARA_H01460" /db_xref="Phytozome:Brara.H01460" mRNA join(<15270301..15270379,15270584..>15270732) /locus_tag="BRARA_H01460" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01460" CDS join(15270301..15270379,15270584..15270732) /locus_tag="BRARA_H01460" /codon_start=1 /product="hypothetical protein" /protein_id="RID50753.1" /db_xref="Phytozome:Brara.H01460" /translation="MALSSKRVFLVFLSLTVLLENLNYKICKGRPIVIGTCYKFPHCN QTCVESDFSGGKCVPLPPPGINFVCVCYPKS" gene complement(<15275252..>15275707) /locus_tag="BRARA_H01461" /db_xref="Phytozome:Brara.H01461" mRNA complement(<15275252..>15275707) /locus_tag="BRARA_H01461" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01461" CDS complement(15275252..15275707) /locus_tag="BRARA_H01461" /codon_start=1 /product="hypothetical protein" /protein_id="RID50754.1" /db_xref="Phytozome:Brara.H01461" /translation="MAIKCLAVFLVALTVAHSVTATRPAPAKNVGAGLEDQKNFVAFA GVGGAAGVGGVGTGLGGVAGGVGGVAGVLPVGGVGGGIGGLGGGVGGLGGVGGLGGGS GLGGGIGGGSGLGGVGGLGGVGGLGGVGGVGGLGGIGGGSDCGGVLPHP" gene 15277730..15282304 /locus_tag="BRARA_H01462" /db_xref="Phytozome:Brara.H01462" mRNA join(15277730..15277946,15278047..15278118, 15278246..15278308,15278379..15278435,15278518..15278585, 15278668..15278749,15278818..15278873,15278965..15279267, 15279344..15279430,15279572..15279746,15280071..15280192, 15280298..15280461,15280553..15280807,15280884..15281005, 15281072..15281193,15281275..15281423,15281529..15281667, 15281981..15282304) /locus_tag="BRARA_H01462" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01462" CDS join(15277850..15277946,15278047..15278118, 15278246..15278308,15278379..15278435,15278518..15278585, 15278668..15278749,15278818..15278873,15278965..15279267, 15279344..15279430,15279572..15279746,15280071..15280192, 15280298..15280461,15280553..15280807,15280884..15281005, 15281072..15281193,15281275..15281423,15281529..15281667, 15281981..15282013) /locus_tag="BRARA_H01462" /codon_start=1 /product="hypothetical protein" /protein_id="RID50756.1" /db_xref="Phytozome:Brara.H01462" /translation="MAKKIGVTMEVGNDGVAVITISNPPVNSLASQIISGLKEKFQDA NQRSDVKAIVLTGNGGRFSGGFDINVFQQVHKTGDISLMPEVSVDLVCNLMEDSRKPL VAAVEGLALGGGLELAMACHARVAAPKAQLGLPELTLGIIPGFGGTQRLPRLVGLEKG ANMILLSKSISSEEGRKLGLIDELVPPGDLLTTSRKWALDIACGRKPFLRSLHRTDKI SSLSEARATLMKARQLAKRIAPNMPQHHACIEVIEDGIIHGGYSGVLKEAEVFKQLVL SDTAKALVHVFFAQRATSKVPKVTDVGLKPRPMKKVAVIGGGLMGSGIATALLLSNIR VVLKEINSEYLLKGIKSVEANLKGLVSRGKLTQDKASKAFSLLKGVLDYSEFKDVDMV IEAVIENIQLKQKIFKEIEEVCPPNCILASNTSTIDLNVIGEKTNSKDRIVGAHFFSP AHLMTLLEIVRTENTSAQVILDLMALGKAIKKVPVVVGNCIGFAVNRTFFPYSQAAHM LVNLGVDLFRVDSVITSFGLPLGPFQLGDLAGHGIGIAVKDIYAKAYGDRMFSSPLTE LLLKSGRNGKINGRGYYIYEKGSKPKPDSSVLSVVEESRKLTNIMPGGKPISVSDKEI VEMILFPVVNEACRVLDEGVVIRASDLDVASVLGMSFPSYRGGLLFWADTVGPKYIYE RLKRLSETYGGFFKPSRYLEERATKGMLLSEPKSSRSRL" gene complement(15281979..15285502) /locus_tag="BRARA_H01463" /db_xref="Phytozome:Brara.H01463" mRNA complement(join(15281979..15282826,15282912..15283238, 15283325..15283419,15283510..15283610,15283694..15284044, 15284150..15284327,15284586..15284696,15284890..15285502)) /locus_tag="BRARA_H01463" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01463" CDS complement(join(15282585..15282826,15282912..15283238, 15283325..15283419,15283510..15283610,15283694..15284044, 15284150..15284327,15284586..15284696,15284890..15285173)) /locus_tag="BRARA_H01463" /codon_start=1 /product="hypothetical protein" /protein_id="RID50755.1" /db_xref="Phytozome:Brara.H01463" /translation="MGEGGGGGGGGGDFPPKKDGVSDESCFPAKKEATQLDFTGGSDE HYSESHTVVTPSVTPPVTTTPSRLHPVARPALPVVTSQSQSQILNAPISLPKPPESPE SRARPIVEGRDGTPQKKKHCNCKLSRCLKLYCECFAAGTYCDGCNCLNCFNNVDNEPA RRDAVEATLDRNPNAFRPKIASSPHGVRDKREEIVLLGKHNKGCHCKKSGCLKKYCEC FQANVLCSENCRCLGCKNFEGSEERQALFHGEHANNMAYLQQAANAAITGAVGSSGFA PFPAPKRRKGQDISFNQATNDSSMHRLGQFQQASNGRTSGPTSTTSPANVSRAGGNSS APSKFVYRSLLAEIIQPQDVKALCSVLVAVAGETTKTLTDRRNETEKRVEDQTETSLA SYNSQGNKTDGNQADGSKGNPLSPATLALMCDEQESLFMVAAAEPNGSMDPGDRRTNS QGQSEIYAEKERVVLTKFRDCLTRLISYADFKESKCSYLARSHIQSPSTAPTETVKTE NGIQQRPPVVNGASLTTTQPALNKPQPLQPTNTTDTSSSHHPHKPPALPENKDL" gene 15294642..15296059 /locus_tag="BRARA_H01464" /db_xref="Phytozome:Brara.H01464" mRNA 15294642..15296059 /locus_tag="BRARA_H01464" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01464" CDS 15294698..15295954 /locus_tag="BRARA_H01464" /codon_start=1 /product="hypothetical protein" /protein_id="RID50757.1" /db_xref="Phytozome:Brara.H01464" /translation="MYQIFFFFVTTFHSYYYVSAQPPAPPLSNGDLVANFEPSLAIVT GVLAIMFALTFVVLVYAKCCHMDLLSGSGDGRRQDRLTRQGFFFNRSTNSSARFSGLD KTAIESLPMFRFSALKGSKQGLECSVCLSKFESVEILRLLPKCRHAFHIGCIDQWLEQ HATCPLCRDRVSIEEDTSVYVNSFRFLNESARREDSSLEIYIEREEEEERRRRQREEV GSSSRFSIGGSFRKILKLGHKEKPLLEQQGNDKDENKVMHKFNHRIFVSDVVFKNRWS NVSSSDLMFLNSEMVCSISSERFSFKRSDEEDQRGNLRIKEDMENKLSSMKTMLLSES KDSGSKLRSVMTETGRRSVSEITTVPRLSIAVHGDCSGSNAATASETEERRRRLWLPI ASKTAQLFANREKRNQINTTVQHFNV" gene 15303114..15304107 /locus_tag="BRARA_H01465" /db_xref="Phytozome:Brara.H01465" mRNA join(15303114..15303418,15303684..15303749, 15303848..15304107) /locus_tag="BRARA_H01465" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01465" CDS join(15303161..15303418,15303684..15303749, 15303848..15303955) /locus_tag="BRARA_H01465" /codon_start=1 /product="hypothetical protein" /protein_id="RID50758.1" /db_xref="Phytozome:Brara.H01465" /translation="MAMMSASSVFLLPANVTAPAGASSSRNSVSFLPMRNAGSRLVVR AADEAAPEPAAPEGAPATTAAPAAAAATKPKPPPIGPKRGAKVKILRRESYWFKSVGS VVAVDQDPKTRYPVVVRFAKVNYANISTNNYALDEIEEVKA" gene complement(15304965..15306309) /locus_tag="BRARA_H01466" /db_xref="Phytozome:Brara.H01466" mRNA complement(join(15304965..15305211,15305299..15305325, 15305461..15305535,15305798..15305871,15305962..15306309)) /locus_tag="BRARA_H01466" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01466" CDS complement(join(15305126..15305211,15305299..15305325, 15305461..15305535,15305798..15305871,15305962..15306239)) /locus_tag="BRARA_H01466" /codon_start=1 /product="hypothetical protein" /protein_id="RID50759.1" /db_xref="Phytozome:Brara.H01466" /translation="MAVTTFNTLRLVSSSLDSIPSISFSTSYSLSLISVGGITCSDVK RCLKHTCSVRAMSSSSAASSSSFGSRMEESVKKTVTENVVVVYSKTWCSYCIEVKTLF KRLGVQPLVIELNELGPQGPQLQKVLERLTGQRTVPNVFVGGKHIGGCTDTVKLKRKG DLALMLAEANGKTDQTLRK" gene complement(15312917..15314805) /locus_tag="BRARA_H01467" /db_xref="Phytozome:Brara.H01467" mRNA complement(join(15312917..15313396,15313512..15313883, 15313960..15314805)) /locus_tag="BRARA_H01467" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01467" CDS complement(join(15313169..15313396,15313512..15313883, 15313960..15314640)) /locus_tag="BRARA_H01467" /codon_start=1 /product="hypothetical protein" /protein_id="RID50760.1" /db_xref="Phytozome:Brara.H01467" /translation="MDSMFRLMDQEQEVTTTTNRCIWVNGPVIVGAGPSGLATAACLR EQNVPFVVLERADCIASLWQNRTYDRLKLHLPKKFCQLPKMPFPESFPEYPTKRQFID YLESYASRFEINPKFNECVQTARFDETSGLWRVKTVSNAESTRTEVEYICRWLVVATG ENAERVVPEIDGLNEFTGEVIHACDYKSGEKFAGKKVLVVGCGNSGMEVSLDLANHFA KPSMVVRSSVHVMPREVMGKSTFELAMKMLAWLPLWLVDKILLVLCWLVLGNIEKYGL KRPEMGPMELKSVKGKTPVLDIGALEKIRSGKIDVVPGVKRFDGNRVELVNGEQLEID SVVLATGYRSNVPYWLQESEFFAKNGFPKAANSGWKGRTGLYAVGFTRRGLSGVSMDA VKIAQDIGSVWKLETKQPTKRSTASHRRCISQQF" gene 15331901..15334758 /locus_tag="BRARA_H01468" /db_xref="Phytozome:Brara.H01468" mRNA join(15331901..15332146,15332734..15333247, 15333311..15333503,15333584..15333660,15333748..15333790, 15333873..15333930,15333996..15334065,15334170..15334758) /locus_tag="BRARA_H01468" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01468" CDS join(15332741..15333247,15333311..15333503, 15333584..15333660,15333748..15333790,15333873..15333930, 15333996..15334065,15334170..15334412) /locus_tag="BRARA_H01468" /codon_start=1 /product="hypothetical protein" /protein_id="RID50761.1" /db_xref="Phytozome:Brara.H01468" /translation="MEARPVQRSGSRELTNLARISSIPSTPNPSSAPEAAFMRPDHKP LGQQTYHLLSSSNGGSVGHICSSSSSGFSTNLHYSSMEKHYAAASSNDDSSWCNGGFL DFPEDHQAVHNNSQIEDGCIGIGGAFDDIQKPNDWQWADHLITDEDPLLSTNWNDLLL DTSSNSDTKDQKSLQPIPPQSQTVQQQPSPSVSVELRPVSTTSSNSNNKARMRWTPEL HEAFVEAVNSLGGSDRATPKGVLKKMKVEGLTIYHVKSHLQKYRTARYRPEPSESGSP EKKLTPLEHITALDLKGGIGITEALRLQMEVQKQLHEQLEIQRNLQLRIEEQGKYLQM MFEKQNSGLGKGKGTASTSDSPSKSEQEDNKKTADSEELAPEETRKCQEPESPQPKRV KTDN" gene complement(<15335201..>15336599) /locus_tag="BRARA_H01469" /db_xref="Phytozome:Brara.H01469" mRNA complement(join(<15335201..15335542,15335634..15335906, 15336050..15336463,15336543..>15336599)) /locus_tag="BRARA_H01469" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01469" CDS complement(join(15335201..15335542,15335634..15335906, 15336050..15336463,15336543..15336599)) /locus_tag="BRARA_H01469" /codon_start=1 /product="hypothetical protein" /protein_id="RID50762.1" /db_xref="Phytozome:Brara.H01469" /translation="MDIEREFRMTDYARNSSVQKKASDKTKHITLETVQQLYKETRPK SLKIADLGCSSGPNTLSTIRDIIKAVKFAHHLEIPNQPLPEFSIFLNDLPSNDFNSIF KSLPDFHTELKRDTNYGDCPSVFIAANPGSFYGRLFPEKTIHFIYSSFSLHWLSKVPP GLYDDQGKSINKGCINICSWSPEAVSKAYWSQFKEDFSMFLRFRSKEVVSTGRMVLIL LGREGPNPVGRRNSFFCELLARSIADLVAQGETEQEKLDSYENHFYAPSAAEIEGEVN KEGSFELEKLEMIEVANKWGKEDGISAGLVFAKTVRASQGSMIAQHFGEKILDKMFDT YARLIDEELAKEDIRPITFVVVLKRKL" gene complement(15337834..15341264) /locus_tag="BRARA_H01470" /db_xref="Phytozome:Brara.H01470" mRNA complement(join(15337834..15339635,15340086..15340350, 15340430..15340679,15340924..15341264)) /locus_tag="BRARA_H01470" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01470" CDS complement(join(15337981..15339635,15340086..15340350, 15340430..15340603)) /locus_tag="BRARA_H01470" /codon_start=1 /product="hypothetical protein" /protein_id="RID50763.1" /db_xref="Phytozome:Brara.H01470" /translation="MKCLCSGEQMRLLDEQDNKIPGLDVNSALSASAAEKLDNVNFDE LELSLRETSSLNHEEASAFLGRIEYQKGNVEAALRVFERIDINGITIKMKTALTVRQE PKHRRRSKTSFAPPPPPMSKHTVYLLFDAIFLKAKSLQRLARFQEAAQSCKVILDIVE ASLLENVIGDIKLKETLTKAVELLPQLWKLADSPRDAILSFRRALLNHWKLDPETRAR IQKEYAVFLLYSGKEAVPPNLRSQTEGAFIPRNNVEEAILLLLLLLRKVNQKRISWDA AILDHLTFALTVAGDLTALAKQLEKLRPEILDQRELYYTLSLCYHGAGEDLVALGLLR KLEDPNRVSGLLMASKICGERSELAEEGIDYARRAMGSLGNECVQLDGAARLVLGIAL TESSRVSATEAERVARLSEGMQALESADMTDPRVLHRLALENAEERKLDSALAYAKHA LKLGAESDLEVWLLLARVLSAQKRFQDAETIVDAAINETARWEQGKLLRLKAKLRVAK GEVRDGIESYTQLLALLQVQSKSFSSVKKMPKEGYDVEGLRSLELGTWHDLAHIYINL SQWRDAETCLSRSRLIGPYSPARYHTEGVLYKRQGQLEEAMEAFTTALDIDPVHVPSL VSKAEILMELGNGSVVRSFLMEALRIDRLNHTAWYILGKMFKAEGSVSSMQEAVECFQ AAVTLEETMPVEPFR" gene 15343576..15345325 /locus_tag="BRARA_H01471" /db_xref="Phytozome:Brara.H01471" mRNA join(15343576..15344267,15344481..15344565, 15344713..15344824,15344916..15345325) /locus_tag="BRARA_H01471" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01471" CDS join(15343594..15344267,15344481..15344565, 15344713..15344824,15344916..15345019) /locus_tag="BRARA_H01471" /codon_start=1 /product="hypothetical protein" /protein_id="RID50764.1" /db_xref="Phytozome:Brara.H01471" /translation="MNLPVVACFAPRTPMLHTGLNYRFSDTNRSSTLVSTARIPSRNL KPLAAVSSDAVPDPESNLKPKKEATESENFPTKVPRKPKRGRRSEADAVEDFVRSSLE KTFSTIREQNPEVFENKEKASFIKNRAEQSDEDDDESEGEGEMVVEEEDPDWPVDTDV GWGIKASEYFDTHPIKNVVGEDGTVIDWEGEIDDSWVKEINCLEWESFAFHPSPLVVL VFERYKRASDNWKTLKELEKAIKVYWDAKDRLPPRAVKIDLNIETDLAYALKAKECPQ ILFLRGNRIVYREKDFRTADELVQMIAHFYYKAKRPSWIDKANVAPYC" gene complement(<15365405..>15366157) /locus_tag="BRARA_H01472" /db_xref="Phytozome:Brara.H01472" mRNA complement(join(<15365405..15365539,15365644..15365807, 15365883..15366033,15366071..>15366157)) /locus_tag="BRARA_H01472" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01472" CDS complement(join(15365405..15365539,15365644..15365807, 15365883..15366033,15366071..>15366157)) /locus_tag="BRARA_H01472" /codon_start=1 /product="hypothetical protein" /protein_id="RID50765.1" /db_xref="Phytozome:Brara.H01472" /translation="TKDALLIQQESQHSPSCIHIPRQIPRNKLKIHESSMPIKTVDSS ILKRKDRTVEIAFKQLDDEKPRPSAFCSEINTISPLYAFSDLTGHKFFDVCDCDQCQI DSDEEETAKRKSSRSKSSQQKLKERYESRDPEPLDISRTNILPHVFEQNSVRHSWKIN NPNIKTLNGQRRKSVQLK" assembly_gap 15366389..15376388 /estimated_length=unknown /gap_type="between scaffolds" gene complement(<15376470..>15378539) /locus_tag="BRARA_H01473" /db_xref="Phytozome:Brara.H01473" mRNA complement(join(<15376470..15376568,15376682..15376756, 15376844..15376897,15377017..15377085,15377291..15377359, 15377478..15377513,15377621..15377712,15377896..15377956, 15378137..15378223,15378310..15378385, 15378487..>15378539)) /locus_tag="BRARA_H01473" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01473" CDS complement(join(<15376470..15376568,15376682..15376756, 15376844..15376897,15377017..15377085,15377291..15377359, 15377478..15377513,15377621..15377712,15377896..15377956, 15378137..15378223,15378310..15378385, 15378487..>15378539)) /locus_tag="BRARA_H01473" /codon_start=1 /product="hypothetical protein" /protein_id="RID50766.1" /db_xref="Phytozome:Brara.H01473" /translation="FRLLCEHDSKLSVALDVMSNLPSESSLGRWMGESVRAAIISTDS FLTNARGYPCLSKRHQKLIAGFFDHAVQVVVSGKLVHNLQRASDSNAEGTQRHPLRSY LDYVAYLFQKMEPLPEQERIELGYRDFLQAPLQPLMDNLEAQTYETFERDSIKYIQYQ RAVAKALVDRVPDEKASELTTVLMVVGAGRGPLVRASLQAAEETDRKLKVYAVEKNPN AVVTLHNLVKMEGWEDMVTIISCDMRFWTAPEKADILVS" assembly_gap 15378542..15388541 /estimated_length=unknown /gap_type="between scaffolds" gene 15393858..15396854 /locus_tag="BRARA_H01474" /db_xref="Phytozome:Brara.H01474" mRNA join(15393858..15394162,15395285..15395550, 15396114..15396854) /locus_tag="BRARA_H01474" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01474" CDS join(15393952..15394162,15395285..15395550, 15396114..15396680) /locus_tag="BRARA_H01474" /codon_start=1 /product="hypothetical protein" /protein_id="RID50767.1" /db_xref="Phytozome:Brara.H01474" /translation="MGLKDIGSTLPPGFRFHPSDEELVCRYLCNKIRAKSLHCNVEDD DVDEALQDATDLVEIDLHICEPWQLPDVAKLNAKEWYFFSFRDRKYCTGYRTNRATVS GYWKATGKDRTVIDPRTRQLVGMRKTLVFYRNRAPHGIKTTWIMHEFRLECPNMLPKE DWVLCRVFNKGRDLSLQDNNNDHLTRRFAVNGAPDLNYAPNYNNQLHPLLSSSPSTTI DPLHHLDQWGQLMKQPSRTTDHPYHHHCKHQTIACGWEQMIGSMSSSSTHGHDHESLL NLLYADNNNNINVTDDQYIQNYEKILFPSDSTSLDHDKICMGTSSDGGMVSNPRMECG GLSFETDNPLSFH" gene complement(<15402714..>15405097) /locus_tag="BRARA_H01475" /db_xref="Phytozome:Brara.H01475" mRNA complement(join(<15402714..15402718,15403133..15403376, 15403903..15404352,15404454..15404779, 15404878..>15405097)) /locus_tag="BRARA_H01475" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01475" CDS complement(join(15402714..15402718,15403133..15403376, 15403903..15404352,15404454..15404779, 15404878..>15405097)) /locus_tag="BRARA_H01475" /codon_start=1 /product="hypothetical protein" /protein_id="RID50768.1" /db_xref="Phytozome:Brara.H01475" /translation="VPPQLRNECNLDNLDVLQATETIKSEAGQIEYWDHNHPQIRCAG VSVSRLVIEQGGLYLPTFFSSPKISYVVQGMGISGRVIPGCAETFMDSQPMQGQQQGQ GQQGQQGQEGQQGQQGQQQQQGQQGFRDMHQKVEHVRHGDVIAITPGSAHWIYNTGDQ PLVIISLLDIANYQNQLDRNPRVFRLAGSNPQGGFGGPQQQQPQQNMLNGFDPQVLAQ ALKIDVKLAQELQNKQDNRGNIVRVRGPFQVARPPLRQSYESEKWRHPRGPPQSPQDN GLEETIGSMRTHENIDDPARADVYKPNLGRVTSVNSLTLPILQFVRLSATRGIIQGNA MVLPKYNMNANEILYCTRGQARIQVVNDNGQNVLDQQVQKGQLVVIPQGFAYVVQSQN NFEWISFKTNANAMISTLNLPN" gene <15408416..>15409998 /locus_tag="BRARA_H01476" /db_xref="Phytozome:Brara.H01476" mRNA join(<15408416..15408425,15408637..15408791, 15408979..15409095,15409222..15409397,15409518..15409662, 15409804..>15409998) /locus_tag="BRARA_H01476" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01476" CDS join(15408416..15408425,15408637..15408791, 15408979..15409095,15409222..15409397,15409518..15409662, 15409804..15409998) /locus_tag="BRARA_H01476" /codon_start=1 /product="hypothetical protein" /protein_id="RID50769.1" /db_xref="Phytozome:Brara.H01476" /translation="MDLIPKVPGGGAASALLKVGIIGGLGLYAATQSLYNVDGGHRAI MFNRLVGVKDKVYPEGTHLMVPWFERPIIYDVRARPYLVESTSGSRDLQMVKIGLRVL TRPMADQLPEIYRTLAENYSERVLPSIIHETLKDIVAQYNAKPAYHSERERKILTQRA TNFNIPLDDVSITTLTFGKEFTAAIEAKKVAAQEAARAKFIGESKSAQLIGQAIANNK AFITLRKIEAAREIAQTIAHSANKVYLSSDDLLLNLQGMNLEVDPKK" gene complement(<15410607..>15412137) /locus_tag="BRARA_H01477" /db_xref="Phytozome:Brara.H01477" mRNA complement(join(<15410607..15411042,15411215..15411633, 15411973..>15412137)) /locus_tag="BRARA_H01477" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01477" CDS complement(join(15410607..15411042,15411215..15411633, 15411973..15412137)) /locus_tag="BRARA_H01477" /codon_start=1 /product="hypothetical protein" /protein_id="RID50770.1" /db_xref="Phytozome:Brara.H01477" /translation="MGVDYYKALQVDRSANEDDLKKAYRKLTMKWHPDKNPNNKKDVE SKFKQISEAYDRAVYDQYGEEGLKGNVPPPNAAGGASFFSSGDGPSPFKFNPRSADDL FAEIFGFSNPFGGGGGGGAGGSGGQRFSSRMFGDDMYGSFGEGGGGGSMHHHHHGAAR KVAPIENKLPCSLEDLYKGTTKKMKISREIVDVSGKVMQTEEILTIGVKPGWKKGTKI TFPEKGNEHPGVIPADLVFIIDEKPHPVFTREGNDLIVTQKVSLADALTGYTVNLTTL DGRMRTIPVTNVIHPEYGEVVPKEGMPLQKDQTKKGNLILKFNIKFPARLSAEQKAGF KKLLG" gene complement(<15415790..>15416825) /locus_tag="BRARA_H01478" /db_xref="Phytozome:Brara.H01478" mRNA complement(join(<15415790..15415839,15415928..15416381, 15416484..>15416825)) /locus_tag="BRARA_H01478" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01478" CDS complement(join(15415790..15415839,15415928..15416381, 15416484..15416825)) /locus_tag="BRARA_H01478" /codon_start=1 /product="hypothetical protein" /protein_id="RID50771.1" /db_xref="Phytozome:Brara.H01478" /translation="MGISGRVIPGCAETFMDSQPMQGQQQGQGQQGQQGQQGQQGQEG QQGQQGQQQQQGQQGFRDMHQKVEHVRHGDVIAITPGSAHWIYNTGDQPLVIISLLDI ANYQNQLDRNPRVFRLAGSNPQGGFGGPQQQQPQQNMLNGFDPQVLAQALKIDVRLAQ ELQNKQDNRGNIVRVRGPFQVARPPLRQSYESEKWRHPRGPPQSPQDNGLEETIRSMR THENIDDPARADVYKPNLGRVTSVNSLTLPILQFVRLSATRGIIQGVMKPQYILKIYS DGDFE" gene 15418179..15423385 /locus_tag="BRARA_H01479" /db_xref="Phytozome:Brara.H01479" mRNA join(15418179..15418426,15418674..15418774, 15418887..15419007,15419099..15419158,15419278..15419388, 15419496..15419561,15419724..15419845,15419980..15420094, 15420182..15420256,15420352..15420510,15420584..15420685, 15420778..15420879,15420974..15421114,15421201..15421305, 15421383..15421604,15421673..15421786,15421853..15422068, 15422160..15422219,15422299..15422412,15422484..15422569, 15422661..15422769,15422864..15423042,15423134..15423385) /locus_tag="BRARA_H01479" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01479" CDS join(15418319..15418426,15418674..15418774, 15418887..15419007,15419099..15419158,15419278..15419388, 15419496..15419561,15419724..15419845,15419980..15420094, 15420182..15420256,15420352..15420510,15420584..15420685, 15420778..15420879,15420974..15421114,15421201..15421305, 15421383..15421604,15421673..15421786,15421853..15422068, 15422160..15422219,15422299..15422412,15422484..15422569, 15422661..15422769,15422864..15423042,15423134..15423206) /locus_tag="BRARA_H01479" /codon_start=1 /product="hypothetical protein" /protein_id="RID50772.1" /db_xref="Phytozome:Brara.H01479" /translation="MAPAPDPNSSVGGGGGAKRDQASTKVKAPKKKEEDLSEEDLELQ QNLELYVKRVQDPNPELQKAALESMSQEIRASTSSMTSVPMPLKFLRPYYGTLKDCHQ KMAESDLKKLLADILSVLALTMSAEGERESLGYRLAGSGGDIGSWGHEYVRNLAGEVA VEYTIRQVQESSIMDLMDLVRQIVAFHMKHNAETEAVDLLMEVEDLDPLLDHVGRTNF RRTCNYLTSAAKYRPWPDDMVALDIAYMIYMKFKAYPNALQIALLLDNMQYVKKVFTS CTNLLRKKQFCYMISRHGITFNLDPEMVEDKDDRSMLQEIVNNTKLNEGYLTLARDID VMEAKRPEDIYKAHLLNVRSGPVVESAKQNLAATFVNAFVNAGFGQDKLMAGTSDSTS GSAGNWIFRNKDDGKTSATASLGMIYLWDVEGGLAQLDKYYDISDKPVRAGALLGVGI VNCGIKSDCDPALALIGEYTDNEDSSVRIGAIMGIGIAYAGSQNDQIKSSLSPILNDE KAPLDVVAFAALSLGMVYVGSCNDEVAQSIMFALMDRNSAEPGEALVRFLPLGLGLLY LGKQESVEATAEISKTLCEKIRNYCKMTLLSCAYAGTGNVLKVQELLAQCGEHPEKDT IHQGPAVLGVAMIAMSEELGRDMAIRSLEHVLQYGEQNIRRAVPLALGLLCISNPKMN VMDTLSRLSHDTDSEVAMTATISLGLIGAGTNNARIAGMLRNLSSYNEQHARLLFCVR IAQGLLHMGKGLLTLNPFHSDRVLLSPTALAGIVTLLHACLDMKSIILGKYHYVLYFL ALAMQPRMMLTVDENLKPISVPVRVGQTVDVVGQAGRPRTITGFQTYETPVLLAAGER AELATDKYIPLSPILEGFVILRENPNYREE" gene complement(15423972..15424569) /locus_tag="BRARA_H01480" /db_xref="Phytozome:Brara.H01480" mRNA complement(15423972..15424569) /locus_tag="BRARA_H01480" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01480" CDS complement(15424228..15424446) /locus_tag="BRARA_H01480" /codon_start=1 /product="hypothetical protein" /protein_id="RID50773.1" /db_xref="Phytozome:Brara.H01480" /translation="MRRVSWPTILIMVIVALLVVEHVVVPAAAGRVLTEKLGDGSATM MRVEKMTSTVDFWFQRLASGPSPRGRGH" gene complement(15426423..15427997) /locus_tag="BRARA_H01481" /db_xref="Phytozome:Brara.H01481" mRNA complement(join(15426423..15426793,15427641..15427997)) /locus_tag="BRARA_H01481" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01481" CDS complement(join(15426603..15426793,15427641..15427908)) /locus_tag="BRARA_H01481" /codon_start=1 /product="hypothetical protein" /protein_id="RID50774.1" /db_xref="Phytozome:Brara.H01481" /translation="MATTGSASVATGTTTAKRKPVFVKVEQLKPGTTGHTLTVKVVDA NPVVPVTRKTRPGASMGRPSQPSRIAECLIGDETGCILFTARNDQVDLMKPGETVILR NSRIDMYKGTMRLGVDKWGRIEATEPASFTVKEDNNLSLVEYELINVKDQ" gene <15429070..>15430794 /locus_tag="BRARA_H01482" /db_xref="Phytozome:Brara.H01482" mRNA join(<15429070..15429534,15430053..15430424, 15430498..>15430794) /locus_tag="BRARA_H01482" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01482" CDS join(15429070..15429534,15430053..15430424, 15430498..15430794) /locus_tag="BRARA_H01482" /codon_start=1 /product="hypothetical protein" /protein_id="RID50775.1" /db_xref="Phytozome:Brara.H01482" /translation="MNKQRHPSVFQKLHGQTSLISTLSPTVQPRNHSVSGAYVNGGLQ SLLQPTSHDTSLIPRGSLPVLAQAPTEKSSTGFLIDFLMGGVSAAVSKTAAAPIERVK LLIQNQDEMLRAGRLSEPYKGITDCFTRTVKDEGVLSLWRGNTANVLRYFPTQALNFA FKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAK KGGQRQFNGIVDVYKKTVASDGVVGLYRGFNISCVGIIVYRGLYFGLYDSLKPVVLVD GLEDNFLASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYTSSLQAFNQIVKKE GARSLFKGAGANILRAVAGAGVLAGYDKLQLLVFGKKYGSGSG" gene complement(15431349..15435419) /locus_tag="BRARA_H01483" /db_xref="Phytozome:Brara.H01483" mRNA complement(join(15431349..15431586,15431731..15431889, 15431965..15432081,15432152..15432480,15432564..15432731, 15432820..15432913,15433010..15433069,15433155..15433312, 15433416..15433493,15433571..15433637,15433763..15433823, 15433910..15434025,15434119..15434246,15434383..15434455, 15434998..15435419)) /locus_tag="BRARA_H01483" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01483" CDS complement(join(15431788..15431889,15431965..15432081, 15432152..15432480,15432564..15432731,15432820..15432913, 15433010..15433069,15433155..15433312,15433416..15433493, 15433571..15433637,15433763..15433823,15433910..15434025, 15434119..15434246,15434383..15434455,15434998..15435144)) /locus_tag="BRARA_H01483" /codon_start=1 /product="hypothetical protein" /protein_id="RID50776.1" /db_xref="Phytozome:Brara.H01483" /translation="MKKRQHLGVLGLGFVEFQIIFLLSIWLAFPQQAAGLRPIREKAR TWSDEWLFGRKQVPEGGRFSAWNITGTYRGNWKFLDSLNSSSKFQDFQKSNGNSVVEL VAVPTKITGVHYVQGVVLFHDVFDNEQNLGGAQISLEGVYIWPFRQLRLVANSGKESD AGQDENNLLSNPYHLLGIFSSQVFQESTRDRILQRKISPRNEMDKHCNIEIAAQISRV ASSDNNGDKSYYHMEGLMESPGVGDDGDCFSPLFLNATSVNVEVYYNKAVNYTLMVTF VSFLQVLLLIRQMEHGNTQSGAAKVSIVMIGHQAIMDAYLCLLHLTAGILVESLFNAF ATAAFFKFVVFSIFEMRYLLAIWKATRPSISGEGWETMRRELSFLYSRFYGILLGGIL IMYELHNYMRPILLLMYSFWIPQIVTNVIRDSRKPLHPYYIVGMTATRLAIPLYVFGC PHNFMRIVPSKAWCVCLCTFMGLQAVILLLQHYFGSRCFVPRQMLPEKYNYHRRFNQD ISRNTDCVICMTAINLRQRTSDFMVTPCEHFFHTGCLQRWMDIKMECPTCRRSLPPA" gene <15436633..>15439386 /locus_tag="BRARA_H01484" /db_xref="Phytozome:Brara.H01484" mRNA join(<15436633..15436819,15436917..15437128, 15439117..>15439386) /locus_tag="BRARA_H01484" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01484" CDS join(15436633..15436819,15436917..15437128, 15439117..15439386) /locus_tag="BRARA_H01484" /codon_start=1 /product="hypothetical protein" /protein_id="RID50777.1" /db_xref="Phytozome:Brara.H01484" /translation="MGLVKTFDAYLMIVMLAGLVFALGLSNGYKFYVGGKDGWVLTPS EDYSHWSHRNRFQVNDTLYFKYPKGKDSVLEVSEEEYNTCNTTHPITSVSDGGSLFVL SRSGPFFFVSGNSENCLKGQTLQENSGILTDLAVNVMSTAHHSRSPRQPSPSPSPSPS PSPTLSPIAWSSPAPSPGVVLSDSEALAPAPEPAKARNSACLVGPGMVSLGLVLFVFI RSMV" gene complement(<15439933..>15441981) /locus_tag="BRARA_H01485" /db_xref="Phytozome:Brara.H01485" mRNA complement(<15439933..>15441981) /locus_tag="BRARA_H01485" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01485" CDS complement(15439933..15441981) /locus_tag="BRARA_H01485" /codon_start=1 /product="hypothetical protein" /protein_id="RID50778.1" /db_xref="Phytozome:Brara.H01485" /translation="MFSKASIFLLFTISQLLPRPISCIDFVYNSNFTTTNTLLIDATV DSPPSILTLTNETTSSIGRGLYPSRIPASSSSSPLPFATSFIFSMAPYKNRLPGHGFA FVFLPSSDTSAAESAQHLGLLNLTNNGDPTNRIFAVEFDVFSNQEFNDINDNHVGVDV NSLTSLSSSVAGFYRDDGTFTELKLNSGDNYQAWIEFNGSAINVTMARAGSLKPLRPL ISTPLNLTGVLLGDMFVGFTASTGLLVQSHRILSWSFSNSDFSVGDALITRNLPSFKL RDGSVLDSKGFVAGVSVGAVALLVCVIVVVLYVVRRRRRRDDDDVEDWETEYWPHRVQ YRDVVEATKGFAEENMVGYGGNSKVYRGVLEGKEVAVKRITTSPRDTVAGTSEFLAEV SSLGRLRHKNIVGLRGWCKKGGECLVLVYEYMENGSVDKRLFDCDETLEWEERMRVIR DVASGMLYLHEGWESKVLHRDIKTSNVLLDKDMNARVGDFGLAKLQNTNKEMVSTTHV VGTAGYMAPELVKTGRASVETDVYSFGVFVLEVVCGRKPIEEGREGIVEWMWGLMERD QVVDGLDERLKVRGRFEVKEVEMALRIGLLCVHPDPRVRPKMRQVVHILEQGRLSDDE REREGVEVSLLERMKSSYLLGTSDGILQQQQHPTFLDVWNSTSHSHSFRSSSSIFQGR " gene <15443940..>15444392 /locus_tag="BRARA_H01486" /db_xref="Phytozome:Brara.H01486" mRNA <15443940..>15444392 /locus_tag="BRARA_H01486" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01486" CDS 15443940..15444392 /locus_tag="BRARA_H01486" /codon_start=1 /product="hypothetical protein" /protein_id="RID50779.1" /db_xref="Phytozome:Brara.H01486" /translation="MTTYKLFLRAISKRRTWVCLFLVVYATLLSSSWNWLNSIVDWYG ENHNTSSSGWPAVYASVLLGAVFGVLSMAAALFIAVPAIVVIWISVVVTMAFAGKSRR SVVVEGRIVTKEIAGFVFKVLLKEGNFVALLCALLAYFVFFNSYSSST" gene 15444723..15445388 /locus_tag="BRARA_H01487" /db_xref="Phytozome:Brara.H01487" mRNA 15444723..15445388 /locus_tag="BRARA_H01487" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01487" CDS 15444762..15445178 /locus_tag="BRARA_H01487" /codon_start=1 /product="hypothetical protein" /protein_id="RID50780.1" /db_xref="Phytozome:Brara.H01487" /translation="MHHIIYAAVLLRRTFWPSSVTIDELQPSSSRSRSGLSFPSSPRN HQNIFLLDVVLCNSSPLYSDNSLTPRTSPTRSPTEPHISEARRELRQTSTSLQPSLVS KLYSASSSVSFLLLPSFRLIHISEAEEFCPNFVFAT" gene complement(15447408..15448345) /locus_tag="BRARA_H01488" /db_xref="Phytozome:Brara.H01488" mRNA complement(15447408..15448345) /locus_tag="BRARA_H01488" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01488" CDS complement(15447483..15448115) /locus_tag="BRARA_H01488" /codon_start=1 /product="hypothetical protein" /protein_id="RID50781.1" /db_xref="Phytozome:Brara.H01488" /translation="MMTPVKKSSAIRPVEFYGNSLPRPRFFDNPKFNSHRVDPPLSVL DPLLSWAREAHWSMGGLNFTRLRLQGRIEGNVDKLRAQLEKSTPTKLESGNSDVKKKK RSDYDYDSPPAAPVAVKRRRYIDLNADDGDEEEIGSGDEGVARIRRKLSDDFDRVAGE SKIKAVKASKKSIELGLVGKRLKEKKKKTQKVEEASSPRTSPRLAKRSSC" gene complement(15448686..15450833) /locus_tag="BRARA_H01489" /db_xref="Phytozome:Brara.H01489" mRNA complement(join(15448686..15449945,15450035..15450322, 15450564..15450833)) /locus_tag="BRARA_H01489" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01489" mRNA complement(join(15448686..15449945,15450035..15450322, 15450412..15450459,15450564..15450833)) /locus_tag="BRARA_H01489" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01489" CDS complement(join(15448914..15449945,15450035..15450322, 15450564..15450692)) /locus_tag="BRARA_H01489" /codon_start=1 /product="hypothetical protein" /protein_id="RID50783.1" /db_xref="Phytozome:Brara.H01489" /translation="MASGASGRVNPGSKGFDFGSDDILCSYDDFTNHDSSNGSNSNKE FHKTRMAKSSVFPTGSYGPPEDSVREELTATVERSMKKYSDNMMRFLEGLSSRLSQLE LYCYNLDKTIGEMRSDLSRDNEEAHVKLRSLDKHLQEVHRSVQVLRDKQELADTQKEL ARLQLVSKDSSSSSHSQHGEERVATPVPEHKKNENTSDAPNLQLALALPHQIAPQPPA QPQQQQQQYYMPPATQLQNTPAPAPVPPPPSQPQAPPAQARFMPPPAAPSHPSSAQTQ SFTQYQQNWPPQPQARPQSSGAYQPYTPAPPSNQSPVEPLPGSMQMQSPYGGPPQQSM QAYGYGAPPPQAPQQTKMSYNPQTGDGYLPSGPPPPGYASAMYEDGRMQYPPPQPQQQ QQGHYMQGPPGGGYAPQQHQAGGGGNTGTPSPVLRSKYGELIEKLVSMGFRGDHVMAV IQRMEESGQAIDFNALLDRLSVQSSGGPPRGW" CDS complement(join(15448914..15449945,15450035..15450322, 15450412..15450459,15450564..15450692)) /locus_tag="BRARA_H01489" /codon_start=1 /product="hypothetical protein" /protein_id="RID50782.1" /db_xref="Phytozome:Brara.H01489" /translation="MASGASGRVNPGSKGFDFGSDDILCSYDDFTNHDSSNGSNSNKV NRKPIDMPCCFISIKEFHKTRMAKSSVFPTGSYGPPEDSVREELTATVERSMKKYSDN MMRFLEGLSSRLSQLELYCYNLDKTIGEMRSDLSRDNEEAHVKLRSLDKHLQEVHRSV QVLRDKQELADTQKELARLQLVSKDSSSSSHSQHGEERVATPVPEHKKNENTSDAPNL QLALALPHQIAPQPPAQPQQQQQQYYMPPATQLQNTPAPAPVPPPPSQPQAPPAQARF MPPPAAPSHPSSAQTQSFTQYQQNWPPQPQARPQSSGAYQPYTPAPPSNQSPVEPLPG SMQMQSPYGGPPQQSMQAYGYGAPPPQAPQQTKMSYNPQTGDGYLPSGPPPPGYASAM YEDGRMQYPPPQPQQQQQGHYMQGPPGGGYAPQQHQAGGGGNTGTPSPVLRSKYGELI EKLVSMGFRGDHVMAVIQRMEESGQAIDFNALLDRLSVQSSGGPPRGW" gene 15452829..15453517 /locus_tag="BRARA_H01490" /db_xref="Phytozome:Brara.H01490" mRNA join(15452829..15452991,15453189..15453517) /locus_tag="BRARA_H01490" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01490" CDS join(15452878..15452991,15453189..15453305) /locus_tag="BRARA_H01490" /codon_start=1 /product="hypothetical protein" /protein_id="RID50784.1" /db_xref="Phytozome:Brara.H01490" /translation="MKKTGILAASISAAASATTATMNTTVSLSLPESNLSRQDSKEKQ RKKKGSEEGGDKKFAPRFDGLRFIETLVTAHR" gene complement(<15453802..15454386) /locus_tag="BRARA_H01491" /db_xref="Phytozome:Brara.H01491" mRNA complement(join(<15453802..15454085,15454162..15454218, 15454299..15454386)) /locus_tag="BRARA_H01491" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01491" CDS complement(join(15453802..15454085,15454162..15454218, 15454299..15454380)) /locus_tag="BRARA_H01491" /codon_start=1 /product="hypothetical protein" /protein_id="RID50785.1" /db_xref="Phytozome:Brara.H01491" /translation="MKISPHCLVSLFSIILLSGFASSLHISLDAFVSHPATSRALLRA KKPCKEDFASKDYTIITSRCKGPKYQAKACCSAFKDFACPYAEIISDETTLCAADMFC YIQIYGRYPLGIFANMCKEGKEGLDCTNVKATSTSSRG" gene 15459438..15460881 /locus_tag="BRARA_H01492" /db_xref="Phytozome:Brara.H01492" mRNA join(15459438..15459628,15460129..15460881) /locus_tag="BRARA_H01492" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01492" CDS 15460165..15460758 /locus_tag="BRARA_H01492" /codon_start=1 /product="hypothetical protein" /protein_id="RID50786.1" /db_xref="Phytozome:Brara.H01492" /translation="MEIENQEDNPAALVDSGGDFDCNICLDQVRDPVVTFCGHLFCWP CIYKWTYSSNTSRQRVDQYDKDESPKCPVCKSDVSEDTIVPIYGRGQKTPQSGLTLPK RPSGPVYDVRGVGQRLDEGVSSQRNMYRMLDPVMGVVCDTVYRRLFGESSSNVSPYRT DRDTNLRLRPRTMQAEESLSRVYLFLLCFMFMCLLLF" gene complement(15461383..15463686) /locus_tag="BRARA_H01493" /db_xref="Phytozome:Brara.H01493" mRNA complement(join(15461383..15461600,15461681..15461806, 15461897..15463686)) /locus_tag="BRARA_H01493" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01493" CDS complement(join(15461547..15461600,15461681..15461806, 15461897..15463222)) /locus_tag="BRARA_H01493" /codon_start=1 /product="hypothetical protein" /protein_id="RID50787.1" /db_xref="Phytozome:Brara.H01493" /translation="MSEKKELGLQKTVVSLKEQLARTTLRNLRAQGHTYIELREDGKR FVFFCTLCLAPCYSDAILLGHLNGNLHKERLSCAKITLLGENPWPFNDGVLFFDSSTG EEEEKSLVCGGGEAGPLQRCCSDDGDRFAIVAYDESRMNGANRDEIAETADDLLISGL LIKERTLDVEAKFIGFGRIAARLFETKGRATWIDKLWCEWLGKEGSSDEEKASVPEHD FAVVSFSYFYNLGRLGLLDHPSRLLTSESGNGEENGRKRKKSFSDPEDTSDSLCSQDD SSEEVSSARGSRALLADYDDHLVNRRVIKNRTVRRELRKQQRIFSERICEVCKQKMLP GKDAAAILNTKTGKLVCGSRNLLGAFHLFHVSCVVHWFLFCESEIIGNKMVSGKGKKR CTKHQSGVKWNGLVSDVSWQIFSVFCPECQGTGINIEGDVIERDTFPLSQTWRFGVKV SEGRKAWVKNPEKLENCSTGFHFPQQDGESVKGQEERVQNMKLVRFYRVEL" gene <15467144..>15467404 /locus_tag="BRARA_H01494" /db_xref="Phytozome:Brara.H01494" mRNA <15467144..>15467404 /locus_tag="BRARA_H01494" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01494" CDS 15467144..15467404 /locus_tag="BRARA_H01494" /codon_start=1 /product="hypothetical protein" /protein_id="RID50788.1" /db_xref="Phytozome:Brara.H01494" /translation="MTYLNKLWMAASFVAVQGNPDHGVKLKTGLTSAHRLQRRLSSDL RPICAADVTVDGVPAEDRLRASSPTPDDSIRQVMYLNCWSQG" gene 15469106..15472341 /locus_tag="BRARA_H01495" /db_xref="Phytozome:Brara.H01495" mRNA join(15469106..15469549,15470066..15470719, 15470959..15471393,15471480..15471655,15471736..15471919, 15472017..15472341) /locus_tag="BRARA_H01495" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01495" CDS join(15469148..15469549,15470066..15470719, 15470959..15471393,15471480..15471655,15471736..15471919, 15472017..15472103) /locus_tag="BRARA_H01495" /codon_start=1 /product="hypothetical protein" /protein_id="RID50789.1" /db_xref="Phytozome:Brara.H01495" /translation="MADVVQYRLERMVEELDDLERRGIFTRAEISEIVKQRRKFEYRL KRPSPLKQDFLAYIDYESQLDELRRLRRKAVSFQSGEEKKKKKKSISDYAGVAKIVEI YRLATRRFKGDISLWFKYLEFCRQKRNGRMKKVLAQVIRFHPKVAEVWIYAAAWEFDR NLNVAAARALMQNGLRVCPNSEDLWVEYLRMELTYLNKLKVRRVALGEGEGSLVRDQK SVEDEQWKDENKELFMSLDDKEEKDKDGSDVEDDDEDASERVDIFKEKGSNVLQAIYG GALEALPSSFDLRRRFLEILEATDLAHSDDIRNTILSDLKRDFSSDPDYWNWLARNEM NGCLSVEFPNPQMQKAIQVFEEGLQTVTSSSMFEMYIKFLMEAIERSNGDDSSDSTGE FISHLISVYENADGTGCLTEELANDYVSLYLKLGRTDDALKLVEKLCSGKFAGSAKLW LSRVSIETRSLSSNSTPSKADLHSTFDLLSNALKNVPIAESESLWLTAFKIFAEQKKY FDKLVEMSILSVAKGNNGSDPLFSLASVVVKLVLQAKGIHRAREIYKRFVALQRPGLV FYKDCIEIETNLASQGDKDSLRNARKLYESAVASYSQDVELWKDYYSFETKMGTSETA NGVYWRARKTLKESADFVVGS" gene 15473430..15474540 /locus_tag="BRARA_H01496" /db_xref="Phytozome:Brara.H01496" mRNA 15473430..15474540 /locus_tag="BRARA_H01496" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01496" CDS 15473495..15474325 /locus_tag="BRARA_H01496" /codon_start=1 /product="hypothetical protein" /protein_id="RID50790.1" /db_xref="Phytozome:Brara.H01496" /translation="MDFDEELNLCTTKGKNIDPSCGEGSSTTSPRSMKKMRNLDHRPK PYFSFSSSNSLGAFPFHLDPTFQNPQLGYVPVNQQHDQKQMISFSPQQPQHQYMFKYW SDTLNLSPRGRRMMMMNQESSVQPYSATKLYRGVRQRQWGKWVAEIRKPKSRARLWLG TFDTAEEAAMAYDRQAFKLRGHNATLNFPEHYVNKEAEVHDPSSLDQKQTETQQLKEV NLENKEVAVSDGGLEEGMAEAWFNAVTAGWGPESPLWDDLDSSQFSQSSSSCPMKPFF " gene 15476268..15485083 /locus_tag="BRARA_H01497" /db_xref="Phytozome:Brara.H01497" mRNA join(15476268..15476589,15476689..15476750, 15476858..15476950,15477372..15477444,15477542..15477856, 15477935..15477999,15478089..15478220,15478313..15478354, 15478437..15478490,15478698..15479003,15479087..15479244, 15479361..15479632,15482594..15482624,15482809..15482887, 15482985..15485083) /locus_tag="BRARA_H01497" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01497" CDS join(15476520..15476589,15476689..15476750, 15476858..15476950,15477372..15477444,15477542..15477856, 15477935..15477999,15478089..15478220,15478313..15478354, 15478437..15478490,15478698..15479003,15479087..15479244, 15479361..15479632,15482594..15482624,15482809..15482887, 15482985..15484796) /locus_tag="BRARA_H01497" /codon_start=1 /product="hypothetical protein" /protein_id="RID50791.1" /db_xref="Phytozome:Brara.H01497" /translation="MAPKAGKTKPHKSKGDKKKKEEKVLPIVIEISIETPDETQVTLK GISTDRILDVRKLLAVHVQTCHFTNFSLSHQVRGARLKDSVDIVSLKPCHLTIVEEDY TEEQATAHIRRLLDIVACTTAFGSSKPPVSRASPKESGSNEDAGDSGSNLSPKSEKKL VIGGCEASPAAEGGDKGEINMSPPIRLGQFYEFISFSHLTPPIQYIRRSVRPSIEDKG VDDLFQIDVKVSSGKPITVVASRTGFFPAGKQQLLCHSLVELLQQISRPFDAAYDALM KAFIEHNKFANLPYGFRANTWVVPPVVRDRKAFLLHSLFVDVSVSKAVEIIKNVVEGN QRLSKDPAVLGFHEERVGDLVIRVARDDPDASAKLDRKSDGTRVLEISQEELAQRNLL KGITADESATVHDTSTLGVVVVRHCGFTAIVKVAAEYNLDGGRIPQDIDIEDQSEGGA NALNVNSLRTLLHKSSTPSSTVQRSPNADSEQIRVAKSLVRTVFEDSLQKLEAEPPRN TKPIRWELGACWVQHLANEASSKTESKNTEDAKPEPPVKDASISSKGHLSVSDLLDYI TPETDLKARDAQRKARMKVKGRPGQSPTSVLEENQKDDEILTPTPITVESSSDKDNKS EAKPEETKVDKRVMEPRDQVTLVKAESTAQEDDSSDEGWQEAVPKNRYPSGRRTRPSL AKLNTNFTNANQQTSKSRGKPTNFASPRTSPNEISISAAGSTSQHAKKALKSPSLNKK KSSSNIVGEKPVSNKSATEQINKPISMVSPVTVQAGKLFSYKEVALAPPGTIVKIVAE QLTEETTVPETLDAAKVDGPEKVKAEEDESESKHEATETENADGNEQGGAAVGGSKLM SLQEDIKAEKAGEEGSPTKTAVSDASRGISESVQTAKDSNGSGPVTELKQHKDVSDAT AKAVDGETEALPNGDLSPKSSVVADGEKQEACEAQKETSKKLSASAPPYTPTTIPIFG SITVPGFNDHVGILPSPVNIPPMLPVNHVRRSTPHQSVTARVPYGPRLSGGGFNRSGN RVPRNKPSFPISPESNGEAKQFIGPRIMNPHAAEFIPSQPWVSNGYPVSPNGYLVSPN GTEITQNGYPLSPVAGAYPCNIPAQPQNGHIIPAPVALEEVHDTESAEEKSGGEEESN KENKAAEDEEVTAQETTETPENGQSAAGEEKPTAQEISDEN" mRNA join(15482580..15482624,15482809..15482887, 15482985..15485083) /locus_tag="BRARA_H01497" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01497" CDS join(15482882..15482887,15482985..15484796) /locus_tag="BRARA_H01497" /codon_start=1 /product="hypothetical protein" /protein_id="RID50792.1" /db_xref="Phytozome:Brara.H01497" /translation="MKVKGRPGQSPTSVLEENQKDDEILTPTPITVESSSDKDNKSEA KPEETKVDKRVMEPRDQVTLVKAESTAQEDDSSDEGWQEAVPKNRYPSGRRTRPSLAK LNTNFTNANQQTSKSRGKPTNFASPRTSPNEISISAAGSTSQHAKKALKSPSLNKKKS SSNIVGEKPVSNKSATEQINKPISMVSPVTVQAGKLFSYKEVALAPPGTIVKIVAEQL TEETTVPETLDAAKVDGPEKVKAEEDESESKHEATETENADGNEQGGAAVGGSKLMSL QEDIKAEKAGEEGSPTKTAVSDASRGISESVQTAKDSNGSGPVTELKQHKDVSDATAK AVDGETEALPNGDLSPKSSVVADGEKQEACEAQKETSKKLSASAPPYTPTTIPIFGSI TVPGFNDHVGILPSPVNIPPMLPVNHVRRSTPHQSVTARVPYGPRLSGGGFNRSGNRV PRNKPSFPISPESNGEAKQFIGPRIMNPHAAEFIPSQPWVSNGYPVSPNGYLVSPNGT EITQNGYPLSPVAGAYPCNIPAQPQNGHIIPAPVALEEVHDTESAEEKSGGEEESNKE NKAAEDEEVTAQETTETPENGQSAAGEEKPTAQEISDEN" gene complement(<15485473..>15488575) /locus_tag="BRARA_H01498" /db_xref="Phytozome:Brara.H01498" mRNA complement(join(<15485473..15485653,15485730..15486040, 15486276..15486423,15488394..>15488575)) /locus_tag="BRARA_H01498" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01498" CDS complement(join(15485473..15485653,15485730..15486040, 15486276..15486423,15488394..15488575)) /locus_tag="BRARA_H01498" /codon_start=1 /product="hypothetical protein" /protein_id="RID50793.1" /db_xref="Phytozome:Brara.H01498" /translation="MQKMEKYKPVMTLVLLQFTAAGVALFTKAAFMEGLSPAVFVVYR QAIATLFICPISFFFTWRKPSKPSLGVRGFWWVAFTAILGVAVNQNAYFAGIDLSSSS MASATTNLVKIAVHCPDHMYTTSWTCFMATIASFLMALALGNTDLHSWKLDSSLKLSC CVYSGLQLAVFFFLQAWCVSRKGPVFSALFNPLSTVIVTFFGVLCLKEKMYLGSLLGA LAIILGLYIVLWGKSEDYQEEATELKLQDEHTNLSQLLIGDKAFRSSELLEPLLM" gene 15488919..15491250 /locus_tag="BRARA_H01499" /db_xref="Phytozome:Brara.H01499" mRNA join(15488919..15489057,15489135..15489256, 15489350..15489450,15489942..15490137,15490210..15490302, 15490391..15490450,15490543..15490617,15490735..15490815, 15490902..15491250) /locus_tag="BRARA_H01499" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01499" CDS join(15488991..15489057,15489135..15489256, 15489350..15489450,15489942..15490137,15490210..15490302, 15490391..15490450,15490543..15490617,15490735..15490815, 15490902..15491144) /locus_tag="BRARA_H01499" /codon_start=1 /product="hypothetical protein" /protein_id="RID50794.1" /db_xref="Phytozome:Brara.H01499" /translation="MSYTTAALAFTLTLVSVSATVSLYRWRRRGEDLEHRINELEKSL GSVSEKSASERRGRVKAQQALREALAQSESHDSHSTIYPMRPIGTIQSCFSTRNGTPR QPLLVSLARASLVFDPALVPPASLEGLGEYSHCWIIYVFHLNTDIEKLWRKPSQSKLK AKVRVPRLNGERKGVFATRSPHRPCPIGLTVAKVEEIQKDKVLLSGVDLVDGTPVLDI KPYLPYSDSIQGASVPNWVQEDCLLAVASVSFSDVFSSSITSCWKLIEKKSLYGSADE FKSLISQVLSWDIRSMSQRNKPHDTSGADSTVYHLILEGLDVSYMIDNESNILVQDVS LPNNLEDVAET" gene <15493059..>15495306 /locus_tag="BRARA_H01500" /db_xref="Phytozome:Brara.H01500" mRNA join(<15493059..15493391,15493713..15494483, 15494565..15494596,15494934..>15495306) /locus_tag="BRARA_H01500" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01500" CDS join(15493059..15493391,15493713..15494483, 15494565..15494596,15494934..15495306) /locus_tag="BRARA_H01500" /codon_start=1 /product="hypothetical protein" /protein_id="RID50795.1" /db_xref="Phytozome:Brara.H01500" /translation="MNNPRSVSSSASPANLDLVENQRQSSSGEFSRLEKRIGARKITF HSKSMPRGSNDKRYDLFRTMSGKLERQISNLRGKPINTSLQDKEINESLTAERFLEAL QGPELETLKEMEKIVLPEDKTWPFLLRFPITSFGMCLGVSSQAIMWKTLATTKAEKFL HLTQVVNHVLWWISLVLLLSFSITYLFKTIFFFEAVRREFKHPIRVNFFFAPLISVLF LALGIPHSITSSLPSILWYFFMAPILLLEMKIYGQWMSGGQRRLSKVANPTNHLSIVG NFAGALLGASLGLKEGPMFFFSVGLAYYLVLFVTLYQRLPTNETLPKELHPVFFLFVA APAVASMAWTAISASFDIGSRIAYFISLFLYFSLVVRINFFRGFKFSLAWWAYTFPMT AVATATIKYSGEVTGLATQILAVLLSGAATLTVIGVLVLTVVHAFVKRDLFPNDFAIA ISAEQPKQKKWLKQLVNGSLENSTRGLKVLDPEDTRIDIEAPPLSNAVCHTV" gene 15496322..15497684 /locus_tag="BRARA_H01501" /db_xref="Phytozome:Brara.H01501" mRNA join(15496322..15496452,15496530..15496608, 15496969..15497096,15497188..15497292,15497394..15497684) /locus_tag="BRARA_H01501" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01501" CDS join(15496539..15496608,15496969..15497096, 15497188..15497292,15497394..15497537) /locus_tag="BRARA_H01501" /codon_start=1 /product="hypothetical protein" /protein_id="RID50796.1" /db_xref="Phytozome:Brara.H01501" /translation="MASKRILKELKDLQKDPPTSCSAGPAAEDMFHWQATIMGPSDSP YSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKV LLSICSLLTDPNPDDPLVPEIAHMYKTDKNKYESTARTWTQKYAMG" gene 15501967..15503502 /locus_tag="BRARA_H01502" /db_xref="Phytozome:Brara.H01502" mRNA 15501967..15503502 /locus_tag="BRARA_H01502" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01502" CDS 15502053..15503039 /locus_tag="BRARA_H01502" /codon_start=1 /product="hypothetical protein" /protein_id="RID50797.1" /db_xref="Phytozome:Brara.H01502" /translation="MIMDEYMELRPVKYTEHKTVIKKYTKKLPVENKKKISTGRDSAR LVRVCVMDRDATDSSSDDEEFLFPRRRVKRLINEIRVQPSSSSGEVSAGKTLTVVDPT LQKVPVSGVEQNPRKFRGVRRRPWGKYAAEIRDPEQRRRIWLGTFSTAEEAAMVYDNA AIRLRGPDALTNFTVPTELEPEPEPVRNISVSLTSESMDDSHHLLSPTSVLNYQISEL TDEPIKPVKQEFPEREPISWSVGEDVSSNTDDSFPLDIPFLDKYFNESLPDISIFDQS MSTIESSDKDFFNGLMFFDDTIGGENYYPDIKEIGSVLNDLDESLISDLLAV" gene 15518251..15520690 /locus_tag="BRARA_H01503" /db_xref="Phytozome:Brara.H01503" mRNA join(15518251..15518334,15518900..15518987, 15520172..15520690) /locus_tag="BRARA_H01503" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01503" CDS join(15518295..15518334,15518900..15518987, 15520172..15520280) /locus_tag="BRARA_H01503" /codon_start=1 /product="hypothetical protein" /protein_id="RID50798.1" /db_xref="Phytozome:Brara.H01503" /translation="MDSVYRLQRHNSDEVCSVEWKFINMSEQEEDLILRMYRLVGDRW EIIAGRVPGRKAVEIERYWIMRKNKHIFLPSSKS" gene complement(15530479..15533214) /locus_tag="BRARA_H01504" /db_xref="Phytozome:Brara.H01504" mRNA complement(join(15530479..15530865,15530973..15531031, 15531112..15531261,15531362..15531453,15531571..15531676, 15531760..15531930,15532006..15532065,15532176..15532304, 15532389..15532478,15532569..15533214)) /locus_tag="BRARA_H01504" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01504" CDS complement(join(15530733..15530865,15530973..15531031, 15531112..15531261,15531362..15531453,15531571..15531676, 15531760..15531930,15532006..15532065,15532176..15532304, 15532389..15532478,15532569..15532829)) /locus_tag="BRARA_H01504" /codon_start=1 /product="hypothetical protein" /protein_id="RID50799.1" /db_xref="Phytozome:Brara.H01504" /translation="MGEAENLWVASEIPSSSSSSPADTKVLDFPTKDSTTGFEILCPT TVSLPHLEKGSSEDKLGLTERVFSAAGAAFLSAVILNPLDVVKTRLQAQAAGVSYSHP LSYDIGRMAFFGPNMMFADLRCSPSCARAGVQGTVSICPPDCFQYKGTFDVFTKIIRQ EGMGRLWRGTNAGLALAVPMVGIYLPFYDMFRNRLEELSRENAPATTMFVPLVAGALA RSLACTVCYPIELARTRMQAFKEAKAGMQKPPGVLKTLVGVVSEVRTVNNLQNSLHNY RVLWRGLGAQLARDVPFSAICWATLEPMRRRLLGIVGNDTNALGILGANFSAGFVAGT IAAATTCPFDVAKTRRQIEKDPCRAMRMTTRQTLIEVWRDGGMRGLFTGVGPRVARAG PSVGIVISFYEVVKYALHRQYASS" gene complement(15533868..15535970) /locus_tag="BRARA_H01505" /db_xref="Phytozome:Brara.H01505" mRNA complement(join(15533868..15534431,15534530..15534670, 15534848..15535288,15535843..15535970)) /locus_tag="BRARA_H01505" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01505" CDS complement(join(15534351..15534431,15534530..15534670, 15534848..15535288,15535843..15535947)) /locus_tag="BRARA_H01505" /codon_start=1 /product="hypothetical protein" /protein_id="RID50800.1" /db_xref="Phytozome:Brara.H01505" /translation="MYADTGLGFRFMQGCSPEIHQFEDLFKPYKLSDQTISLVEACEY GFGEESDLFKAPEPIIEEPMLAVDPLSQEIVELSDLGSLQSDQQLIEKAFYECEQELL VKSAMESPLLSEVLDRKNVSQAAEMDSSCEVVFDVPVPKSVSSGNLTSMDMAQHEEAV IQRFFPPVDNGMRRAFSESDIQTLGTRNAGQGQSQLDRIIVSCTSEDRREKLSRYRDK KSRRNFGRKIKYACRKTLADSQPRIRGRFAKTEEMQK" gene complement(<15538465..15540582) /locus_tag="BRARA_H01506" /db_xref="Phytozome:Brara.H01506" mRNA complement(join(<15538465..15538639,15538848..15538891, 15538964..15539350,15540076..15540582)) /locus_tag="BRARA_H01506" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01506" CDS complement(join(15538465..15538639,15538848..15538891, 15538964..15539350,15540076..15540288)) /locus_tag="BRARA_H01506" /codon_start=1 /product="hypothetical protein" /protein_id="RID50801.1" /db_xref="Phytozome:Brara.H01506" /translation="METESMDCESSTRSITNDNGTYHFSSTKNHAGAAAVVTNIVGPT TTAAATSVYELLECPLCTFSMYPPIHQCHNGHTLCSTCKVRVHNRCPTCRQELGDIRC LALEKVAESLELPCKYYNLGCPEIFPYYSKLKHESLCNFRPYSCPYAGSECGVVGDIP FLVSHLRDDHKVDMHAGSTFNHRYVKSNPREVENATWMLTVFQCFGQYFCLHFEADSH RKVRDSNDGLIIQRNMALFFSGGDRKELKLRVTGKIWKEQHSPDSGLCIPNLSS" gene complement(<15541092..>15544673) /locus_tag="BRARA_H01507" /db_xref="Phytozome:Brara.H01507" mRNA complement(join(15541092..15541669,15541795..15541857, 15541947..15542249,15542396..15542926,15543378..15543713, 15544085..15544227,15544663..>15544673)) /locus_tag="BRARA_H01507" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01507" mRNA complement(join(15541092..15541669,15541795..15541857, 15541947..15542252,15542396..15542926,15543378..15543713, 15544085..15544227,15544663..>15544673)) /locus_tag="BRARA_H01507" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01507" mRNA complement(join(<15541232..15541686,15541795..15541857, 15541947..15542252,15542396..15542926,15543378..15543713, 15544085..15544227,15544663..>15544673)) /locus_tag="BRARA_H01507" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01507" CDS complement(join(15541232..15541686,15541795..15541857, 15541947..15542252,15542396..15542926,15543378..15543713, 15544085..15544227,15544663..15544673)) /locus_tag="BRARA_H01507" /codon_start=1 /product="hypothetical protein" /protein_id="RID50804.1" /db_xref="Phytozome:Brara.H01507" /translation="MRKNHQRLQMDQNVKEIGNSSTPADSGDLPSDEVLPAPTDVPPL QVTDCGTGTKSNSNGSDTKNEVEANREEKLVEKEAKGSSRTNVEDKRSPEDVLKEMQM QKTHDLYCPNCTHNITRTAELFEKGKEKFQYNNENSILSFVIVVYFKYPFVFLPWLYS NTPASDERAPSVVSETPKKHVPDWLRFVLVVVLLLPSALVLLWVPPSPSPLSPSPIPP PTPHTNGSLAIDIPDPQKVPEDATEIDGNLIPHGPDVVEDDKPIWPSPSTIVNKGGLV HFYWVKYLPSSRVLYVISLLLLAALALCWPSMTQTKGTEPEGKADLPSVTQKKIGEEQ DSTTKPEDEKEVLGDKEGVPSLIGGLSTRGNKTNGSERAKEIPPPPMAPQPTTFAKTL IILRQHIVVPVHNVLQTELDILKSIVYGGLIESITSFGVVSSAAASGTSTLNVMALGL ANFIQWPISHHLQQDLFWQLYGLVMSERFFPHAHIDNATDMKDKIDPYKQLLGNRNNV VLHCIVVVVSFIFFGVIPPLFYGFSFKTTDNRYYEATVFVAASLLCVITLSFGKAHAF KKDKLKTVAVYTGIAIGASAFSCIASQHVRGLLEKYEFHKIASEFAKK" CDS complement(join(15541647..15541669,15541795..15541857, 15541947..15542249,15542396..15542926,15543378..15543713, 15544085..15544227,15544663..15544673)) /locus_tag="BRARA_H01507" /codon_start=1 /product="hypothetical protein" /protein_id="RID50803.1" /db_xref="Phytozome:Brara.H01507" /translation="MRKNHQRLQMDQNVKEIGNSSTPADSGDLPSDEVLPAPTDVPPL QVTDCGTGTKSNSNGSDTKNEVEANREEKLVEKEAKGSSRTNVEDKRSPEDVLKEMQM QKTHDLYCPNCTHNITRTAELFEKGKEKFQYNNENSILSFVIVVYFKYPFVFLPWLYS NTPASDERAPSVVSETPKKHVPDWLRFVLVVVLLLPSALVLLWVPPSPSPLSPSPIPP PTPHTNGSLAIDIPDPQKVPEDATEIDGNLIPHGPDVVEDDKPIWPSPSTIVNKGGLV HFYWVKYLPSSRVLYVISLLLLAALALCWPSMTQTKGTEPEGKADLPSVTQKKIGEEQ DSTTKPDEKEVLGDKEGVPSLIGGLSTRGNKTNGSERAKEIPPPPMAPQPTTFAKTLI ILRQHIVVPVHNVLQTELDILKSIVYGGLIESITSFGVVSSAAASGTSTLNVMALGLA NFIQWPISHHLQPIWTCNV" CDS complement(join(15541647..15541669,15541795..15541857, 15541947..15542252,15542396..15542926,15543378..15543713, 15544085..15544227,15544663..15544673)) /locus_tag="BRARA_H01507" /codon_start=1 /product="hypothetical protein" /protein_id="RID50802.1" /db_xref="Phytozome:Brara.H01507" /translation="MRKNHQRLQMDQNVKEIGNSSTPADSGDLPSDEVLPAPTDVPPL QVTDCGTGTKSNSNGSDTKNEVEANREEKLVEKEAKGSSRTNVEDKRSPEDVLKEMQM QKTHDLYCPNCTHNITRTAELFEKGKEKFQYNNENSILSFVIVVYFKYPFVFLPWLYS NTPASDERAPSVVSETPKKHVPDWLRFVLVVVLLLPSALVLLWVPPSPSPLSPSPIPP PTPHTNGSLAIDIPDPQKVPEDATEIDGNLIPHGPDVVEDDKPIWPSPSTIVNKGGLV HFYWVKYLPSSRVLYVISLLLLAALALCWPSMTQTKGTEPEGKADLPSVTQKKIGEEQ DSTTKPEDEKEVLGDKEGVPSLIGGLSTRGNKTNGSERAKEIPPPPMAPQPTTFAKTL IILRQHIVVPVHNVLQTELDILKSIVYGGLIESITSFGVVSSAAASGTSTLNVMALGL ANFIQWPISHHLQPIWTCNV" gene complement(<15548425..>15549098) /locus_tag="BRARA_H01508" /db_xref="Phytozome:Brara.H01508" mRNA complement(join(<15548425..15548616,15548999..15549021, 15549065..>15549098)) /locus_tag="BRARA_H01508" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01508" CDS complement(join(15548425..15548616,15548999..15549021, 15549065..15549098)) /locus_tag="BRARA_H01508" /codon_start=1 /product="hypothetical protein" /protein_id="RID50805.1" /db_xref="Phytozome:Brara.H01508" /translation="MGESKTLPKYFYLISKKFVAMNVVVGPKIGRKLLTGSYVVEDVM CSKCGEKLGWKYVETFNLKQRYKEGMFVIEKLKLTKKY" gene <15552653..>15553606 /locus_tag="BRARA_H01509" /db_xref="Phytozome:Brara.H01509" mRNA <15552653..>15553606 /locus_tag="BRARA_H01509" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01509" CDS 15552653..15553606 /locus_tag="BRARA_H01509" /codon_start=1 /product="hypothetical protein" /protein_id="RID50806.1" /db_xref="Phytozome:Brara.H01509" /translation="MASSYFEKPQEEEDTFEDHIEDEPYVYVEPPPFDASILTPAQLV KLNELQKKYPESAKTSLARRIRVELIRDRSELEGREVTQYEFNVAYDLKEVMYWVPPP QLEGTSISTLKLQLEEICLPCGENIAHDLDSLVLINECLDLICETRKLDELRIEKLAR DHIEVCFDKNYLCASIDLEYEFSILNKPRPLLELADLGDELDVDKFLLEIENPHVKDN HENENIVFYLIDGDRVNYFAKTSFESVVDCVFPPYAFDSHDHLNLKEHFIIHVTSLVK FFEEKSVYFLWTVVCSFAYLVPCSCRRRTKGALAQPFDTYD" gene complement(15558416..15558958) /locus_tag="BRARA_H01510" /db_xref="Phytozome:Brara.H01510" mRNA complement(15558416..15558958) /locus_tag="BRARA_H01510" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01510" CDS complement(15558626..15558817) /locus_tag="BRARA_H01510" /codon_start=1 /product="hypothetical protein" /protein_id="RID50807.1" /db_xref="Phytozome:Brara.H01510" /translation="MISVVVITELLVEYTAALAKLTAGILPRRQGDRDVVRIGGFSLR YPPRSTTTIPDFSSHLVDF" gene complement(15559638..15560220) /locus_tag="BRARA_H01511" /db_xref="Phytozome:Brara.H01511" mRNA complement(15559638..15560220) /locus_tag="BRARA_H01511" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01511" CDS complement(15559860..15560048) /locus_tag="BRARA_H01511" /codon_start=1 /product="hypothetical protein" /protein_id="RID50808.1" /db_xref="Phytozome:Brara.H01511" /translation="MISVVIIAELLVEYTTALAKLTADILPRRQGDGNFIRIGNFSMY CPPRSSPVPDFSSHLVDF" gene complement(15564557..15565125) /locus_tag="BRARA_H01512" /db_xref="Phytozome:Brara.H01512" mRNA complement(15564557..15565125) /locus_tag="BRARA_H01512" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01512" CDS complement(15564709..15564912) /locus_tag="BRARA_H01512" /codon_start=1 /product="hypothetical protein" /protein_id="RID50809.1" /db_xref="Phytozome:Brara.H01512" /translation="MISVVIITGLLVEYTAALAKLTAGIIPRRQGDSNVVRVGGFVLS CPSPWSTNRSSPIPDFSSHLVDF" assembly_gap 15597212..15607211 /estimated_length=unknown /gap_type="between scaffolds" gene complement(15607236..>15609676) /locus_tag="BRARA_H01513" /db_xref="Phytozome:Brara.H01513" mRNA complement(join(15607236..15607666,15607739..15608386, 15608468..15608662,15609064..15609255, 15609332..>15609676)) /locus_tag="BRARA_H01513" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01513" CDS complement(join(15607349..15607666,15607739..15608386, 15608468..15608662,15609064..15609255,15609332..15609676)) /locus_tag="BRARA_H01513" /codon_start=1 /product="hypothetical protein" /protein_id="RID50810.1" /db_xref="Phytozome:Brara.H01513" /translation="MGVEVVNFGGFEVAPAPFEAKPETKPETNGKVEQGKGEPPKKAE ESSSSSKISSDVPKDAAEEWPAAKQIRSFYFVKYRHFDDPKIKAKLEVADKELEKLNK ARSVVIEGLRAKKAERSKLFDMLDPLKSERQGFNNKFDEKRKEMEPLQQALGKLRGND GGSSRGPVICSSQEELNSMLMGAGLDGVKKERQAISARINELSEKVKATKDEIQVLEN ELKTVTEKRDKAYSNIRELKKQSAETNSGFYQGRNVLNKATELAAQRNVDELEALSNA EVEKFVSLWCSKKNFREDYEKRLLGSLDARQMSRDGRMRNPEEKPLVAREAPQAKAAP SVTEVVPKANKAKQQQPKEEEVSAPKPDAAAPVAQKAEKAKDAEKGKKNVVVVDDDDD EEEEEVYGLGKPQKEEEEVDEATVKEMRKQEEIAKAKLAMERKKKLAEKAAAKAAIRA KKEAEKKEQKEREKAAKKKTGGRNAYEAISEEVPEASEAEKEEIEVPVEEKPKKEKKV LKEKPIRNRIRNRGGPETLPRPMLKRKKQTNYMVWAAPAAAAVVVLMLLVLGYYYVL" gene 15615044..15617329 /locus_tag="BRARA_H01514" /db_xref="Phytozome:Brara.H01514" mRNA join(15615044..15615293,15615639..15616233, 15616389..15616464,15616549..15616664,15616747..15617329) /locus_tag="BRARA_H01514" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01514" CDS join(15615660..15616233,15616389..15616464, 15616549..15616664,15616747..15617246) /locus_tag="BRARA_H01514" /codon_start=1 /product="hypothetical protein" /protein_id="RID50811.1" /db_xref="Phytozome:Brara.H01514" /translation="MASSNSERRWIFPLAMASLMFIFLTAVSFNMGLLSSVRSINSLI FSSSILPTTNETTVTFAESKIKQHPPPPVQSSPPRFGYLVSGSRGDLESLWRVLRALY HPRNQYVVHLDLESPAEERLELAKRVREDPVFSDVGNVYMITKANLVTYRGPTMVANT LHACAILLKLSKDWDWFINLSASDYPLVTQDDLIHTFAGLDRNLNFIDHSSKLGWKED KRAKPLIIDPGLYSTKKSDVYWVTPRRTMPTAFKLFTGSAWMVLSRSFIEYCIWGWDN LPRTLLMYYTNFLSTPEGYFHTVICNAPEYSNTVVNHDLHYISWDKPPKQHPRTLNIN DTKRMIASGAAFARKFRHNDLALDKIDIELLGRGNGNFTPGGWCAGEPKCSRVGDPSR IKPGPGANRLGALVSRLIWSSKLTQRKCR" gene complement(<15617417..>15618525) /locus_tag="BRARA_H01515" /db_xref="Phytozome:Brara.H01515" mRNA complement(join(15617417..15618253,15618333..15618525)) /locus_tag="BRARA_H01515" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01515" mRNA complement(join(<15617556..15617877,15617916..>15618238)) /locus_tag="BRARA_H01515" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01515" CDS complement(join(15617556..15617877,15617916..15618238)) /locus_tag="BRARA_H01515" /codon_start=1 /product="hypothetical protein" /protein_id="RID50813.1" /db_xref="Phytozome:Brara.H01515" /translation="MEGNFSRSDPQQAANEDGFSLKQKPNLTITAQPNESGCFDCNIC LDTAHDPVVTLCGHLFCWPCIYKWLHVKLSPVSIDHHHNTCPVCKSSVAITSLVPLYG RGMSSIPGPSTFTTQPSSLPHRTLSPTFQNDRYSPRAFTATESTDLASAVMMSFLYPV IGMFGDLVYTRIFGTFTNTVAQPYQNQRMMQHEKSLNRVSIFLFFCFLICLLLF" CDS complement(15617744..15618238) /locus_tag="BRARA_H01515" /codon_start=1 /product="hypothetical protein" /protein_id="RID50812.1" /db_xref="Phytozome:Brara.H01515" /translation="MEGNFSRSDPQQAANEDGFSLKQKPNLTITAQPNESGCFDCNIC LDTAHDPVVTLCGHLFCWPCIYKWLHVKLSPVSIDHHHNTCPVCKSSVAITSLVPLYG RGMSSMFSPRNKPQTYRADPVHQLSLHNHQACHIELCLQRFKTTDTPLVPSPQLNQLT LPVR" gene 15623981..15625328 /locus_tag="BRARA_H01516" /db_xref="Phytozome:Brara.H01516" mRNA join(15623981..15624291,15624360..15624600, 15624676..15624796,15624992..15625328) /locus_tag="BRARA_H01516" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01516" CDS join(15624080..15624291,15624360..15624600, 15624676..15624796,15624992..15625170) /locus_tag="BRARA_H01516" /codon_start=1 /product="hypothetical protein" /protein_id="RID50814.1" /db_xref="Phytozome:Brara.H01516" /translation="MLAIFHEAFAHPPEELHSPASEKCSKQPKLPEETLNSFLSRYPL NTFSMSFGKAAVLAYVRPSASFSVHQRVFCGFDDIYCLFFGSLNNLCQLNKQYGLTKT TNEAMFVIEAYRTLRDRGPYPADQVVKDLDGSFAFVVYDSKAGSVFTALGSDGGVKLF WGIAADGSVVISDDLDVIKDGCAKSFAPFPTGCMFHSEGGLMSFEHPMNKIKAMPRVD SEGVLCGANFKVDVYSRVNSIPRRGSEANWSL" gene <15631221..>15632487 /locus_tag="BRARA_H01517" /db_xref="Phytozome:Brara.H01517" mRNA join(<15631221..15631265,15631371..15631487, 15631574..15631778,15631869..15631981,15632067..15632144, 15632245..>15632487) /locus_tag="BRARA_H01517" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01517" CDS join(15631221..15631265,15631371..15631487, 15631574..15631778,15631869..15631981,15632067..15632144, 15632245..15632487) /locus_tag="BRARA_H01517" /codon_start=1 /product="hypothetical protein" /protein_id="RID50815.1" /db_xref="Phytozome:Brara.H01517" /translation="MESATSEEPFSEKAYGFDLYYNDGPPTLVPSTWSKNYGIGLYGR IGLQCYNLQKGTNLKCKRLEKHSTKDTSFFSLYITLEATDPATGSVCSFQTQFGDAGR RLSLGARITWFALASRIKQIYNQPIDDVWDEDTPGINEFYKVPMPKWFSDEALEKYYV VPESELHDNDWLQLLMEVAFFSKADRCLDAYLPLELNSVVVETLEDYTTAPSEKLKAD NAIFYISYKCCSDPSTPLAGDHRAVVRKTMDGKPGHMCLEVALTKEQE" gene <15634990..>15641867 /locus_tag="BRARA_H01518" /db_xref="Phytozome:Brara.H01518" mRNA join(<15634990..15635866,15641361..15641485, 15641581..15641658,15641748..>15641867) /locus_tag="BRARA_H01518" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01518" CDS join(15634990..15635866,15641361..15641485, 15641581..15641658,15641748..>15641867) /locus_tag="BRARA_H01518" /codon_start=1 /product="hypothetical protein" /protein_id="RID50816.1" /db_xref="Phytozome:Brara.H01518" /translation="MNSLKIVAEYSVINGSFSIITLDLLPKNLPPRRNLAYCFSTTAE NMADFLHKAIGALSLDDEEPLVLPDSPQYRVFDENEKSLLGRLLNPECQSMERMIDYM PTAWRVQGRVRGIALSRDRFQFVFQREEDLATVLKDRPWSYNHWAMVLERWSSFPPEN FLQNMSLWIRIRHIPADFFTVKTMFRLASEIGEVEEIAYDPEVSHTKDYIRAQVIFDT TKPLKATRKLSTPGKVVTIEFEYEKIHKRCFHCLRLTHEKIRCPMLRKGAQTERRVLL DPVEEVEKAQSKRIATGDKHLDVDHDWDESTVHQFYKGPLPKWYSDQALERDSKKYYV VPESELHDNDWLQLLMEVAFFYKADRCLDAYLPLELNKVVVETLEDYTTEAREKLKAD NEIFYITY" gene complement(<15643281..>15644549) /locus_tag="BRARA_H01519" /db_xref="Phytozome:Brara.H01519" mRNA complement(join(<15643281..15643737,15643902..15644238, 15644324..>15644549)) /locus_tag="BRARA_H01519" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01519" CDS complement(join(15643281..15643737,15643902..15644238, 15644324..15644549)) /locus_tag="BRARA_H01519" /codon_start=1 /product="hypothetical protein" /protein_id="RID50817.1" /db_xref="Phytozome:Brara.H01519" /translation="MKISKTSSLVMTLLSIMLCFLRAKSQGVYCSNPYQLCFQKYILC PAECPSTGAAATNNKVCYVDCRNILCTSECRRASLNCNRPGPACNDPRLIAGDENVVY FYGKSKEHFSLVSDPDLQINARFTGHRPAGRSRDFTWVQALGFLFNSQKFSLEATKVA TWDDSIDHLRFSFDGQDLIIPEEILSTWYSPKKDIKIRRATKMNSVTVTIKDKAEIMV NVVPVTKKDDRIQSYKVPSDDCLAHLEVQFRFLNLSPRVDGILGCTSRPGFQNQAKSG AAMPVVGGEDKFRTSSLLSHDCRTCIFTGLSSSIKWETGHAVLDLDCTRGASSGYGII CRSRK" gene 15646608..15648176 /locus_tag="BRARA_H01520" /db_xref="Phytozome:Brara.H01520" mRNA join(15646608..15647310,15647773..15647823, 15647904..15648176) /locus_tag="BRARA_H01520" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01520" CDS join(15646632..15647310,15647773..15647780) /locus_tag="BRARA_H01520" /codon_start=1 /product="hypothetical protein" /protein_id="RID50818.1" /db_xref="Phytozome:Brara.H01520" /translation="MHPDSDHPHLPTIKIHHHSSSTPSAATPTPTAGARRKIGVAVDL SEESSFAVRWAVDHYIRPGDAVVILHVSPTSVLFGADWGPLPLQTQHSQEDFDAFTAS KVSDLTRPLTEAGFPHKVHIVKDHDMRERLCLEIERLGVSAVIMGSRGFGAEKRGSDG KLGSVSDYCVHHCVCPVVVVRFPDDRDGCPAAEAPGGTKDAIVTVKSGRDDDDDDEAK IAIHEHIKDE" gene complement(15649030..15650204) /locus_tag="BRARA_H01521" /db_xref="Phytozome:Brara.H01521" mRNA complement(join(15649030..15649413,15649497..15650204)) /locus_tag="BRARA_H01521" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01521" CDS complement(join(15649213..15649413,15649497..15650021)) /locus_tag="BRARA_H01521" /codon_start=1 /product="hypothetical protein" /protein_id="RID50819.1" /db_xref="Phytozome:Brara.H01521" /translation="MGKKKCDLCDGVARMYCESDQASLCWNCDGKVHGANFLVAKHTR CLLCTSCQSHTQWKATGLRLGPTFSVCYSCVALKSAAAGGGGVGIVSDDRSQEINGSE HEVDSAESYDDDEDEDEDEEYSSEDEDVDEEEAENQVVPWSAEAAAQLPPMMSSSSSS DGGDLVGKRARDCSDDEIGSSSSAQELNYSPSLKRPSREGPAFKSTAAINSLTRLEGE GTLNGAVGSSLVAISRTRRDLSR" gene complement(<15653486..>15653995) /locus_tag="BRARA_H01522" /db_xref="Phytozome:Brara.H01522" mRNA complement(join(<15653486..15653620,15653642..>15653995)) /locus_tag="BRARA_H01522" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01522" CDS complement(join(15653486..15653620,15653642..15653995)) /locus_tag="BRARA_H01522" /codon_start=1 /product="hypothetical protein" /protein_id="RID50820.1" /db_xref="Phytozome:Brara.H01522" /translation="MANKLFLVSATLVFFFLLTNASIYRTVVEFDEDNQIGPFGPQQC QKEFEQTQHLRACQQWIHMQATQPPSGPRALEFEVDIENPQGSLKATLFQQCCNELRQ EDKICVCPALKHVSEEEQHQGLPQIVSRIYQTATQLPKFCKVPQVSVCPFQHDMPFPP YY" gene 15655666..15657200 /locus_tag="BRARA_H01523" /db_xref="Phytozome:Brara.H01523" mRNA join(15655666..15655807,15656313..15656395, 15656506..15656711,15656813..15656866,15656948..15657200) /locus_tag="BRARA_H01523" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01523" CDS join(15656359..15656395,15656506..15656711, 15656813..15656866,15656948..15656992) /locus_tag="BRARA_H01523" /codon_start=1 /product="hypothetical protein" /protein_id="RID50821.1" /db_xref="Phytozome:Brara.H01523" /translation="MSELDSQVPTAFDPFADANAEDSGAGTKEYVHIRVQQRNGRKSL TTVQGLKKDYSYTKILKDLKKEFCCNGTVVQDSELGQVIQLQGDQRKNVSTFLVQAGL VKKDNIKIHGF" gene <15657622..>15660315 /locus_tag="BRARA_H01524" /db_xref="Phytozome:Brara.H01524" mRNA join(<15657622..15658195,15658290..15658435, 15658859..15659191,15659368..>15660315) /locus_tag="BRARA_H01524" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01524" CDS join(15657622..15658195,15658290..15658435, 15658859..15659191,15659368..15660315) /locus_tag="BRARA_H01524" /codon_start=1 /product="hypothetical protein" /protein_id="RID50822.1" /db_xref="Phytozome:Brara.H01524" /translation="MKKIRYVHLNLLLLLLPLINLLLFPTPSLAQDYGEDAEKKDTPP PGLARCNGVYMSYDSGGREKLYPRTKNVTAQAWSFKATAMIVNTGTEEVKGWEMFVGY RHREIIVSATGAVSSDGDFPYDASNGTTFIGSPNTDLKTSIETAGDYTQISTNIEITG TLFGGRGTATPLPRTIKLVNDGWECPAANSKGGTMQVCCKRNPKFKAKEKKKTKFMRR RHGDLNIIYDVLQAYTSNYMAQVTIDNDSPLGRLDHWNLTWEWMRGEFIHSLRGAYSV EKNPSECLHSKAGQFYGDLDFSQVATCQKKPIIKDLPAERKDDKLLGKLPFCCKNGTL LPAHMDSSKSRAIFQLQVYKVPPDQNRTAFFPPQHWKIDGIVNPQYKCGTPIRVDATG FPDPSGLQATTYAFASWQVVCNITKPKPKAARCCVSYSAFYNDSAIPCNTCACGCGDI DTDTCNANARPLLLPPDSLLVPFENRTLKAKVWARRKHMAVPKKLPCPDNCGISLNWH VNSDYADGWSARVTLFNWGANAVEDWFAAVDLGKAGLGYENVYSFNGTRVPPKNQTIF FQGVRGMNFLIGLTNGSNPARDPQVPGKMQSVISFKKHLGSLNIPRGDGFPKRVFFNG EECELPKYFPKKSYGERLSCIRFLPSILLVITTFLVIINDRF" gene 15661339..15662509 /locus_tag="BRARA_H01525" /db_xref="Phytozome:Brara.H01525" mRNA join(15661339..15661399,15661538..15661639, 15661848..15661977,15662056..15662168,15662266..15662509) /locus_tag="BRARA_H01525" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01525" CDS join(15661397..15661399,15661538..15661639, 15661848..15661977,15662056..15662168,15662266..15662322) /locus_tag="BRARA_H01525" /codon_start=1 /product="hypothetical protein" /protein_id="RID50823.1" /db_xref="Phytozome:Brara.H01525" /translation="MVFKRYVEIGRVALVNYGKDHGKLVVIVDVVDQNRALVDAPDME RIQMNFKRLSLTDIVIEINRVPKKKALIEAMEKADVKNKWEKSSWGRKLIVQKRRASL NDFDRFKIMLAKIKKAGVVRQELAKLKKEITA" gene complement(15662772..15666563) /locus_tag="BRARA_H01526" /db_xref="Phytozome:Brara.H01526" mRNA complement(join(15662772..15662976,15663061..15663138, 15663216..15663368,15663462..15663683,15663779..15663922, 15664044..15664110,15664234..15664290,15664551..15664625, 15664698..15664738,15665127..15665184,15665307..15665491, 15665576..15665633,15665891..15665985,15666140..15666230, 15666431..15666563)) /locus_tag="BRARA_H01526" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01526" CDS complement(join(15662899..15662976,15663061..15663138, 15663216..15663368,15663462..15663683,15663779..15663922, 15664044..15664110,15664234..15664290,15664551..15664625, 15664698..15664738,15665127..15665184,15665307..15665491, 15665576..15665633,15665891..15665985,15666140..15666230, 15666431..15666471)) /locus_tag="BRARA_H01526" /codon_start=1 /product="hypothetical protein" /protein_id="RID50824.1" /db_xref="Phytozome:Brara.H01526" /translation="MISPRKIKSVDFYRKIPRDLTEASLSGAGLSIVAALSMLLLFGM ELSSYLTVSTTTSIIIDRSSDGDFLRMDFNISFPSLSCEFASVDVSDVLGTNRLNVTK TIRKFSIDSNLRPTGSEFHSGEFLSRVNHGDESAEELVEGSVSLGARNFDTFLHQYPI SVVNFYAPWCYWCNLLKPSWEKAANQIKERYDPEMDGRVILAKVDCTQEADLCRRNHI QGYPSIRIFRKGSDLRDDNAHHDHESYYGDRDTESLVKMVIGLVEPIHVEPHKLALED KSDNASKTLKKAPSTGGCQIEGYMRVKKVPGNLMVSARSESHSFDTSQMNMSHVVNHL SFGKRILPEAFSNLKRLAPYLGGSHNRLDDRSFINQHDLGPNVTIEHYLQIVKTEVLK SNGHAMIEEYEYTAHSSVAHTYYLPVAKFHFELSAMQVLITENSKSFSHFITNVCAII GGVFTVAGILDSILHQTMTLMKKIELGKNF" gene <15675224..>15676245 /locus_tag="BRARA_H01527" /db_xref="Phytozome:Brara.H01527" mRNA join(<15675224..15675672,15675807..>15676245) /locus_tag="BRARA_H01527" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01527" CDS join(15675224..15675672,15675807..15676245) /locus_tag="BRARA_H01527" /codon_start=1 /product="hypothetical protein" /protein_id="RID50825.1" /db_xref="Phytozome:Brara.H01527" /translation="MGTFTTLSGKLKDKASHMKLNVVHMCSSVNTKTIDEAILKATSH TSNKSPSEKYVKFLQSTMATGYSPQTISGIMQRLCVTTNVCVASKCLILIHNMIKSEK GYEGEGGHRGTNSHRNLIYNQGESNLKLDDLNVDSSRFTIELVPWVRWYKNYLNIYLC IAEVLGVTPNIKEKFEEKRLETQRVSSYTTDCIFKQVDFLVNLFEQINARPETPLEKP NIIIIRMIGLMEQDYVSVMRLIKIRFEELDKRTADPAELIPVLVRLEKCRESLSEFCW RCEPLDKEFWGLVLKLKDN" gene <15678317..>15679560 /locus_tag="BRARA_H01528" /db_xref="Phytozome:Brara.H01528" mRNA join(<15678317..15678385,15678473..15678583, 15678659..15678863,15678942..15679069,15679154..15679231, 15679318..>15679560) /locus_tag="BRARA_H01528" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01528" CDS join(15678317..15678385,15678473..15678583, 15678659..15678863,15678942..15679069,15679154..15679231, 15679318..15679560) /locus_tag="BRARA_H01528" /codon_start=1 /product="hypothetical protein" /protein_id="RID50826.1" /db_xref="Phytozome:Brara.H01528" /translation="MEEEIGNGASLFNDHGRDDSDFQADGLDPPILYRSEWGDDPNYD IRLCGRIGLQCYNLQKGTNFKFKSWEICRDQMTSSDDSFITLEATDPATGSVLSFQTL LSDFGPRRSLGVRLLWINLASRIEPIQTSGNERLDDNWDKNKLHDFYKGPMPKWFSDE ALESNSRKYYVVPESEMHDNDWLQLLMEVAFFSKTDRGFDGDLPLELNKAVVETFEDE PLDKLKADNAIFYLSYKCCADPSSTDLAGDHLGIVRKTMDGKPGHMSLEVALTKEQEK R" gene complement(15679705..15680825) /locus_tag="BRARA_H01529" /db_xref="Phytozome:Brara.H01529" mRNA complement(join(15679705..15679960,15680496..15680825)) /locus_tag="BRARA_H01529" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01529" CDS complement(join(15679849..15679960,15680496..15680659)) /locus_tag="BRARA_H01529" /codon_start=1 /product="hypothetical protein" /protein_id="RID50827.1" /db_xref="Phytozome:Brara.H01529" /translation="MNETGGSRKCSPPSLHSDRHAPILPQLSYPCLVYVPGEDFEPLV LISPRSSSLLSQEYTRFTYLWLKKLQVAKHIVRGTFQLGLLPHLFCR" gene complement(<15686704..>15693031) /locus_tag="BRARA_H01530" /db_xref="Phytozome:Brara.H01530" mRNA complement(join(<15686704..15686937,15692399..>15693031)) /locus_tag="BRARA_H01530" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01530" CDS complement(join(15686704..15686937,15692399..15693031)) /locus_tag="BRARA_H01530" /codon_start=1 /product="hypothetical protein" /protein_id="RID50828.1" /db_xref="Phytozome:Brara.H01530" /translation="MAVSLQTKYPLRPITNNIPSTHRYSLLHVRVTCSATTTTNKPQA KLVVENRFMSPPLSNDPSLQSTWTHRLWVAAGCTTLFASLSKSIIGGVGSHLWLEPAL AGYAGYILADLGSGVYHWAIDNYGDESTPIVGTQIEAFQGHHKWPWTITRRQFANNLH ALARVITFTVLPLDLAFNDPVVHGFVSTFAFCIMFSQQFHAWAHGTKSKLPDMGVLVS RREHAEHHRAPYNNNYCIVSGAWNKVLDESKVFEALEMVLYFKLEVRPRSWSEPNSEW TEEKDISNNHKV" gene complement(<15701730..>15702588) /locus_tag="BRARA_H01531" /db_xref="Phytozome:Brara.H01531" mRNA complement(join(<15701730..15701810,15701896..>15702588)) /locus_tag="BRARA_H01531" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01531" CDS complement(join(15701730..15701810,15701896..15702588)) /locus_tag="BRARA_H01531" /codon_start=1 /product="hypothetical protein" /protein_id="RID50829.1" /db_xref="Phytozome:Brara.H01531" /translation="MDVPLQTKYTLSPITNNIPRSHRPSLLRARVTCSLTPAKKSHPN REKLVLEKRLVNPPPSNDPTLQSTLTHRLWVGAGCTTVFASFAKSIIGGFGSHILLEP ALAGYAGYILADLGSGLYHWAIDNYGDESTPLVGTQIEAARGHHKWPWIITIRQFANN SHALARGITFTVLPLVLACNDPVVHGFVSMFAFFILFCQQCHAWAHERKSKLPPLVVA FQDMGLLLSRRQHIFEALEKVLYVQFGVKPRSWSDPNSE" gene 15709678..15712674 /locus_tag="BRARA_H01532" /db_xref="Phytozome:Brara.H01532" mRNA join(15709678..15710014,15710127..15710583, 15711528..15711583,15711672..15711802,15711887..15711983, 15712060..15712242,15712365..15712674) /locus_tag="BRARA_H01532" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01532" CDS join(15709727..15710014,15710127..15710583, 15711528..15711583,15711672..15711802,15711887..15711983, 15712060..15712242,15712365..15712367) /locus_tag="BRARA_H01532" /codon_start=1 /product="hypothetical protein" /protein_id="RID50830.1" /db_xref="Phytozome:Brara.H01532" /translation="MMQQPPPAANGAAAAGPGDQQAYHHHQQSWMMQPHQAQPPAGWN PQSAPSLGQPQQYSGGSQTPGSGDEIRSLWIGDLQPWMDESYLVNSFSITGEVQQAKV IRNKQSGYSEGYGFIEFVSHAAAERILQTYNGALMPSSEQTFKLNWAGERRQSEGPEH TVFVGDLAPDVTDYMLTETFKNVYSSVKGAKVVVDRTTGRSKGYGFVRFGDESEQIRA MTEMNGQYCSSRPMRTGPAANKKPLTMQQPGGYQNPQGNAGESDLTNTTIFVGALDES VTEDVLKSVFGQFGELVHVKIPAGKRCGFVQYANRACAEQGLNALNGTQLGGQSIRLS WGRTTSNKQTQPDQAQYGGGGGYYGYPPQGYEGYGYAPPPQDPNAYYGGYPGAGYGNY QQPGGGYQQQQQ" gene complement(15714328..15720084) /locus_tag="BRARA_H01533" /db_xref="Phytozome:Brara.H01533" mRNA complement(join(15714328..15714647,15714808..15715050, 15715130..15715228,15715301..15715780,15716105..15716203, 15716288..15716409,15716498..15716616,15716704..15716771, 15716860..15717045,15717137..15717212,15717291..15717370, 15717452..15717508,15717585..15717727,15717809..15717953, 15718043..15718204,15718335..15718520,15718604..15718696, 15718770..15718930,15719025..15719129,15719647..15720084)) /locus_tag="BRARA_H01533" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01533" CDS complement(join(15714579..15714647,15714808..15715050, 15715130..15715228,15715301..15715780,15716105..15716203, 15716288..15716409,15716498..15716616,15716704..15716771, 15716860..15717045,15717137..15717212,15717291..15717370, 15717452..15717508,15717585..15717727,15717809..15717953, 15718043..15718204,15718335..15718520,15718604..15718696, 15718770..15718930,15719025..15719129,15719647..15719941)) /locus_tag="BRARA_H01533" /codon_start=1 /product="hypothetical protein" /protein_id="RID50831.1" /db_xref="Phytozome:Brara.H01533" /translation="MYRRATAGVRSASTTLTRLSSSSLASAPAASSSAPSASVINQTS GSRSFSSALRSYRVCSASTRWSHGGSWRSPASLRAQARVSAPVMERLERRYASMASEH TYQDILTSLPKPGGGEYGKYYSLPALNDPRIDKLPYSVRILLESAIRNCDNYQVTKDD VEKILDWENTSTKQVEIAFKPARVILQDFTGVPALVDLASMRDAVKNLGSDPNKINPL VPVDLVVDHSVQVDFARSEDAAQKNMELEFKRNKERFAFLKWGSTAFQNMLVVPPGSG IVHQVNLEYLGRVVFNSGGFLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQ PMSMVLPGVVGFKLDGKLKEGVTATDLVLTVTQILRKHGVVGKFVEFYGEGMSELSLA DRATIANMSPEYGATMGFFPVDHVTLEYLKLTGRSDETVSMIESYLRANNMFVDYNEP QQERAYTSYLQLDLGHVEPCISGPKRPHDRVPLKDMKADWHACLDNPVGFKGFAVPKE KQGEVVKFSYDGQPAEIKHGSVVIAAITSCTNTSNPSVMIGAALVAKKAFDLGLKVKP WVKTSLAPGSRVVEKYLDRSGLREDLNKQGFQIVGYGCTTCIGNSGDLDKSVAAAIEG TEIIPAAVLSGNRNFEGRVHPQTRANYLASPPLVVAYALAGTVDIDFETEPLGTGKDG KNVFLRDIWPSNEEVAKVVQYSVLPSMFKSSYETITEGNPLWNELSAPGSTLYSWDSN STYIHEPPYFKNMTANPPGPREVKDAYCLLNFGDSVTTDHISPAGNIQKTSPAAKFLM DRGVSQTDFNSYGSRRGNDEVMARGTFANIRLVNKLLKGEVGPKTVHVPTGEKLSVFD AASRYMNAGQDTVILAGAEYGSGSSRDWAAKGPLLLGVKAVIAKSFERIHRSNLAGMG IIPLCFKAGEDADTLGLTGHERYTVHLPTKVSDIKPGQDVTVTTDTGKSFVCTLRFDT EVELAYYDHGGILPYVIRSLSAK" gene complement(15720643..15723493) /locus_tag="BRARA_H01534" /db_xref="Phytozome:Brara.H01534" mRNA complement(join(15720643..15721026,15721441..15721491, 15721631..15721673,15722214..15722273,15722368..15722433, 15722656..15722707,15722802..15722854,15722972..15723028, 15723254..15723493)) /locus_tag="BRARA_H01534" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01534" CDS complement(join(15720963..15721026,15721441..15721491, 15721631..15721673,15722214..15722273,15722368..15722433, 15722656..15722707,15722802..15722854,15722972..15723028, 15723254..15723365)) /locus_tag="BRARA_H01534" /codon_start=1 /product="hypothetical protein" /protein_id="RID50832.1" /db_xref="Phytozome:Brara.H01534" /translation="MSRLWAKVAGLFRSKSFIGADKTGNKYFSRMEEIDGLVKEKRWV VFRREEDPTSVPVEWICWLNGQRKRAPTPEEMAELEARRERVKLNVARLKREEEERKA REGTGRKITSIGKVEGPDLSSFVRHFPPDSKGDKPEEASEEADQSRVKEHEPEIVTAE PPEPKTTEPSGSGSSFRPGTWQPPS" gene 15725809..15726483 /locus_tag="BRARA_H01535" /db_xref="Phytozome:Brara.H01535" mRNA 15725809..15726483 /locus_tag="BRARA_H01535" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01535" CDS 15725979..15726347 /locus_tag="BRARA_H01535" /codon_start=1 /product="hypothetical protein" /protein_id="RID50833.1" /db_xref="Phytozome:Brara.H01535" /translation="MKGLSTSPVRRNDGFHRYLKPGALAQIRNTRLNTRSNSSLALSL PSRVDPPDATPTTMDQMPDLLSKIYGGPFRIGRKKLGPARSVLRTMLDLNPPSPNSTL ESTSNGNNNVLSIVDVLVAH" gene 15728189..15731045 /locus_tag="BRARA_H01536" /db_xref="Phytozome:Brara.H01536" mRNA join(15728189..15728591,15728895..15728985, 15729075..15729139,15729227..15729438,15729514..15729577, 15729651..15729723,15729794..15729914,15729993..15730080, 15730170..15730295,15730367..15730473,15730547..15731045) /locus_tag="BRARA_H01536" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01536" CDS join(15728470..15728591,15728895..15728985, 15729075..15729139,15729227..15729438,15729514..15729577, 15729651..15729723,15729794..15729914,15729993..15730080, 15730170..15730295,15730367..15730473,15730547..15730695) /locus_tag="BRARA_H01536" /codon_start=1 /product="hypothetical protein" /protein_id="RID50834.1" /db_xref="Phytozome:Brara.H01536" /translation="MSMKHHHRGLELSASKSFVSKKLTFFLCIGFFCAGTLFSDRMWP EPEANVVSREASDERLHLVSDDCDSSKKSLKLESKDSLGEAYKSPDAIQTLDKTISTL EMELVAARAAQESIMNGSPVSDDFKLPETATRRKYLMVVGVNTAFSSRKRRDSVRATW MPPGEDRKKLEEEKGIIMRFVIGHSATPGGILDRAIQAEESKHGDFLRLDHVEGYLEL SAKTKSYFTTAYALWDADFYVKVDDDVHVNIATLGAELARYRMKPRVYIGCMKSGPVL AQKGVRYHEPEYWKFGEEGNKYFRHATGQLYAISRELASYISINQNVLHKYVNEDVSL GSWFLGLDVEHVDDRRLCCGTTDCEWKAQAGNMCVASFDWSCSGICRSADRMKDVHRR CGEGPNALLAASF" gene complement(<15731532..>15732899) /locus_tag="BRARA_H01537" /db_xref="Phytozome:Brara.H01537" mRNA complement(<15731532..>15732899) /locus_tag="BRARA_H01537" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01537" CDS complement(15731532..15732899) /locus_tag="BRARA_H01537" /codon_start=1 /product="hypothetical protein" /protein_id="RID50835.1" /db_xref="Phytozome:Brara.H01537" /translation="MSITWTRGPTIGRGSTATVSIATSNTGEIFAVKSADISSSSFLQ REQSILSTLSSPHIVKYIGSSLTCENDRLVYNILMEYVSGGSLHGLIKNSGGKLPEPA IRSHTRQILKGLKYLHERGIVHCDLKSQNVLVGENGVVSKIADLGCAKPVFNSGFSGT PAFMAPEVARGEEQRFPADVWALGCTVIEMMTGSSPWPELNDAVAGMYKIGFSGESPE IPEGISEKGRDFLMRCLKVDPKQRWTVKELLKHPFLDDDEEEEESQSIDYLRNTSSPS TVLDQRFWNSSEDSETEDPFADYSDSWRSPADRIKQLAGDEVTSVPSWDTGDDGEWIQ VRGDVIGEAEKRVSSGDEDIICVEATSSMQVIEDWIWDQESLLSEYSSDDVIASLYSS AVIQGNLIVFYFYNLGDKNVPINKMFRNYDEDKKTFICQIALNNVLTKTNQICNRYCF SFFLG" gene 15737699..15739943 /locus_tag="BRARA_H01538" /db_xref="Phytozome:Brara.H01538" mRNA join(15737699..15738141,15738220..15738344, 15738427..15738486,15738588..15738830,15738907..15739341, 15739418..15739943) /locus_tag="BRARA_H01538" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01538" CDS join(15738030..15738141,15738220..15738344, 15738427..15738486,15738588..15738830,15738907..15739341, 15739418..15739768) /locus_tag="BRARA_H01538" /codon_start=1 /product="hypothetical protein" /protein_id="RID50836.1" /db_xref="Phytozome:Brara.H01538" /translation="MLKIKRVPTVVSNYQKDEASDESVGCGRNCLGACCLNGARLPLY ACKKLENSGTGEKVVISHEAREPPVAFLESLVLREWEDRFQRGLFRYDVTACETKVIP GKYGFVAQLNEGRHLKKRPTEFRVDKVLQSFDGNKFNFTKVGQEELLFQFEAGEDCDV QFFPCMPLDAENSPSVVAINVSPIEYGHVLLIPRVLDCLPQRIDHKSLLLALHMAAEA ANPYFRLGYNSLGAFATINHLHFQAYYLAMPFPLEKAPSKKMITTVSGVKISELVNYP VRSLLFEGGNSMQDLSDTVSDACVCLQENNIPFNILISDCGRQIFLMPQCYAEKQALG EVSPELLETQVNPAVWEISGHMVLKRKEDYEGASEENAWRLLAEASLSEERFKEVNAL IFDAIGCSNQEEELEGILVQPSGSVNQTGNRTLGGPIANGAASECLVLQ" gene complement(15740082..15741417) /locus_tag="BRARA_H01539" /db_xref="Phytozome:Brara.H01539" mRNA complement(join(15740082..15740386,15740467..15740616, 15741194..15741417)) /locus_tag="BRARA_H01539" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01539" CDS complement(join(15740306..15740386,15740467..15740616, 15741194..15741268)) /locus_tag="BRARA_H01539" /codon_start=1 /product="hypothetical protein" /protein_id="RID50837.1" /db_xref="Phytozome:Brara.H01539" /translation="MSATQEEDKKPGDGGAHINLKVKGQDGNEVFFRIKRSTQLKKLM NAYCDRQSVDMNSIAFLFDGRRLRAEQTPDELDMEDGDEIDAMLHQTGGFGGGAAMA" gene 15741638..15742336 /locus_tag="BRARA_H01540" /db_xref="Phytozome:Brara.H01540" mRNA join(15741638..15741770,15741885..15742076, 15742159..15742336) /locus_tag="BRARA_H01540" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01540" CDS join(15741903..15742076,15742159..15742332) /locus_tag="BRARA_H01540" /codon_start=1 /product="hypothetical protein" /protein_id="RID50838.1" /db_xref="Phytozome:Brara.H01540" /translation="MQPQRLKKAIVDNPKKLGNLIDLVNLPSTLRDFLGQSQSSRLGC FMRVWSYIKTNNLQDPKNKNVVNCDEKLKSILLGKPQVELVDLPSLIKLHFTTKAPNS NSQEKRMPSVFKY" gene 15742733..15744300 /locus_tag="BRARA_H01541" /db_xref="Phytozome:Brara.H01541" mRNA join(15742733..15742937,15743080..15743487, 15743572..15743874,15743951..15744300) /locus_tag="BRARA_H01541" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01541" CDS join(15742791..15742937,15743080..15743487, 15743572..15743874,15743951..15744049) /locus_tag="BRARA_H01541" /codon_start=1 /product="hypothetical protein" /protein_id="RID50839.1" /db_xref="Phytozome:Brara.H01541" /translation="MLASRILSRVSRSAGLRSSLSAAAALPARNQAPIFTSRYHSLVN NFSQKLVAAQVSLDSFSLQRFSLSSTSTTPESHEKESSNTEASKTAEANESGPDSESK ASAGKGAKRAAVSESDSESGDDEEEMSKDDLVKLVAEKEELLSVKEEEIKQMKDKVLR TYAEMENVMDRTRRDAENTKKYALQNFAKSLLDVADNLGRASSVVKESFSKLDETSKD SAAGAAPLLKTLLEGVEMTEKQLAEVFKKFGMEKYDPINEPFDPNTHNAMFQVPDASK PEGTVAHVLKAGYTLYDRVIRPAEVGVTQGGESEENRKETDA" gene 15744667..15747698 /locus_tag="BRARA_H01542" /db_xref="Phytozome:Brara.H01542" mRNA join(15744667..15744806,15745144..15745224, 15745296..15745499,15745579..15745824,15745894..15746109, 15746185..15746340,15746409..15746720,15746801..15746888, 15746971..15747119,15747191..15747698) /locus_tag="BRARA_H01542" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01542" CDS join(15745153..15745224,15745296..15745499, 15745579..15745824,15745894..15746109,15746185..15746340, 15746409..15746720,15746801..15746888,15746971..15747119, 15747191..15747493) /locus_tag="BRARA_H01542" /codon_start=1 /product="hypothetical protein" /protein_id="RID50840.1" /db_xref="Phytozome:Brara.H01542" /translation="MAVTEAENPLLGEITCGTLLQKLQEIWDEVGESDEERDKLLLQI EEECLNVYKHKVELAAKSRAELLQTLSDANVELSNLTTALGDKSYIGIPDKTSGTIKE QLSAIAPALEQLWQQKEERVREFSNVQSQIQKICEEIAGGLSNGPHVVDESDLSLKRL DDYKSKLQELQKEKSDRLNKVLEFVSTVHDLCSVLGLDFVSTVTEVHPSLDEANGVHA KSISNETLSRLATTVLTLKEDKKQRLEKLQELATQLTELWNLMDTPDEERELFDHVTC HISASVHEVTVSGALALDLIEQAEVEVDRLDKLKASRMKEIAFKKQTELEEIYARAHV EIKPEVVRERIMSLIDAGNTEPAELLAEMDSQIAKAKEEAFSRKEILDRVEKWMSACE EESWLEDYNRDQNRYSASRGAHLNLKRAEKARILVSKITAMVDTLVTKTRAWEEDNSM SFEYDGVPLLAMLDEYTMLRQEREEEKRRLKEQKKQQEQPHTEQDSAFGSKASPARPV SAKKPVGTRANGGGGGANETPRRLSMNSNGCKSKRESLNKLTSPSKLVAISKEEAAAS SPPVSCPDPVPASSP" gene complement(<15754953..>15755532) /locus_tag="BRARA_H01543" /db_xref="Phytozome:Brara.H01543" mRNA complement(join(<15754953..15755143,15755259..>15755532)) /locus_tag="BRARA_H01543" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01543" CDS complement(join(15754953..15755143,15755259..15755532)) /locus_tag="BRARA_H01543" /codon_start=1 /product="hypothetical protein" /protein_id="RID50841.1" /db_xref="Phytozome:Brara.H01543" /translation="MDYSEIDPSYDQKPPYLTRDQEHVIMASALRQVISKAGSGTASS SNFEALQTLDAVPCSLCGITGCYGCAFPQHREINKEKKHRGVRKRPSGKWSAEIWDPS ARERRWLGTFPTAEMAAGAYDDAAAGLVRRKASRGGTRNGKEAYTKTTVEGD" gene <15756844..>15758688 /locus_tag="BRARA_H01544" /db_xref="Phytozome:Brara.H01544" mRNA join(<15756844..15756961,15757719..15757868, 15757976..15758061,15758147..15758241,15758316..15758441, 15758526..>15758688) /locus_tag="BRARA_H01544" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01544" CDS join(15756844..15756961,15757719..15757868, 15757976..15758061,15758147..15758241,15758316..15758441, 15758526..15758688) /locus_tag="BRARA_H01544" /codon_start=1 /product="hypothetical protein" /protein_id="RID50842.1" /db_xref="Phytozome:Brara.H01544" /translation="MGTATEIMERDAMATVAPYAPVTFHRRARVDLDDRLPKPYTPRA LQAPDREHPYGTPGHKNYGLSVLQQHVAFFDIDDNGIIYPWETYSGLRMLGFNIIGSL IIAAVINLALSYATLPGWLPSPFFPIYIHNIHKSKHGSDSRTYDNEGRFTPVNLELIF SKYAKTLPDKLSLGELWDMTEGQRDAWDIFGWFASKIEWGLLYLLARDEEGFLSKEAI RRCFDGSLFEYCAKIYAGINEDKTAYY" gene 15760095..15761477 /locus_tag="BRARA_H01545" /db_xref="Phytozome:Brara.H01545" mRNA join(15760095..15760207,15760324..15760453, 15760716..15760763,15761149..15761477) /locus_tag="BRARA_H01545" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01545" CDS join(15760356..15760453,15760716..15760763, 15761149..15761215) /locus_tag="BRARA_H01545" /codon_start=1 /product="hypothetical protein" /protein_id="RID50843.1" /db_xref="Phytozome:Brara.H01545" /translation="MAFLVTSVIFAVVGIIASIFTRICFNQGPSTNLLHFTLVITATV CCWMMWAIVYIAQMKPLIVPILSEVE" gene 15762089..15762950 /locus_tag="BRARA_H01546" /db_xref="Phytozome:Brara.H01546" mRNA join(15762089..15762425,15762518..15762950) /locus_tag="BRARA_H01546" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01546" CDS join(15762383..15762425,15762518..15762753) /locus_tag="BRARA_H01546" /codon_start=1 /product="hypothetical protein" /protein_id="RID50844.1" /db_xref="Phytozome:Brara.H01546" /translation="MFSGESFSSFPIVSANKALIVPSDLGLEALSMSFCYPSSPRKLA MTVAFFASGAALFAVGMHLSYLNVAPQQARTKARNDFVKERLRQKQGK" gene 15772085..15778657 /locus_tag="BRARA_H01547" /db_xref="Phytozome:Brara.H01547" mRNA join(15772085..15772503,15773507..15773656, 15773851..15774322,15774435..15774579,15774675..15774830, 15775013..15775169,15778293..15778657) /locus_tag="BRARA_H01547" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01547" CDS join(15772267..15772503,15773507..15773656, 15773851..15774322,15774435..15774579,15774675..15774830, 15775013..15775169) /locus_tag="BRARA_H01547" /codon_start=1 /product="hypothetical protein" /protein_id="RID50845.1" /db_xref="Phytozome:Brara.H01547" /translation="MDLPQANNDREELQVDCSATVDPTARHNSAGGGGGGGARYKLMS PAMLPISRSTTNITIPPGLSPTSFLESPVFISNIKPEPSPTTGSLFKPRPVHVSSSSY TGRAFHQNTFTEHNSSEFEFRPPASNMVYAELDKHKREPPVQFQAQGHGASHSPEATA SSSEPSRPTPPVQTPPTSSDIPAGSDQEESVQTSQNEPRGSAPPTVLADDGYNWRKYG QKHVKGSEFPRSYYKCTHPNCEVKKLFETSHDGRITDIIYKGTHDHPKPQPGRRNSGG VGMAAQEERVEKGVYSLSQAIEQTGNAEVASTTNDGGDVAASNRNKDDPEDDDPYTKR RRLDGNMEITPLVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKC TAPGCPVRKHVERASHDPKAVITTYEGKHNHDVPTSKSGSSNHLRQ" gene 15779562..15784330 /locus_tag="BRARA_H01548" /db_xref="Phytozome:Brara.H01548" mRNA join(15779562..15779683,15780240..15781228, 15781304..15781337,15781532..15781614,15781776..15781835, 15781907..15782014,15782158..15782238,15782320..15782403, 15782487..15782970,15783145..15783248,15783447..15783477, 15783579..15784330) /locus_tag="BRARA_H01548" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01548" CDS join(15780290..15781228,15781304..15781337, 15781532..15781614,15781776..15781835,15781907..15782014, 15782158..15782238,15782320..15782403,15782487..15782970, 15783145..15783248,15783447..15783477,15783579..15784018) /locus_tag="BRARA_H01548" /codon_start=1 /product="hypothetical protein" /protein_id="RID50846.1" /db_xref="Phytozome:Brara.H01548" /translation="MGEEDTKATVELTATDTSSLEKSSEETAGGKETQEEPKKGEEKA AEPEKMEIDAEIKKDEELVVKSNEGTDKMEEDVTVTKDEGQAEATKMDEDANGQKEQT DDGVSGGGTVEDTVMKENVESNNAKDDEKEESITEADQNKAGKESEEDVKNEGDKANG TKDEKAGDIEEEDKKVETVDENNKEQNKEEDLAEEGKEEEDKEVEKAKVEDEERSEDE NDNEQVESQPAKEDEKEETNDDKEDEKGESKSSKKRGKGKSSGERIRKKTKNEEEKKD SEPKTPFSDRPVRERKSVERLVAVIDTDSSKEFRIEKGPGAYLKDIPSVAYKVTRKKS DETLKLLHTILFGGRRGKAPQVKTNILSFSGFVWHGNEEKAKEKIKEKFEKCIKDKLV EFCEMLDIHVTKATTKEDIVTKLFEFLEKPHAKGDAPASEKEKSSKGAKRKRTPKKSS PAAVTSSSKRSAKSQRKSEEGTKAAKKGLALSEDESEEEKEEEKQEKEQKSAEEEENV NGIPDKSEDEAPQPSESEEKDESEEEETPKKKKKRGSKLSAEKKESAGRARNKKASVA AKASPPEKVTQKRSSAKRKKTDDDSDTSPKASSKRKKSEKATKASTSTPSKSASKEKP EVKGAGKGKEKTKGPSDKVLKNAIIKILKRVDFNTATFTDIIKELGKEFKDDLTPRKL SIKLMIQSELTKLAEEAEEEKIEEEKEEDAEKKKAGGSTGTEKEKEEDAEKEKAEGSA GSVKEKEEETVKEKAGGSAGSVKEKEEETVKEKAGGSAGSEKEKEEEAVKEKAGGSAG SEKEKAGGSADGEEVKS" gene 15784555..15787397 /locus_tag="BRARA_H01549" /db_xref="Phytozome:Brara.H01549" mRNA join(15784555..15784796,15785106..15785361, 15785456..15785542,15785633..15785767,15785874..15785984, 15786061..15786152,15786251..15786356,15786429..15786515, 15786597..15786980,15787081..15787397) /locus_tag="BRARA_H01549" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01549" CDS join(15785116..15785361,15785456..15785542, 15785633..15785767,15785874..15785984,15786061..15786152, 15786251..15786356,15786429..15786515,15786597..15786980, 15787081..15787101) /locus_tag="BRARA_H01549" /codon_start=1 /product="hypothetical protein" /protein_id="RID50847.1" /db_xref="Phytozome:Brara.H01549" /translation="MSGHHGEEAEAEHMEDDDTLGADFENLMCSADTTASQAPNRKDI QGISWDKLSLTREEYRKSRLQSYRNYENIPNSGEASGKDCLDSEKGSSFYMFKKNFRS VTPTILHFQLRELVWATSNHDVYLMCNNSITHWSTLTSSRDEVLDLAGLVTPSEEHPG SLLEGFSKTQVSSLAVKDGMVVAGGFSGELICKHLDRPGVSFCYRLTSEENAITNSVN IHRNSSGALHFMASSNDGGVRNFDMETYQLVQHFHYPWPVNHSSVSPDGKLVTILGDD PDGLLVDSNNGETVGRLYGHLDYSFASAWHPDGVTFATGNQDKTCRVWDVRNLSKSVA ILKGNLGAIRSIKFTSDGQYMAMAEAADFVHIYNTNTGYMNEQEIDFFGEISGISFSP DTESLFIGVYDRSYGSLIEFARERVSGSAL" gene <15795437..>15797153 /locus_tag="BRARA_H01550" /db_xref="Phytozome:Brara.H01550" mRNA join(<15795437..15795877,15796181..15796465, 15796780..15796965,15797007..>15797153) /locus_tag="BRARA_H01550" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01550" CDS join(15795437..15795877,15796181..15796465, 15796780..15796965,15797007..15797153) /locus_tag="BRARA_H01550" /codon_start=1 /product="hypothetical protein" /protein_id="RID50848.1" /db_xref="Phytozome:Brara.H01550" /translation="MDNSMSLESLLSMQGGDGDVSFDKNSFGAVAAIASSEQMLTSAI HSMELTQGVHIQIANLGLGDGGHTFSMVDTVVEVLRRKLAVINGGTEPEFEVYFSDLR LSNRFFSSFRPLEDRVNDWGKKYYTYGTSLPFNMRLFPKGELHVVIPRKVMEKGSKTW NKGRAWIQGAEREVVEAYAEQSHKDLVEFLKCRKEEIVVGGMLFMLMAGRPSGLESQV SDDSRLKLIFTTLMDQAWQDLVDEGSIEEDRRDAFNIPLYLRNTEEVTAAIESCGGFK IEKMELLTIADPMNGRQQEFIKDPDILTPKVEAYLGPDLTNTFYERYAIRAANNKEFL TKNSFYSMIAVSAIRVCY" gene <15803673..15808593 /locus_tag="BRARA_H01551" /db_xref="Phytozome:Brara.H01551" mRNA join(<15803673..15803739,15804347..15804426, 15805062..15805136,15805222..15805361,15805448..15805523, 15805628..15805735,15805824..15806039,15806128..15806208, 15806303..15806386,15806461..15806565,15806649..15806827, 15806901..15806985,15807071..15807257,15807343..15807412, 15807529..15807598,15807667..15807756,15807904..15807972, 15808059..15808157,15808264..15808593) /locus_tag="BRARA_H01551" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01551" CDS join(15803673..15803739,15804347..15804426, 15805062..15805136,15805222..15805361,15805448..15805523, 15805628..15805735,15805824..15806039,15806128..15806208, 15806303..15806386,15806461..15806565,15806649..15806827, 15806901..15806985,15807071..15807257,15807343..15807412, 15807529..15807598,15807667..15807756,15807904..15807972, 15808059..15808157,15808264..15808374) /locus_tag="BRARA_H01551" /codon_start=1 /product="hypothetical protein" /protein_id="RID50849.1" /db_xref="Phytozome:Brara.H01551" /translation="MRVVTVSRSFKRFVRLVLWLEIVTQPSSLFSFNRLSHSRHLAFL PLLRLTGVLRATSRRFASQIESKSAATMEVNQECPGNLKRQLEKLFDASLRSTVPDNT RVKPVVTTSPLDRSGDYQCNNAMGLWSMIKGKDSQFKGPPALGEALLNNLPASEMVDS ISCSVTGPGFVNVVLSTKWMAKSIANMLIEGIDTWAPTPPVKRALVDFSSPNIAKEMH VGHLRPTVLGDTLARMLEFSKVEVLRRNHVGDWGTQFGMLIEYLFEKFPDTESVTETA IGDLQSFYRKSKSKFDDDPDFKEKAQKAVVRLQGGDPIYRKAWAKICEVSRTEFSKVY QRLQIELEEKGESFYNPYIANVIGELDSKGLIEESEGARVIFLQGFKIPLIVVKSDGG FNYASTDLTALWYRLNEEKADWIIYVTDFSQKQHFDMFFKAARKAGWLPESDKAYPRV DHVDFGLVNGEDRKRFRTRASDVVRLVDLLDEAKSRSKTALIERGKDKEWTPEELDQT AEAVGYGAVKYADLKGNRTTSYTFDFDAMLSDKGNTAVYLLYAHARICSIIRKSGKDI DELKKTVELVLDHPEERALGLHLLRFAETVEEACTTLFPNVLCLYLYSLSERFTSFYS IHQVNGSPEEASRLLLCEATAIVMRKCFHLLGITPVYKM" gene complement(<15808996..>15809626) /locus_tag="BRARA_H01552" /db_xref="Phytozome:Brara.H01552" mRNA complement(join(<15808996..15809266,15809544..>15809626)) /locus_tag="BRARA_H01552" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01552" CDS complement(join(15808996..15809266,15809544..15809626)) /locus_tag="BRARA_H01552" /codon_start=1 /product="hypothetical protein" /protein_id="RID50850.1" /db_xref="Phytozome:Brara.H01552" /translation="MSDKTKGRKEEVVTREYTINLHRRLHSCTFKKKAPKAIKEITKF AEKAMGRKDVRVDVKLNKQIWSRGPPRRIRVRVARKRNDDEDAKEEFFSLVTVAEIPA EGLSGLGTKVIDEED" gene complement(15810172..15811449) /locus_tag="BRARA_H01553" /db_xref="Phytozome:Brara.H01553" mRNA complement(join(15810172..15810625,15810832..15811047, 15811335..15811449)) /locus_tag="BRARA_H01553" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01553" CDS complement(join(15810439..15810625,15810832..15811013)) /locus_tag="BRARA_H01553" /codon_start=1 /product="hypothetical protein" /protein_id="RID50851.1" /db_xref="Phytozome:Brara.H01553" /translation="MASKLIQLKSKACEASKFVSKHGTTYYKQLLEKNKQYIQEPATV EKCQELSKQLLYTRLASIPGRTESFWKEVDHVKGLWKNRADLKVEDAGIAALFGLECF AWYCAGEIVGRGFTFTGYYP" gene complement(<15812729..>15814017) /locus_tag="BRARA_H01554" /db_xref="Phytozome:Brara.H01554" mRNA complement(join(<15812729..15812950,15813036..15813170, 15813255..15813578,15813694..>15814017)) /locus_tag="BRARA_H01554" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01554" CDS complement(join(15812729..15812950,15813036..15813170, 15813255..15813578,15813694..15814017)) /locus_tag="BRARA_H01554" /codon_start=1 /product="hypothetical protein" /protein_id="RID50852.1" /db_xref="Phytozome:Brara.H01554" /translation="MNMALEKKIEADVNLNSNGGKRAYVTFLAGNKDYWMGVVGLAKG LRKVKSVYPLVVACLPDVPEEHRQILVAQGCIIREIEPVIPPENIIGYSMAYFVLNYS KLRIWEFVEYEKVMYLDGDIQVFGNIDHLFDTPSGYLYAVKDCFCEISWCNTTQYQIG YCQQSPEKVTWPVETLGSPPPTYFNAGMLLFEPNLVVYEDLLRVVQITAPTYFAEQDF LNMYFRDTYKPIPSTYNLVLAMLWRHPEHVDLNQIRVVHYCANGSKPWKYDETEEHME REDIKMLVKKWWEIYEDPSLDYKNFMETEPKLNPIATAVLASKESDGDVLTSLAPSAA " gene complement(15814746..15817504) /locus_tag="BRARA_H01555" /db_xref="Phytozome:Brara.H01555" mRNA complement(join(15814746..15815060,15815453..15815630, 15815710..15815753,15815835..15815985,15816083..15816267, 15816359..15816420,15816509..15816596,15816771..15816863, 15817009..15817095,15817274..15817317,15817404..15817504)) /locus_tag="BRARA_H01555" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01555" CDS complement(join(15815004..15815060,15815453..15815630, 15815710..15815753,15815835..15815985,15816083..15816267, 15816359..15816420,15816509..15816596,15816771..15816863, 15817009..15817095,15817274..15817317,15817404..15817452)) /locus_tag="BRARA_H01555" /codon_start=1 /product="hypothetical protein" /protein_id="RID50853.1" /db_xref="Phytozome:Brara.H01555" /translation="MSNNHKDNFSLADLTASLKDEDRAGLMNALKNKLAGHSSDVLEN LTPQVRARVDALKDIQSQHDELEAKFREERAVLEAKYEKLYHPLYAKRYEIVNGTTEI ELTPEDTKMDEVDDKTAEEKGVPSFWLTALQNNEVTSEEVTEHDEEALKYLKDIKWCK TEEPKGFKLEFLFDSNPFFINNVLTKSYLVIDEDEPLIEKAIGTEIDWYPGKCLTQKI VEKKPKKGLTNAESFFNFFNPPEVPDEDEDIDDDRADELQYHMELDYDIGSTIRNKII PHAVSWFTGEAMEEQEFDLDDDEDDDTDEDEDEDDADDDDEMEEDSKTKKKKGGRSQI VGVGQQGCKQQ" gene complement(15819508..15822044) /locus_tag="BRARA_H01556" /db_xref="Phytozome:Brara.H01556" mRNA complement(join(15819508..15819975,15820087..15820287, 15820438..15821179,15821254..15822044)) /locus_tag="BRARA_H01556" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01556" CDS complement(join(15819698..15819975,15820087..15820287, 15820438..15821179,15821254..15821814)) /locus_tag="BRARA_H01556" /codon_start=1 /product="hypothetical protein" /protein_id="RID50854.1" /db_xref="Phytozome:Brara.H01556" /translation="MDTVDSYEISNQSSTILSAAAEPTDNTESSAVRPPELSPVDVSA LQLLSINLESLFDSPEAFYGDAKLILADEREVSFHRFIVAARSPFFKNALAAAAEKDP QKSSTAGTKLELKNIAMDYEVGFDSVAAVMAYIYSGRVRPPPKGVSDCADEDCRHVSC RPAVDFMVEVLYLAFVFQIPELVTMYQRHLVDVVDKVIIEDALVILKLANICGQACKK LFDKCTEIIVKSNVDIVTLNKSLPQQIVKQVIDIRKELGLEVSEPNKHVSNIHKALEC EDLALVDLLLKEGYTNLDEAYALHFAVAYCAVETATDLLKREVADVNRRNLRGYTVLH VAAMRKEPSLIAFLLTKGANASDMALDGRTALLIAKQVTKAGEYNCITEEGKDSPKGR ICVEILEQPENLGPFPEDASSACLALAPDNELKIRLIDFENRVQMARCLFPTEAQLAM ELAPMKGTSEFTVDSQELDGTGAKRSAPDQYMVPFVFEEKHRSRLEALSKTVEFGRRF FPRCSRLLDKIADCETLNILAFVEKETPENRLEKRQKYMEIQESLLMAFNEDNEERGK SSRSGSSSSTSKSTKRSNRKPSHRRRP" gene 15829137..15830994 /locus_tag="BRARA_H01557" /db_xref="Phytozome:Brara.H01557" mRNA join(15829137..15829692,15830317..15830994) /locus_tag="BRARA_H01557" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01557" CDS join(15829320..15829692,15830317..15830360) /locus_tag="BRARA_H01557" /codon_start=1 /product="hypothetical protein" /protein_id="RID50855.1" /db_xref="Phytozome:Brara.H01557" /translation="MGKYMKKSKITNNDTEPTEPTFLGVRTRDAKTLALKRLNSSASD SALAGDSSCYLQLHSRRLEKPPALTEPKQPPRIKEPGSKGRVSSGSGSVHVAQSCKGD DWFGKSEAFFVERTVLIFNRYKVQVLWCGVMFMGPS" gene 15840207..15842334 /locus_tag="BRARA_H01558" /db_xref="Phytozome:Brara.H01558" mRNA join(15840207..15841127,15841210..15841500, 15841600..15841705,15841768..15842334) /locus_tag="BRARA_H01558" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01558" CDS join(15840612..15841127,15841210..15841500, 15841600..15841705,15841768..15842123) /locus_tag="BRARA_H01558" /codon_start=1 /product="hypothetical protein" /protein_id="RID50856.1" /db_xref="Phytozome:Brara.H01558" /translation="MEEVSPAVSMPFMPFPETQMELAGIMLGKGYHNGQYSTQDSDNN GDSRQETSRSVSESRKVLSSRINSPNFNMKNQSSSSDIAAGEEINGSVERSTEKKMIS TTESRTLFEFKSVPLYGVTSICGRRPEMEDAVSAIPRFLQSPTNSLIDGRFNPQSAAH FFGVYDGHGGSQVANYCRERMHLALAEEIEKGKPMLYDGDTWPEKWKRALFNSFLRVD SEIESVAPETVGSTSVVAVVFPTHIFVANCGDSRAVLCRGKTALPLSTDHKPDREDEA ARIEAAGGKVIRWNGARVFGVLAMSRSIGDRYLKPSIIPDPEVTAVRRVKEDDCLILA SDGVWDVMTDEEACEMARKRILLWHKKNMGAGDASLLTEERRGEGEDPAAKSAAEYLS KLALQRGSKDNITVVVVDLKPHRKLKIKKP" gene 15843356..15845148 /locus_tag="BRARA_H01559" /db_xref="Phytozome:Brara.H01559" mRNA join(15843356..15843522,15843710..15843775, 15844217..15844321,15844417..15844531,15844616..15844733, 15844827..15845148) /locus_tag="BRARA_H01559" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01559" CDS join(15843766..15843775,15844217..15844321, 15844417..15844531,15844616..15844733,15844827..15844880) /locus_tag="BRARA_H01559" /codon_start=1 /product="hypothetical protein" /protein_id="RID50857.1" /db_xref="Phytozome:Brara.H01559" /translation="MAENVKERGDGSAELVKSMGDKHASVIRPAARYYSAIKDAMVCG KGRYTLVKDVDDVENGAYDKPLPCFGCGIGWFSFLLGFVFPLLWYYATFLYFGNYYRK DPRERAGLAASAITAMGFSLLLLVIAVFRWF" gene 15845361..15845855 /locus_tag="BRARA_H01560" /db_xref="Phytozome:Brara.H01560" mRNA join(15845361..15845518,15845602..15845855) /locus_tag="BRARA_H01560" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01560" CDS join(15845393..15845518,15845602..15845664) /locus_tag="BRARA_H01560" /codon_start=1 /product="hypothetical protein" /protein_id="RID50858.1" /db_xref="Phytozome:Brara.H01560" /translation="MNKRFGGKKPTGTPSLALSTVVVVASLLAGASVVHNLYKPDLTL PQMGSDEVDKKEESRNKD" gene <15849373..>15851262 /locus_tag="BRARA_H01561" /db_xref="Phytozome:Brara.H01561" mRNA join(<15849373..15849735,15849919..15850118, 15850193..15850264,15850344..15850434,15850499..15850579, 15850656..15850718,15850818..15850940,15851025..15851063, 15851128..>15851262) /locus_tag="BRARA_H01561" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01561" CDS join(15849373..15849735,15849919..15850118, 15850193..15850264,15850344..15850434,15850499..15850579, 15850656..15850718,15850818..15850940,15851025..15851063, 15851128..15851262) /locus_tag="BRARA_H01561" /codon_start=1 /product="hypothetical protein" /protein_id="RID50859.1" /db_xref="Phytozome:Brara.H01561" /translation="MSYKEQKYLQRPRHHTSLKKPLCVVLTVSVISMLLVCTHLFPRH GKSSSCGLSSSRGCENALSALLPAHIRKLTIKEIAARAVVRDILRTPSFITQNSKIAF LFLTHGTLPFEELWDEFFKGHEGKFSIYIYTFKERPVHISPHFYDREIHSDEVTWVRT SMVDAEKRLLVNALEDPENQHFVLLSESCIPLHTFDYTYRYLLYSNVSFIESFVDPGP RGTGRHMEHMLPEITKEDFRKGAQWFTMKRQHAVIVMADSLYYSKFSKYCGPGLEANK NCTADEHYLPTFFSKVDPMGISNWSVTYVDWSNRRRHPKTYRAHEISLEFMNSVSSEE MSVHVTSLGEHGELHWPCTWNGIKRPCYLFARKFHPDALDALVNLFPNYTSAVV" gene complement(15852991..15854310) /locus_tag="BRARA_H01562" /db_xref="Phytozome:Brara.H01562" mRNA complement(join(15852991..15853395,15853479..15853599, 15853971..15854310)) /locus_tag="BRARA_H01562" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01562" CDS complement(join(15853141..15853395,15853479..15853599, 15853971..15854122)) /locus_tag="BRARA_H01562" /codon_start=1 /product="hypothetical protein" /protein_id="RID50860.1" /db_xref="Phytozome:Brara.H01562" /translation="MVRLRETEVVLRLCIVFLLLLTSVLIGLDSQTKEIAYMHKKVTF RYLFALEVELYIDVVVAVYNMVQLGLGWYGVAQKTSNSKWLSYILDQTAVYVVFSGAS AAAQHSLLVVTGSRELQWMKWCYKFTRFCFQIGSAIVLNYIAVALMVILSFLSAFNLF RLYSPKRYFQFKSSS" gene complement(<15858055..>15858612) /locus_tag="BRARA_H01563" /db_xref="Phytozome:Brara.H01563" mRNA complement(<15858055..>15858612) /locus_tag="BRARA_H01563" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01563" CDS complement(15858055..15858612) /locus_tag="BRARA_H01563" /codon_start=1 /product="hypothetical protein" /protein_id="RID50861.1" /db_xref="Phytozome:Brara.H01563" /translation="MNSSFLRAILLLGAINLSISISTTKAATLGQVFEICRSLCPGCD HDSLQFLFRHNLVRAARFEPPLIWDQTLENYAQNWANQRKSDCALQHSFQDGEFTLGE NIFWGYGANWSPADAVVAWASEKRFYHYGSNSCDSGQMCGHYTQLVWKNTRRIGCARV VCDNGGIFMTCNYDPPGNYIGQKPY" gene 15860691..15861963 /locus_tag="BRARA_H01564" /db_xref="Phytozome:Brara.H01564" mRNA join(15860691..15860777,15860868..15860916, 15861072..15861354,15861452..15861581,15861687..15861963) /locus_tag="BRARA_H01564" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01564" CDS join(15860775..15860777,15860868..15860916, 15861072..15861354,15861452..15861581,15861687..15861767) /locus_tag="BRARA_H01564" /codon_start=1 /product="hypothetical protein" /protein_id="RID50862.1" /db_xref="Phytozome:Brara.H01564" /translation="MIITETNRREICKYLFKEGVLFAKKDFNLPKHPLIESVPNLQVI KLMQSFKSKEYVRETFAWMHYYWFLTNEGIEFLRTYLNLPSDVVPATLKKSAKPGGRP FGGPSGDRPRGPPRFDGDRPRYGDRDGYRGVPRGGEAGGEKGGAPADYQPSFQGSGGR PGFGRGAGGYSAAAPSGSGLP" gene complement(15863333..15864884) /locus_tag="BRARA_H01565" /db_xref="Phytozome:Brara.H01565" mRNA complement(join(15863333..15863834,15863911..15863978, 15864056..15864317,15864557..15864884)) /locus_tag="BRARA_H01565" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01565" CDS complement(join(15863535..15863834,15863911..15863978, 15864056..15864317,15864557..15864691)) /locus_tag="BRARA_H01565" /codon_start=1 /product="hypothetical protein" /protein_id="RID50863.1" /db_xref="Phytozome:Brara.H01565" /translation="MTEVVVHIYDVTNSGSEKTNNTILQINRFFKDGIGLGGIFHSAI QVYGDDEWSFGYCEQGTGVFSCPSTKNPMYTYREKIVLGKTDCTIFMVNQILRELSRE WPGHTYDLLSKNCNHFCDVLCDRLGVPKLPGWVNRFAHAGDTALEVAGNTAMRVKQAK TELVSASKVAYRFLSNITSNVTNGSPQRPPGTLSSSENGNLRLQGSWFKGLLNTAKPS TSTEIEDRDEDANHGVPNHQRKQSRDSVPIHPTAFG" gene 15866967..15870381 /locus_tag="BRARA_H01566" /db_xref="Phytozome:Brara.H01566" mRNA join(15866967..15867356,15867904..15868529, 15868607..15868663,15869053..15869291,15869378..15869496, 15869980..15870066,15870159..15870381) /locus_tag="BRARA_H01566" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01566" CDS join(15867090..15867356,15867904..15868529, 15868607..15868663,15869053..15869291,15869378..15869496, 15869980..15870066,15870159..15870230) /locus_tag="BRARA_H01566" /codon_start=1 /product="hypothetical protein" /protein_id="RID50864.1" /db_xref="Phytozome:Brara.H01566" /translation="MDPRTPFLANGGEAEEDYAPARTWSDVKRVLSTESGKLWMFASM VAFDAICQFGVSFMTIVFVGHIGEIELSAVSISLSVIGIFSFGFLLGMSSALETLCSQ AFGAGEVNKLGIYMQRAWIISLVSCLVFLPIYIFATPVLRLLGESEEVAVSAGEFTLL TIPQLFSLAFTFPTTKFLIAQGKVVVMTSIGFSALLLHVFMLWLFIIVFGWGTNGAAM AFNITNWGTAISLIVYVVGWCNEGWSGLSWLAFRDIGAFVRLSIESAVMICLELWYMM SIIVLSGRLDNDVIAVDSLSICLNVNNVELMLFVGVNIAISMIVGTELGKGRPRAAKY SVYVALFESLIIGLVFMVAVIMARDHFAIMFTNSQVLQRAVSKLAYLLGITMVLNGVQ QVLTGVAIGGGWQRTVAYINVACYFIFGIPFGYFLGYGANLGVMGLWSGMIAGSALQT LCLMFLVYKIDWNREVEETTERLQRWGGNGTTTKDVVA" gene complement(15870846..15875787) /locus_tag="BRARA_H01567" /db_xref="Phytozome:Brara.H01567" mRNA complement(join(15870846..15871467,15871564..15872941, 15873034..15874307,15874404..15874697,15874784..15874851, 15874938..15875202,15875477..15875787)) /locus_tag="BRARA_H01567" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01567" CDS complement(join(15871903..15872941,15873034..15874307, 15874404..15874697,15874784..15874851,15874938..15875202, 15875477..15875611)) /locus_tag="BRARA_H01567" /codon_start=1 /product="hypothetical protein" /protein_id="RID50865.1" /db_xref="Phytozome:Brara.H01567" /translation="MREVVVHIYDATNSGSEEIDNRFHKDGIGPIVGGGGGGVFHSAI QVYGDDEWSFGYYCEQASRVFSCPSTKNPMYTYREKIILGKTDCSIFTVDQILRDLTR EWSEYTYDLFSKNCNHFCDVLCDRLGVPKLPGWVNRFAHAGDTALGVAVDTTMPVKEA KTELVLTSKVANRFFSDVSSDVTNSSNGPPQRPGTSNKSDNGKMKMQGSWKMVAKRSR SSTKRAKKQVARSQDIEAEYKSATSTSAEGDSGSEKLGVSVLGQHFAERVEHVPLKKR RFMVPSPSPLNRSFARDEDSQLRAQIKHSLPVSRLNPNLMGGKTSKVSDDKPDCGGHD FFGIKILAEVACSSGMSSEITSAVDRQLVEHVREQDALTFSPNDSSTGTVDVSGKDTT IVSSDKGGEGKSKIVVPQNALVDTLGNASAADQSESSTDRPRENLEAGDSRNLAPQSE PATVSENVSGDDRTGKSKNSECLTDDRLHWDLNLPTDAWGQPCDVVDETSRRYSDGEV TESITESRHVEGSKDYSTGLIASDVCMNSQLLSGPSAEESVRNGKECQSGYDSQFEDG ELREPYPWEENEGDSEDVEQVDYGSEPENERLYSLAESNENKLEDIKKEILAETKCGA VKCKSSDVHEGNNDVEKHVVVCMNNSHSKGSSPSRSFRSKQFRESPSHEPIRRRRPDS YEELSERDVGPNKFVGRERTEMRMQNRSPRRRQFSGWDSRRRFSPPIYKDGEYRFRRQ AVVEDRVMLSGFDQPGPSPGSHGYVRRHFSNEGYQGRFRRFPDGNGNRDFRDANRSFP PGEANDYPSRMHINRMNGRRERRNSPPVFRRLHDPQSRSRSRSRSPVSWNGRNRSPQG FRGEENRMERVRFPFQKRFPLNQETGFMLQQRNQRNSRCFDGRNNDGGWENHHHNNLR GRTGRMFRSEQRFDNNMRRVNSENNSNFRPYVRHNNNNRRFGDGDGGGSRGEGFKYEG AEEKNESMYEMVHRAQVMEEDGGRLRLDGEQLDTLVSNDNKKKNEASLTNRI" gene complement(15878780..15880921) /locus_tag="BRARA_H01568" /db_xref="Phytozome:Brara.H01568" mRNA complement(join(15878780..15879204,15879582..15879758, 15879848..15879925,15880010..15880105,15880188..15880531, 15880768..15880921)) /locus_tag="BRARA_H01568" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01568" CDS complement(join(15878953..15879204,15879582..15879758, 15879848..15879925,15880010..15880105,15880188..15880531, 15880768..15880789)) /locus_tag="BRARA_H01568" /codon_start=1 /product="hypothetical protein" /protein_id="RID50866.1" /db_xref="Phytozome:Brara.H01568" /translation="MRPPLTGGRGGGGFSGGRGGGGYSGGRGGSSGGRGRGGGRGFGD RGGRGGGRGMSRGGGRGDRGRGRGGPGGRGGMKGGSKVIVEPHRHAGVFIAKGKEDAL VTRNLVPGEAVYNEKRISVPNEDGTKTEYRVWNPFRSKLAAAILGGVDNIYIKPGAKV LYLGAASGTTVSHVSDLVGPEGCVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPA KYRMLVGMVDVIFADVAQPDQARIVALNASFFLKTGGHFVISIKANCIDSTVPAEAVF QSEVKKLQQEQFKPAEQVTLEPFERDHACVVGTYRAPKKAKAATAA" gene 15882185..15883944 /locus_tag="BRARA_H01569" /db_xref="Phytozome:Brara.H01569" mRNA join(15882185..15882308,15882699..15882955, 15883516..15883944) /locus_tag="BRARA_H01569" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01569" CDS join(15882306..15882308,15882699..15882955, 15883516..15883666) /locus_tag="BRARA_H01569" /codon_start=1 /product="hypothetical protein" /protein_id="RID50867.1" /db_xref="Phytozome:Brara.H01569" /translation="MANAASGMAVEDNCKLKFLELKKRTHRFIIFRIDGQQVVVEKLG SPQETYDDFTASLPADECRYAVFDFDFTTNENCQKSKIFFIAWSPDSSRVRMKMVYAS SKDRFKRELDGIQVELQATDPSEMSFDIIKSRAL" gene complement(<15884284..>15885081) /locus_tag="BRARA_H01570" /db_xref="Phytozome:Brara.H01570" mRNA complement(join(<15884284..15884738,15884816..15884947, 15885006..>15885081)) /locus_tag="BRARA_H01570" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01570" CDS complement(join(15884284..15884738,15884816..15884947, 15885006..15885081)) /locus_tag="BRARA_H01570" /codon_start=1 /product="hypothetical protein" /protein_id="RID50868.1" /db_xref="Phytozome:Brara.H01570" /translation="MDSQAQLQRAHGHHQAEEQIRIHHPEEEEHHEKGAAKVLKKAKE KAKKIKNALTKHGHGHEQELHGGAPARGRGHHVSDPVEEEFFPDPMKEEMVPPGKKFF PVVSSSHSTKPSGRVKGAEASISRDGYGNKVISMVTPVYEKVKGTGAIVMKKLPFSSG GTHTERENKQGQDKGISAKEYLTKKLRPGEGDKALSEVVTEKLHLGGDKSGSVPVQNR FQ" gene complement(15885682..15888392) /locus_tag="BRARA_H01571" /db_xref="Phytozome:Brara.H01571" mRNA complement(join(15885682..15886074,15886171..15886281, 15886385..15886471,15886788..15886861,15886962..15887055, 15887602..15887647,15888083..15888392)) /locus_tag="BRARA_H01571" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01571" CDS complement(join(15886003..15886074,15886171..15886281, 15886385..15886471,15886788..15886861,15886962..15887055, 15887602..15887647,15888083..15888201)) /locus_tag="BRARA_H01571" /codon_start=1 /product="hypothetical protein" /protein_id="RID50869.1" /db_xref="Phytozome:Brara.H01571" /translation="MAMSQVVNTYPLSNYSFGTKEPKLEKDTSVADRLARMKINYMKE GMRTSVDAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEVEGLKRKLTSKLGGN SAALVPDWKVGECVATWWRPNFETMMYPYCPPHITKPKECKRLYIVHLSEKEYFAVPK NLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFHFNMISS" gene complement(15888987..15893290) /locus_tag="BRARA_H01572" /db_xref="Phytozome:Brara.H01572" mRNA complement(join(15888987..15889280,15889369..15890570, 15890660..15890729,15890809..15890902,15890989..15891089, 15891232..15891300,15891444..15891658,15891805..15891853, 15891941..15892072,15892141..15892939,15893097..15893290)) /locus_tag="BRARA_H01572" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01572" mRNA complement(join(15888987..15889280,15889369..15890570, 15890660..15890729,15890809..15890902,15890989..15891089, 15891232..15891300,15891444..15891658,15891805..15891853, 15891941..15892072,15892141..15892952,15893097..15893290)) /locus_tag="BRARA_H01572" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01572" CDS complement(join(15889765..15890570,15890660..15890729, 15890809..15890902,15890989..15891089,15891232..15891300, 15891444..15891658,15891805..15891853,15891941..15892072, 15892141..15892728)) /locus_tag="BRARA_H01572" /codon_start=1 /product="hypothetical protein" /protein_id="RID50870.1" /db_xref="Phytozome:Brara.H01572" /translation="MNKPGVDSTTDGAGHEALNMQRSSGINNMRIPTPTPMSFSSNSI NIPGSLVIDGSASMQQHLSQQQQQQAGQSSVPMRENDYSHVDKKPRIEVKQEGMLQQQ IFQQLIQRQDPTGRNTQLQALLQQQRLRQQQQILQSMSPSQRLQLQQQQQQLRQQLQQ PGGTQQQIPPNVRPYDVGVCARKMMMYLYHLQQRPAENCISYWRKFVAEYFSPRAKQR LCLSQYESAGHHALGMFQQAAPDMWQCDLCSTKSGKGFEATFDVLARLIEIKFASGII DELLYLDHPREHRFTNGLMMLEYRKAVQETVHEQFRVVREGHLRIIFSQDLKILTWEF CARRHEELLLRRLIAPQVNQLLQVAQKCQNTISESGSEGVSQQDLQSNSNMVLGAGRQ LAKFMELQSLNDLGYPKRYIRTLQISEVVKSMKDLMNFTGEHKVGPIEGLRRLLEQTA TSKLQRQKVQEMEHMGNNGAMNGSAQAQMALTPGTMNGLIGNNNSNSSNSNNHHQLVG RGAMNGSAQAAAALTNYQSMLMRQNAMNNPNSNAVKEEGFSTQNPTQSPSSSSHQRQN LATPGFPSSPQMQQQQQQQQRNMNGPPHHLQPPHSHGNNQGQQMLNRLLQEISENGPS LQQQQAFSGQSGGGNNNAERNPAASTSSISGGGGRVPSRNNSFKAVVSNNNNHHLPED LSIPELSHDFSEDAFFNNSDIYGSL" CDS complement(join(15889765..15890570,15890660..15890729, 15890809..15890902,15890989..15891089,15891232..15891300, 15891444..15891658,15891805..15891853,15891941..15892072, 15892141..15892728)) /locus_tag="BRARA_H01572" /codon_start=1 /product="hypothetical protein" /protein_id="RID50871.1" /db_xref="Phytozome:Brara.H01572" /translation="MNKPGVDSTTDGAGHEALNMQRSSGINNMRIPTPTPMSFSSNSI NIPGSLVIDGSASMQQHLSQQQQQQAGQSSVPMRENDYSHVDKKPRIEVKQEGMLQQQ IFQQLIQRQDPTGRNTQLQALLQQQRLRQQQQILQSMSPSQRLQLQQQQQQLRQQLQQ PGGTQQQIPPNVRPYDVGVCARKMMMYLYHLQQRPAENCISYWRKFVAEYFSPRAKQR LCLSQYESAGHHALGMFQQAAPDMWQCDLCSTKSGKGFEATFDVLARLIEIKFASGII DELLYLDHPREHRFTNGLMMLEYRKAVQETVHEQFRVVREGHLRIIFSQDLKILTWEF CARRHEELLLRRLIAPQVNQLLQVAQKCQNTISESGSEGVSQQDLQSNSNMVLGAGRQ LAKFMELQSLNDLGYPKRYIRTLQISEVVKSMKDLMNFTGEHKVGPIEGLRRLLEQTA TSKLQRQKVQEMEHMGNNGAMNGSAQAQMALTPGTMNGLIGNNNSNSSNSNNHHQLVG RGAMNGSAQAAAALTNYQSMLMRQNAMNNPNSNAVKEEGFSTQNPTQSPSSSSHQRQN LATPGFPSSPQMQQQQQQQQRNMNGPPHHLQPPHSHGNNQGQQMLNRLLQEISENGPS LQQQQAFSGQSGGGNNNAERNPAASTSSISGGGGRVPSRNNSFKAVVSNNNNHHLPED LSIPELSHDFSEDAFFNNSDIYGSL" gene complement(15895022..15897632) /locus_tag="BRARA_H01573" /db_xref="Phytozome:Brara.H01573" mRNA complement(join(15895022..15895255,15895353..15895910, 15895981..15896219,15896296..15896408,15897041..15897151, 15897484..15897632)) /locus_tag="BRARA_H01573" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01573" CDS complement(join(15895225..15895255,15895353..15895910, 15895981..15896219,15896296..15896408,15897041..15897146)) /locus_tag="BRARA_H01573" /codon_start=1 /product="hypothetical protein" /protein_id="RID50872.1" /db_xref="Phytozome:Brara.H01573" /translation="MKPVFCGNFEYDAREGDLERLFRKYGRVERVDMKAGFAFVYMED ERDAEDAIRALDRIEFGRKGRRLRVEWTKGERGGDRRSGAGSRRSSSMRPSKTLFVIN FDADNTRTRDLERHFEPYGKIVNVRIRRNFAFVQYEEQEDATRALDATNNSKLMDKVI SVEYAMKDDDARGNGHSPDRRRDRSPERRRRSPSPYKRERGSPDYGRGGSPVAAYKRE RTSPDYGRRRSPSPYKRTRRSSPEYGRDRHRGNESPRRRERGASPRYSRSPDNKRERV SPDHSPFKKESSKNGDGEVDSPNERRERSRSSPENGQVESPGSIGRRDSDGGYDGADS PMQKSRSRSPPAEE" gene <15902097..>15902948 /locus_tag="BRARA_H01574" /db_xref="Phytozome:Brara.H01574" mRNA <15902097..>15902948 /locus_tag="BRARA_H01574" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01574" CDS 15902097..15902948 /locus_tag="BRARA_H01574" /codon_start=1 /product="hypothetical protein" /protein_id="RID50873.1" /db_xref="Phytozome:Brara.H01574" /translation="MNTFPASTEMVGSENESPVTTVAGGDYYPMLAASCPKKPAGRKK FQETRHPIYRGVRLRKSGKWVCEVREPNKKSRIWLGTFKTAEMAARAHDVAALALRGR GACLNYADSAWRLRIPETTCHKDIQKAAAEAALAFEAEKSDVTMQNGLNMEEMTAVAS QAEVNDTTTEHGMNMEEATAVASQAEVNDTTTDHGVDMEETMVEAVFTEEQSEGFNMA KESTVEAAVVTEEPSKGSYMDEEWMLEMPTLLADMAEGMLLPPPSVQWGQNDDFEGDV DMNLWSY" gene <15912009..>15912764 /locus_tag="BRARA_H01575" /db_xref="Phytozome:Brara.H01575" mRNA <15912009..>15912764 /locus_tag="BRARA_H01575" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01575" CDS 15912009..15912764 /locus_tag="BRARA_H01575" /codon_start=1 /product="hypothetical protein" /protein_id="RID50874.1" /db_xref="Phytozome:Brara.H01575" /translation="MNSVSTFSELLCSENESPVNTEGGDYILAASCPKKPAGRKKFQE TRHPIYRGVRLRKSGKWVCEVREPNKKSRIWLGTFKTAEIAARAHDVAALALRGRGAC LNFADSAWRLRIPETTCAKDIQKAAAEAALAFEAEKSDTTTNDHGMNMASQVEVNDTT DHDLDMEETIVEAVFREEQREGFYMAEETTVVGVVPEEQMSKGFYMDEEWMFGMPTLL ADMAAGMLLPLPSVQWGHNDDFEGVADINLWSY" gene <15915434..>15916186 /locus_tag="BRARA_H01576" /db_xref="Phytozome:Brara.H01576" mRNA <15915434..>15916186 /locus_tag="BRARA_H01576" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01576" CDS 15915434..15916186 /locus_tag="BRARA_H01576" /codon_start=1 /product="hypothetical protein" /protein_id="RID50875.1" /db_xref="Phytozome:Brara.H01576" /translation="MNSVSTFSELLGSENESPVGGDYCPMLAASCPKKPAGRKKFRET RHPIYRGVRLRKSGKWVCEVREPNKKSRIWLGTFKTAEIAARAHDVAALALRGRGACL NFADSAWRLRIPETTCAKDIQKAAAEAALAFEAEKSDTTTNDHGMNMASQVEVNDTTD HDLDMEETIVEAVFREEQREGFYMAEETTVVGVVPEEQMSKGFYMDEEWMFGMPTLLA DMAAGMLLPLPSVQWGHNDDFEGVADINLWSY" gene 15916847..15921668 /locus_tag="BRARA_H01577" /db_xref="Phytozome:Brara.H01577" mRNA join(15916847..15917255,15917989..15918176, 15918268..15918291,15918379..15918480,15918670..15918760, 15918857..15918957,15919059..15919109,15919218..15919346, 15919665..15919727,15919817..15920096,15920224..15920372, 15920473..15920562,15920629..15920763,15920856..15920912, 15920996..15921052,15921291..15921668) /locus_tag="BRARA_H01577" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01577" CDS join(15916856..15917255,15917989..15918176, 15918268..15918291,15918379..15918480,15918670..15918760, 15918857..15918957,15919059..15919109,15919218..15919346, 15919665..15919727,15919817..15920096,15920224..15920372, 15920473..15920562,15920629..15920763,15920856..15920912, 15920996..15921052,15921291..15921527) /locus_tag="BRARA_H01577" /codon_start=1 /product="hypothetical protein" /protein_id="RID50876.1" /db_xref="Phytozome:Brara.H01577" /translation="MASSVTSLLFHHGSTRLIARSRCQSPLLRTCGVTPFLSFRSSRG GSTAPLGLPLKAKSVGLARAYVTGAPPIVGEEDPKIDGSKSEPEKEESKDLIKWGLVW SLMSKHKLRLVVCLLTLVGCSTCTLSMPVFSGRFFEVLIGVRPDPLWQLLSKIAVLYS LEPIFTIVFVTNMNAIWESVMATLRAQIFRRVLIQKAEFFDKYKVGELTGLLTSDLGA LNSIVNDNISRDRGFRAFSEVFGTICILFTLSPQLAPVLGLLMLAVSVLVAVYKRSTV PVYKAHGLAQATMSDCVSETFSAIRTVRSFSGEKRQMSLFGSQILAFQRSGLKLGTFK SINESITRVAVYISLLALYALGGSKVKTGELAVGTVVSFIGYTFTLTFAVQGLVNTFG DLRGSFAAIERINSILNAVDIDEALAYGLERDIHTKKVQDENLRLFLSSGPNVNIRHL DKYYMSDLKSTNNLRTLTWAGDVCLDDLHFAYPLRPDVKVLDGFNLTLRAGTVTALVG SSGAGKSTIVQLLARFYEPTQGRITVAGEDVRMFDKSEWAKVISIVNQEPVLFSLSVA ENIAYGLPNDLVSKDDIIKAAKAANAHEFIISLPQGYDTLVGERGGLLSGGQRQRVAI ARALLKNAPILILDEATSALDAVSERLVQSALNRLMKDRTTLVIAHRLSTVQNAHQIA VCSDGKIIELGTHSELVAQKGSYASLVGTQRLAFE" gene <15924208..>15926911 /locus_tag="BRARA_H01578" /db_xref="Phytozome:Brara.H01578" mRNA join(<15924208..15924389,15925724..15925870, 15926209..15926308,15926467..15926508,15926801..>15926911) /locus_tag="BRARA_H01578" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01578" CDS join(15924208..15924389,15925724..15925870, 15926209..15926308,15926467..15926508,15926801..15926911) /locus_tag="BRARA_H01578" /codon_start=1 /product="hypothetical protein" /protein_id="RID50877.1" /db_xref="Phytozome:Brara.H01578" /translation="MVRGKIEIKRIEDVTSRHVTFSKRRKGLLKKAHELSVLCDAQVA AIVFSQKGRLYDFASSDMQKMIERCEIHRGEYFGAERLQKQQYVQDLKNEMAITMDKI KLLQLHCRKLMGQDLDSCSVEELKEITTKIEKSLTIVRSRKAKLNEDRIEKLKAESRS LESEKSAPSCSCGNMNLSDVETDLSIGLPQSRV" gene complement(<15927695..>15929434) /locus_tag="BRARA_H01579" /db_xref="Phytozome:Brara.H01579" mRNA complement(join(<15927695..15927945,15928154..15928928, 15929004..15929092,15929266..>15929434)) /locus_tag="BRARA_H01579" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01579" CDS complement(join(15927695..15927945,15928154..15928928, 15929004..15929092,15929266..15929434)) /locus_tag="BRARA_H01579" /codon_start=1 /product="hypothetical protein" /protein_id="RID50878.1" /db_xref="Phytozome:Brara.H01579" /translation="MGRKWSSGGRSRSKSSEANGCISALYHFFHSHHFYFPSRHHHHH QPSFDSPSRYPKGLVAPRNSLELTEESSLSTNYKEKDSLNISVGGKRSNLRALIFDRS SDNCNSPSAKSPNLVARLMGLDLLPDNLDLNISSRKSVRGHRHSESGSGTRSLPESPR VSSARKSDSDVRRLSLQLNRQNKHEDSVCRRLKDEENQSPENNERVITRRLGMDITNL LENRRARPGQDQIKHRKVRSMSSRKENTLSSSPTFVFKQDNISRQQTKTLTLSKDSKK NLNNVDELLLRPTNVCKKVCSKSKFSPHSTPNNQHKHRQAFISTSRCDPLHKKERKQI PNSSAVAASEHGTISSGQMYNYEEKLPQELLSSSFFRSTTISATFSNVGRTKIYFEYL TGMKKLEKEEERVVAEVERHIVDALVLETVKLACV" gene complement(15937661..>15938573) /locus_tag="BRARA_H01580" /db_xref="Phytozome:Brara.H01580" mRNA complement(join(15937661..15937785,15937862..15938218, 15938349..>15938573)) /locus_tag="BRARA_H01580" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01580" CDS complement(join(15937705..15937785,15937862..15938218, 15938349..15938573)) /locus_tag="BRARA_H01580" /codon_start=1 /product="hypothetical protein" /protein_id="RID50879.1" /db_xref="Phytozome:Brara.H01580" /translation="MDPYKNPNPKGYQRQRPFGSAGEGGSSGGSDMPHDIDDNKKKKK LLHRDIERQRRQEMATLYASLRSHLPLQYIKGKRAVADHVNAAVGFIKDTETRIKELS ARRDELSREQTCQRSDPNLARTGFELGKSDPASLIVQPCVNGFEVAVSSNSSGPDALP LSRVLEALQELGLEVINSLTTRVNERLMHTIQVEVNTFGCLDLAWLQQKLVEDLILSP GY" gene <15946140..>15949341 /locus_tag="BRARA_H01581" /db_xref="Phytozome:Brara.H01581" mRNA join(<15946140..15946653,15946727..15947004, 15947093..15947164,15947239..15947368,15947455..15947575, 15947644..15947902,15947973..15948077,15948477..15948953, 15949026..15949162,15949242..>15949341) /locus_tag="BRARA_H01581" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01581" CDS join(15946140..15946653,15946727..15947004, 15947093..15947164,15947239..15947368,15947455..15947575, 15947644..15947902,15947973..15948077,15948477..15948953, 15949026..15949162,15949242..15949341) /locus_tag="BRARA_H01581" /codon_start=1 /product="hypothetical protein" /protein_id="RID50880.1" /db_xref="Phytozome:Brara.H01581" /translation="MRFGREFVSKMIPEWQEAYIDYAYLKTILQDIQATRKISVSNSQ SKPSFARNLTRRYTRNASVSENHVIVFNAVTHAGYEHDMTYETAFLKAGEPGGDSEAA FFRTLDREFNKVNSFYRLKVEKARHESLALTRQMEALVAFRFRVMEKKPSSTDSVSVD INALSSKSKENKVTLGDLIKNEANESILEGIRMNRTLETPLSAIKTILKVHKQEELKF TRENLKKVEERLQRAFIEFYQKLGHLKNYSFLNTSAVSKIMKKYDKISARNAAKQYME MVDSSYLTSSDEIHKLMVRVESTVIEHFCNSNRHQGMNLLRPKENKELHRTTFSTGFF FGCATSLIIALALIIHARNIMGTPGQRTYMETMFPLYRFFGFVVLHVVVYAANIYFWG IYRVNYSFIFGFKQGTELGYRHVLLLSFGLGTLSLCAVLLNLDMEMDSQTKDYRIVTE LIPLFLLALVVAITLCPFNILYRSSRFFFLTVLFRCIAAPFYTVNLPDFFLADQLTSQ VQSLRSLEFYICYYGFGDFRQRQRNTCRSNDVFTTFYFIVAVIPYWLRFLQCIRRIIE ENDLSHGYNAIKYLLTIVAACLRTAYTLNRGTTWNITAWVFSGVATLYATYWDIVIDW GLLQRGCKNSFLREKLLVPHKTVYYAAMVLNVLLRLVWLQTVLDLKFSFLHRETLVAL LACLEIIRRGIWNFFRLENEHLNNVGKFRAFKSVPLPFNYQEDRDQDN" gene complement(<15950574..>15952594) /locus_tag="BRARA_H01582" /db_xref="Phytozome:Brara.H01582" mRNA complement(join(<15950574..15950816,15950905..15951268, 15951376..15951440,15952586..>15952594)) /locus_tag="BRARA_H01582" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01582" CDS complement(join(15950574..15950816,15950905..15951268, 15951376..15951440,15952586..15952594)) /locus_tag="BRARA_H01582" /codon_start=1 /product="hypothetical protein" /protein_id="RID50881.1" /db_xref="Phytozome:Brara.H01582" /translation="MRLGLDMLLWFQKSRNSIGQTCSSFLLCLKPGNCFFRDTLDTYS AEVKSIAKILLAKMARALMIKPEEMEKLFDDELRQSMRINYYPTCPDPDQVIGLPPHS DSTGLTILLQVNEVDGLQIKKNGKWVPVKPLPNSFVVNVGDVLEIITNGAYRSVEHRG VVNSEKDRLSVAAFHNLGMGKEVGPVRSLVERQKAAFFKSVTIEEYFKGLFSRKLDGK AYLDVMRI" gene complement(15953643..15955687) /locus_tag="BRARA_H01583" /db_xref="Phytozome:Brara.H01583" mRNA complement(join(15953643..15954121,15955412..15955687)) /locus_tag="BRARA_H01583" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01583" CDS complement(join(15954056..15954121,15955412..15955660)) /locus_tag="BRARA_H01583" /codon_start=1 /product="hypothetical protein" /protein_id="RID50882.1" /db_xref="Phytozome:Brara.H01583" /translation="MEAKEASQYSSIIVPSVQEMVKEKLTTTVPLRYVRSDLDKGDID GDLRTEIPIIDMNLLCSLTSMDAEIHKLDLACKEWGFFQDHWRMFLQMRFPHVCYLRC QR" gene complement(<15958656..>15959767) /locus_tag="BRARA_H01584" /db_xref="Phytozome:Brara.H01584" mRNA complement(join(<15958656..15958898,15959125..15959452, 15959535..>15959767)) /locus_tag="BRARA_H01584" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01584" CDS complement(join(15958656..15958898,15959125..15959452, 15959535..15959767)) /locus_tag="BRARA_H01584" /codon_start=1 /product="hypothetical protein" /protein_id="RID50883.1" /db_xref="Phytozome:Brara.H01584" /translation="METGFLDKFKSEIQDFFNLPMEEKKKLWQQPSEIEGFGHAFVVS EEQKLDWSDLFLLVMQPVHLRKAHLFPKLPLPFRDTLDTYSAEVKGIAKILLAKMASA LMIKPQEMEKLFDDELRQTMRMNYYPPCPDPDQVIGLIPHSDSTGLTILLQVNEVEGL QIKKNGKWVPVKPLPNAFVVNIGDVLEIITNGSYRSIEHRGVVSSEKERLSVAAFHNL GMGKEVGPVRSLVEKQKAAFFKSITIEEYRKDLFTRKLDGKAYLDAMRI" gene complement(15963031..15967607) /locus_tag="BRARA_H01585" /db_xref="Phytozome:Brara.H01585" mRNA complement(join(15963031..15963329,15963423..15963481, 15967284..15967607)) /locus_tag="BRARA_H01585" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01585" CDS complement(join(15963440..15963481,15967284..15967532)) /locus_tag="BRARA_H01585" /codon_start=1 /product="hypothetical protein" /protein_id="RID50884.1" /db_xref="Phytozome:Brara.H01585" /translation="MEAKGESQHSSIIVPSVQEMVKEKLTTTVPPRYVRSDLDKGEID GNLRTEIPIIHMNLLCSLTSMDAEIHKLDLACKEWGFFQGLKVKKNLLTGRI" gene complement(15968803..15970389) /locus_tag="BRARA_H01586" /db_xref="Phytozome:Brara.H01586" mRNA complement(join(15968803..15969217,15969305..15969632, 15969706..15969953,15970033..15970389)) /locus_tag="BRARA_H01586" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01586" CDS complement(join(15968975..15969217,15969305..15969632, 15969706..15969953,15970033..15970290)) /locus_tag="BRARA_H01586" /codon_start=1 /product="hypothetical protein" /protein_id="RID50885.1" /db_xref="Phytozome:Brara.H01586" /translation="MEANGASKHHSSIIVPSVQEMVKEKLIKKVPQRYVRSDLNKAEM ACDSGLKPEIPVIDMSRLCSLTTMDSEIEKLDLACKEWGFFQLVNHGVDSGFLDKFKS EIQDFFNLPMEEKKKLWQKPGDIEGFGQAFVVSEEQKLDWADMFHLTVLPARLRKPHV FPKIPLPLRDTLETYSAELKSIAKILFAKMAVALKINPDEMEKLFDDDLGQIMRMNYY PPCPEPDKVIGLTPHSDSTGVTILLQVNEVEGLQIKKKGKWVSVKPLPDAFVVNVGDM IEIVTNGTYRSIEHRGVVNSEKERISIGAFHNIGTGKEVGPLRSLVERHKASFFRSMT TDEYFKGLFSRELGGKAYLDVMRI" gene complement(15971081..15974993) /locus_tag="BRARA_H01587" /db_xref="Phytozome:Brara.H01587" mRNA complement(join(15971081..15971502,15971600..15971975, 15972062..15972280,15972356..15972423,15972504..15972641, 15972722..15972879,15972973..15973078,15973181..15973277, 15973487..15973608,15973717..15973803,15974066..15974143, 15974289..15974608,15974884..15974993)) /locus_tag="BRARA_H01587" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01587" CDS complement(join(15971446..15971502,15971600..15971975, 15972062..15972280,15972356..15972423,15972504..15972641, 15972722..15972879,15972973..15973078,15973181..15973277, 15973487..15973608,15973717..15973803,15974066..15974143, 15974289..15974525)) /locus_tag="BRARA_H01587" /codon_start=1 /product="hypothetical protein" /protein_id="RID50886.1" /db_xref="Phytozome:Brara.H01587" /translation="MGIRYLSVSVASTALSFVGLQVWTELSLDRLRADGLIAKNISLA DSEHALELLLGSYFTIALLTNFVLNVYILLILSLKTLFFGDLYGVETKKLVERLANYI IYKGTFLPLVIPPTIFQGVLWTVWLTVLCTLKMFQALARDRLERLNASPSSTPWTYFR VYSVLFLVLSVDMLWIKLSLMTYNTIGSSVYLLLLFEPCSIAFETLQALLIHGFQLLD MWINHLVVNNSDCQRSKFLDSMTAGSLLEWKGLLNRNLGFFLDMATLVMALCHYLHIW WLHGIAFHLVDAVLFLNIRALLSAILKRMKGYIKLRIALGSLHAALPDATSEELRAYD DECAICREPMAKAKRLHCNHLFHLGCLRSWLDQGLNDVYSCPTCRKPLFAGRTESEAN PRTVEVSRDEQLARQLERQNSPAHPLATGLFPAEMPSSVETDPSRNLGLDPSWLQTWS DQGIDVAGPSTTASRSVGLGRVQMMMRHLASVGESYAQTALDDAAWSLWPMNPSQAST STTTTMPPGAGGRTGGLHLRTVSNVTNESLASILAMAETVREVMPHVPDEIIFQDLQR TNSVAVTVNNLLQM" gene complement(<15976703..>15978472) /locus_tag="BRARA_H01588" /db_xref="Phytozome:Brara.H01588" mRNA complement(join(<15976703..15976992,15977096..15977201, 15977325..15977514,15978054..>15978472)) /locus_tag="BRARA_H01588" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01588" CDS complement(join(15976703..15976992,15977096..15977201, 15977325..15977514,15978054..15978472)) /locus_tag="BRARA_H01588" /codon_start=1 /product="hypothetical protein" /protein_id="RID50887.1" /db_xref="Phytozome:Brara.H01588" /translation="MLRAAGRGMLGAAGRGMLRAAGRAMKRTGVAKGGIQDPFASSPS TEAGNASVSLGGGYVHRVGSNNLRISAASGSLLNLPVAITSRWSGGAFSFNSYGAYED FEWVTVEGTEEEEEDDDSVFGSVPSVDEVEDAVSALKQVFDGGANQSPMPTGMVHQVP SFGTELDWVEPSMELCHSRILQPHAYDHVYNAFDLLRTEPSVQRMVLSLSSDKAVWKA VRNNEVVQEIKDLYYNGINQGDESSDDTPRKNNTPTDFIKWVFDSTVVKATEVLKKII KLAIELLNSFKVNKKRKRGKLHNWFEEDLKTSVFLSILVMLVVMVSRACNNSMNDLSC " gene complement(<15979600..>15980400) /locus_tag="BRARA_H01589" /db_xref="Phytozome:Brara.H01589" mRNA complement(join(<15979600..15979777,15980036..>15980400)) /locus_tag="BRARA_H01589" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01589" CDS complement(join(15979600..15979777,15980036..15980400)) /locus_tag="BRARA_H01589" /codon_start=1 /product="hypothetical protein" /protein_id="RID50888.1" /db_xref="Phytozome:Brara.H01589" /translation="MADTARTHHDITSRDQYPILGRDRDQYPYGRSDYQTSGQDYSKT RQIAKAATAVTAGGSLLVLSSLTLVGTVIALTVATPLLVIFSPILVPALITVALLITG FLSSGGFGIAAITVFSWIYKYATGEHPQGSDKLDSARMKLGTKAQDIKDRAQYYGQQH TGGEHDRDRTRGTHHTTTTT" gene complement(<15981264..>15981941) /locus_tag="BRARA_H01590" /db_xref="Phytozome:Brara.H01590" mRNA complement(join(<15981264..15981404,15981511..15981633, 15981713..15981799,15981888..>15981941)) /locus_tag="BRARA_H01590" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01590" CDS complement(join(15981264..15981404,15981511..15981633, 15981713..15981799,15981888..>15981941)) /locus_tag="BRARA_H01590" /codon_start=1 /product="hypothetical protein" /protein_id="RID50889.1" /db_xref="Phytozome:Brara.H01590" /translation="SKLPYNPPPRIYHATLKRVLRKASSFQSISFGRFQSSKRIRLQI SCAAKPETVQKVSDIVKEQLALSADTALTAESKFSALGADSLDTVEIVMALEEKFDIS VEETEAQNITTIQEAADLIEDLVQKKPTAQAS" gene complement(15982598..15983849) /locus_tag="BRARA_H01591" /db_xref="Phytozome:Brara.H01591" mRNA complement(join(15982598..15982934,15983023..15983137, 15983496..15983849)) /locus_tag="BRARA_H01591" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01591" CDS complement(join(15982719..15982934,15983023..15983137, 15983496..15983671)) /locus_tag="BRARA_H01591" /codon_start=1 /product="hypothetical protein" /protein_id="RID50890.1" /db_xref="Phytozome:Brara.H01591" /translation="MGDSVGKRTLMNLKVQVSMRVLTLGAALASMGLMITNREVASVY GIAFEAKYSDSSAFRYLVFAYIAISAVTLFTLAWACLAVRRGGFIFALFFFDLLMTLT ALSAFSAAMSEGYIGKYGNTHAGWLPICGYVHNYCNRTTLSLALSFVSFLLLFVLTVL TASAARRS" gene 15987825..>15989518 /locus_tag="BRARA_H01592" /db_xref="Phytozome:Brara.H01592" mRNA join(15987825..15987935,15988056..15988092, 15988194..15988407,15988507..15988546,15988588..15988667, 15988865..15988984,15989276..>15989518) /locus_tag="BRARA_H01592" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01592" CDS join(15987896..15987935,15988056..15988092, 15988194..15988407,15988507..15988546,15988588..15988667, 15988865..15988984,15989276..15989518) /locus_tag="BRARA_H01592" /codon_start=1 /product="hypothetical protein" /protein_id="RID50891.1" /db_xref="Phytozome:Brara.H01592" /translation="MALNVLAFTFGIMGNIISFIVFLAPVPTFVRICKKKSTEGFQSL PYVSALFSAMLWIYYAMQKDGSGFLLITINSVGCFIETIYIVLFITYANKKARISTLK VLGLLNFLGLNREKVLGGICVGFSVCVFAAPLSIMRVVIRTKSVEFMPFSLSLFLTLS AITWLFYGLAIKDFYVALPNIMGAFLGAVQMILYIIYKYYKAPKTNDTEKPKTVSGHS IDMVKLASTPASGDLKAPPQTHGDLEGQIEKEMANQIQT" gene 15990919..15992726 /locus_tag="BRARA_H01593" /db_xref="Phytozome:Brara.H01593" mRNA join(15990919..15991076,15991171..15991414, 15991534..15991704,15992326..15992726) /locus_tag="BRARA_H01593" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01593" CDS join(15991285..15991414,15991534..15991704, 15992326..15992381) /locus_tag="BRARA_H01593" /codon_start=1 /product="hypothetical protein" /protein_id="RID50892.1" /db_xref="Phytozome:Brara.H01593" /translation="MPEEESVDIKFRLYDGSDIGPFHYSSSSTVDFLKQRVVSDWPKG KTVVPKGINEVKLISSGKILENSKTVGQCKTPFGEVAGGVTVMHVVVQPSLAKTKTEK KVDKAPKAVICTCTIL" gene complement(<16002309..>16003463) /locus_tag="BRARA_H01594" /db_xref="Phytozome:Brara.H01594" mRNA complement(join(<16002309..16003147,16003268..>16003463)) /locus_tag="BRARA_H01594" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01594" CDS complement(join(16002309..16003147,16003268..16003463)) /locus_tag="BRARA_H01594" /codon_start=1 /product="hypothetical protein" /protein_id="RID50893.1" /db_xref="Phytozome:Brara.H01594" /translation="MNCLAFVLRLDHGSLYLVSKLHRSLMLSPELYQARSLMGRTEHC IYLCLRNASDPFVRLLPKSSSPVVQVFFLDCRSHTWTNLPSMMLARACAAVGVVDGKI YVVGGVKEPNPRKWVVEVFDPKRQTWSTLSTQPQPQPQTQPDDLYSPSLMPESGVIEV EKTKKIFGLNEKGNVWLYTPSQRIWKTGNSDTNKLRKGWHVIDNVIYSCVAGGWILWC EASELEESAGGEMNWRQVMGLEDLRVALCASRVVNYSRGFTPSEYLDDMLPGHKLSNS GPNMLLFWDCLADWKWEIRCAEISLQRHKGTGEIWGTVEWSEAVTRIDRPLDQYPYHC KILYSQSFNL" gene 16008065..16010392 /locus_tag="BRARA_H01595" /db_xref="Phytozome:Brara.H01595" mRNA join(16008065..16008288,16008386..16008500, 16008912..16009002,16009074..16009219,16009303..16009522, 16009599..16009707,16009794..16009962,16010044..16010392) /locus_tag="BRARA_H01595" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01595" CDS join(16008150..16008288,16008386..16008500, 16008912..16009002,16009074..16009219,16009303..16009522, 16009599..16009707,16009794..16009962,16010044..16010218) /locus_tag="BRARA_H01595" /codon_start=1 /product="hypothetical protein" /protein_id="RID50894.1" /db_xref="Phytozome:Brara.H01595" /translation="MDGGAEGTQQPHLILAHKLFLLTHPDVQDIEKVQLKSDVLDSIK SDGMAPLYETLAASSVLELDQSLLDSMRASNEEELKKLDEKIADAEENLGESEVREAH LAKALYFIRISDKEKALEQLKLTEGKTVAVGQKMDLVFYTLQLAFFYMDFDLVSKSID KAKKLFEEGGDWERKNRLKVYEGLYCMSTRNFKKAASLFLDSISTFTTYEIFPYETFI FYTVLTSIITLDRVSLKQKVVDAPEILTVLGKIPFLSEFLNSLYECQYKAFFSAFAGM AEQIKFDRYLNPHFRFYMREVRTVVYSQFLESYKSVTVDAMANAFGVSVDFIDQELSR FIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL" gene 16010595..16013456 /locus_tag="BRARA_H01596" /db_xref="Phytozome:Brara.H01596" mRNA join(16010595..16010728,16010815..16010943, 16011040..16011234,16011393..16011440,16011518..16011646, 16011730..16011854,16012012..16012122,16012258..16012366, 16012457..16012575,16012754..16012907,16013003..16013150, 16013228..16013456) /locus_tag="BRARA_H01596" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01596" CDS join(16010615..16010728,16010815..16010943, 16011040..16011234,16011393..16011440,16011518..16011646, 16011730..16011854,16012012..16012122,16012258..16012366, 16012457..16012575,16012754..16012907,16013003..16013150, 16013228..16013283) /locus_tag="BRARA_H01596" /codon_start=1 /product="hypothetical protein" /protein_id="RID50895.1" /db_xref="Phytozome:Brara.H01596" /translation="MHAIDFKEIQEKLSDGFRPWQRSFQFWARASDIYTGYKVFQLRV SFVKDVKKQEEMWETQHELAAHKIYAMCSDLGGFFLKVAQILGKPDLAPAAWVRKLVT LCDQAPATPFDSIRLVLEKELGKSIDEVFESFDDKPLGSASIAQVHRARVKGDKRDVV VKVQHPGVEKLMMTDIRNLQIFALYMQKTDIKFDLFSITKEMEKQIGYEFDFKREANA MEKIRHFLYDNNRKSPVLVPRVLPQLVTRRVLVMDYINGIPILRLGDEMAKRGINPRG RMAEAAKLNILSSLSKAYGQMILKSGFFHADPHPGNILICKGSEVALLDYGQVKELPD HLRLGYANLVISMADNNASLASQSFRELGIVTFAKCENEQQELLQLAKTMFDTKMPPG VSVLQPFSEDSSIKKISVEGFPEELFSVLRTVILLRGLSVGIGLNYSCAQQWKPIAEE ALLASGRHSPGRKQKRRFSSLRRLYPRD" gene 16015727..16017482 /locus_tag="BRARA_H01597" /db_xref="Phytozome:Brara.H01597" mRNA join(16015727..16015897,16015994..16016743, 16016843..16017482) /locus_tag="BRARA_H01597" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01597" CDS join(16015788..16015897,16015994..16016743, 16016843..16017203) /locus_tag="BRARA_H01597" /codon_start=1 /product="hypothetical protein" /protein_id="RID50896.1" /db_xref="Phytozome:Brara.H01597" /translation="MQMTKLFISIVSFLLYAHLILSSPVPDPEAIVEEVHKSINASVA ARRKLGYLSCTTGNPIDDCWRCDPHWETNRQRLADCAIGFGKNAIGGRDGRIYVVTDS GNDDPVTPKPGTLRHAVVQDEPLWIIFQRDMTIQLKEELIMNSFKTIDGRGASVHIAG GPCITIQYVTNIIIHGIHIHDCKPGGNAMVRSSPRHYGWRTISDGDGVSIFGGSHVWV DHCSLSNCEDGLIDAIIGSTAITLSNNYMTHHDKVMLLGHSDTYTRDKNMQITIAFNH FGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRFLAPDIRFSKEV TKHEDAPESEWKSWNWRSSGDLLLNGAFFTPSGGATSSSYAKASSLGARPSSLVGPLT VGSGALNCRKGSRC" gene 16018563..16020166 /locus_tag="BRARA_H01598" /db_xref="Phytozome:Brara.H01598" mRNA join(16018563..16019050,16019273..16019374, 16019520..16019801,16019885..16020166) /locus_tag="BRARA_H01598" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01598" CDS join(16018610..16019050,16019273..16019374, 16019520..16019801,16019885..16019947) /locus_tag="BRARA_H01598" /codon_start=1 /product="hypothetical protein" /protein_id="RID50897.1" /db_xref="Phytozome:Brara.H01598" /translation="MASSIVTSSFKPLAMADSSSSTIFSHPSLSTISPRCSFLTNLPL SFSRVSLSLKAKTNLKKSPFVSFVAQTSDWEGEEGGDVSASVAVEKNEPEATFSEGEG DVSEGGDFPEPPEEAKLFVGNLAYDVDSQALAMLFEQAGTVEIAEVIYNRETDQSRGF GFVTMSTVEEAETAVEKFNRYDLNGRLLTVNKAAPRGSRPERQPRVYEPAFRVYVGNL PWDVDNGRLEQVFSEHGKVVEARVVYDRETGRSRGFGFVTMSNETELNDAIAALDGQN MEGRAIRVNVAEERPRRGF" gene complement(16020220..16023713) /locus_tag="BRARA_H01599" /db_xref="Phytozome:Brara.H01599" mRNA complement(join(16020220..16020417,16020492..16021028, 16021121..16021270,16021406..16021660,16021757..16021880, 16021974..16022824,16023583..16023713)) /locus_tag="BRARA_H01599" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01599" CDS complement(join(16020316..16020417,16020492..16021028, 16021121..16021270,16021406..16021660,16021757..16021880, 16021974..16022824,16023583..16023612)) /locus_tag="BRARA_H01599" /codon_start=1 /product="hypothetical protein" /protein_id="RID50898.1" /db_xref="Phytozome:Brara.H01599" /translation="MDSATSLVVKVSYGGVLRRFRVSVNANEQLDLDMAVLRWKVIRL FNFPVDADFSLTYSDEDGDVVALVDNNDLFDVTNQRLKFLKINVQSNTYSVAPEGTRS STASAMPNSLNPVSQIQKGINDETISKVYIDLASKAASSSPVVGELFDCISKLGTLSG PQEGSLLSPVAIPVLSGPYPSREVPSFGEKKSQPGKKPVDLNEPTGFAVSKTSGPVPT SSGIDASFNECPFSGSTVNRSGPNPSNFKKHARRVCHSKKSSNGDYWTSLGVFHKGIR CDGCGVLPITGSRFKSKVKEDYDLCTICFSVMGNEGDYTRMDKPASVQHSHPFRGQLT PISNPWVGHVPQPQHGGLHLRCTRPKLDSRFVLDVNVLDGTVFAPSAQFTKIWKMRNN GSLVWPHGTQIVWIGGDRLSNSLSVDLQIPVEGAPIDSELDVKVDFVAPELPGRYISY WRMASSSGAKFGQRVWVLIHVDASLENFVVNKFHGLNLNASPDENSSSEFTGINHKPT QAGSSSVNSEVVKGADLEGEAAGSKVPEKDDLPVGEAEPATLSPSSSSSSFNMIEFPN MPAVEALGGGSSSTKDIPVDLQEDIEKNDVEITMLKELEEMGFKEIDLNKEILRENEY DLEQSVDALCGVSEWDPILEELQEMGFCDDVTNKKLLKKNNGSIKGVVMDLLTGEKEA " gene 16028871..16029931 /locus_tag="BRARA_H01600" /db_xref="Phytozome:Brara.H01600" mRNA 16028871..16029931 /locus_tag="BRARA_H01600" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01600" CDS 16028971..16029603 /locus_tag="BRARA_H01600" /codon_start=1 /product="hypothetical protein" /protein_id="RID50899.1" /db_xref="Phytozome:Brara.H01600" /translation="MNFEEQEEEMDMSGVHPSGGYDSQSGEGATSSGGGGGGRRTSVG EKTRYRECLKNHAVNIAGHAVDGCCEFMPSGEDGSLDALKCAACCCHRNFHRKETEMI SGRAHIVPTYFNRPPQLTPPGYRQPAASADEEDTSNPSSSGGTKAKRFRTKFTAEQKE KMFAFAERLGWRLQKHDDVAVEQFCGETGIRRQVLKIWMHNNKNSLGKKP" gene 16030472..16031511 /locus_tag="BRARA_H01601" /db_xref="Phytozome:Brara.H01601" mRNA 16030472..16031511 /locus_tag="BRARA_H01601" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01601" CDS 16030617..16030892 /locus_tag="BRARA_H01601" /codon_start=1 /product="hypothetical protein" /protein_id="RID50901.1" /db_xref="Phytozome:Brara.H01601" /translation="MGKAQPVNETAPTSSTRPENACGRPRVEAHPIREHASPGHTTAT GTEKTSPAKTKPPIVSTEHAGPETISPICFAWTLQRRATSKLTRDLL" gene complement(<16031050..>16032745) /locus_tag="BRARA_H01602" /db_xref="Phytozome:Brara.H01602" mRNA complement(join(<16031050..16031213,16032434..16032560, 16032566..>16032745)) /locus_tag="BRARA_H01602" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01602" CDS complement(join(16031050..16031213,16032434..16032560, 16032566..16032745)) /locus_tag="BRARA_H01602" /artificial_location="low-quality sequence region" /codon_start=1 /product="hypothetical protein" /protein_id="RID50900.1" /db_xref="Phytozome:Brara.H01602" /translation="MALAVSHAEKTAVFMDETAKKDPTLKALFTECHKAYLAAVADLK SANVKLKLSPDTAHYDERVAGLVGTNSDNASTTLKEMTVLMDKLLDLAAGAADAVDWA EERESYGFGSPDFPRYSCFPVIGLCLWEEEASPVLLRRLLFFREAVVFAFSGLL" gene 16033991..16034651 /locus_tag="BRARA_H01603" /db_xref="Phytozome:Brara.H01603" mRNA 16033991..16034651 /locus_tag="BRARA_H01603" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01603" CDS 16034369..16034605 /locus_tag="BRARA_H01603" /codon_start=1 /product="hypothetical protein" /protein_id="RID50902.1" /db_xref="Phytozome:Brara.H01603" /translation="MKKFQSLVAIAARMKRTWLMSGGRKLNHVSDLLNHVSDRRSRQC IATLMLSLRVAIYLRLNVSFLGSVIQFYVVCLLV" gene complement(16038211..16040722) /locus_tag="BRARA_H01604" /db_xref="Phytozome:Brara.H01604" mRNA complement(join(16038211..16039195,16039278..16039577, 16039658..16039843,16040221..16040411,16040486..16040722)) /locus_tag="BRARA_H01604" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01604" mRNA complement(join(16038211..16039195,16039278..16039577, 16039658..16039843,16040221..16040722)) /locus_tag="BRARA_H01604" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01604" CDS complement(join(16038521..16039195,16039278..16039577, 16039658..16039843,16040221..16040411,16040486..16040645)) /locus_tag="BRARA_H01604" /codon_start=1 /product="hypothetical protein" /protein_id="RID50904.1" /db_xref="Phytozome:Brara.H01604" /translation="MMTSYKPRNIKNQGKENKRQRRKKQSIVGSSRRTQDDAEYKTPP PPVVVVLEGGFSSEERKPLFYEIELTGKIYIYTALSSSFVFWVPSKFSAFCRFFFSRS MTQTQRVFQAWKGSNKFILGGRLIFGPDARSVPVTVLLIIVPVILFCVFVARHLRHEF SPYNAGYAILVVAILFTIYVLILLSFTSARDPGIVPRNLHPPEEDLRYETTLSADGRQ TPSVQIPRTKEVIVNGVSVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQC IGLRNYRYFFMFVSSATLLCIYVFSISALYIKILMEHQRGTVWMAMKESPWSVALMIY CFIGFWFVGGLTGFHLYLISTNQTTYENFRYRANSRTVAYNRGCANNFLEVFCTKIKP SRNNFRAFVEEEPPRVVTTTTRESEDEAGTRRQKVEDDLDIGDDLMNISQWCNPAEQP HHGLDIDQSMIGVAERAATIRTETRHGSWGSRRSGSWDIEADVSSSNVRESRS" CDS complement(join(16038521..16039195,16039278..16039577, 16039658..16039843,16040221..16040265)) /locus_tag="BRARA_H01604" /codon_start=1 /product="hypothetical protein" /protein_id="RID50903.1" /db_xref="Phytozome:Brara.H01604" /translation="MTQTQRVFQAWKGSNKFILGGRLIFGPDARSVPVTVLLIIVPVI LFCVFVARHLRHEFSPYNAGYAILVVAILFTIYVLILLSFTSARDPGIVPRNLHPPEE DLRYETTLSADGRQTPSVQIPRTKEVIVNGVSVRVKYCDTCMLYRPPRCSHCSICNNC VERFDHHCPWVGQCIGLRNYRYFFMFVSSATLLCIYVFSISALYIKILMEHQRGTVWM AMKESPWSVALMIYCFIGFWFVGGLTGFHLYLISTNQTTYENFRYRANSRTVAYNRGC ANNFLEVFCTKIKPSRNNFRAFVEEEPPRVVTTTTRESEDEAGTRRQKVEDDLDIGDD LMNISQWCNPAEQPHHGLDIDQSMIGVAERAATIRTETRHGSWGSRRSGSWDIEADVS SSNVRESRS" gene complement(16041485..16042888) /locus_tag="BRARA_H01605" /db_xref="Phytozome:Brara.H01605" mRNA complement(join(16041485..16041701,16041804..16041912, 16042011..16042038,16042121..16042272,16042358..16042454, 16042533..16042888)) /locus_tag="BRARA_H01605" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01605" mRNA complement(join(16041485..16041701,16041804..16041912, 16042011..16042038,16042121..16042275,16042358..16042454, 16042533..16042888)) /locus_tag="BRARA_H01605" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01605" CDS complement(join(16041620..16041701,16041804..16041912, 16042011..16042038,16042121..16042272,16042358..16042454, 16042533..16042736)) /locus_tag="BRARA_H01605" /codon_start=1 /product="hypothetical protein" /protein_id="RID50905.1" /db_xref="Phytozome:Brara.H01605" /translation="MEIEVSSSTRLDSIRVQRKTLQNLLQDCQRALQLLNLSDSDRVG QHSDSPDREEELSSSESRDPETDKLYDLIKSRVECHDFRDRLELAKASLLLQDLPADG SSWDVVSEDDILWGDKSMEDDYVVVREEDVADGIACFMVTYLSSLEQTKDISPDQLQK ALSTMFSVKKRKGKLRKAWEGSKVIYNVASWSATAIGIYQNPMILSIASKAFWVSCKA ISKLV" CDS complement(join(16041620..16041701,16041804..16041912, 16042011..16042038,16042121..16042275,16042358..16042454, 16042533..16042736)) /locus_tag="BRARA_H01605" /codon_start=1 /product="hypothetical protein" /protein_id="RID50906.1" /db_xref="Phytozome:Brara.H01605" /translation="MEIEVSSSTRLDSIRVQRKTLQNLLQDCQRALQLLNLSDSDRVG QHSDSPDREEELSSSESRDPETDKLYDLIKSRVECHDFRDRLELAKASLLLQDLPAED GSSWDVVSEDDILWGDKSMEDDYVVVREEDVADGIACFMVTYLSSLEQTKDISPDQLQ KALSTMFSVKKRKGKLRKAWEGSKVIYNVASWSATAIGIYQNPMILSIASKAFWVSCK AISKLV" gene <16043533..16049588 /locus_tag="BRARA_H01606" /db_xref="Phytozome:Brara.H01606" mRNA join(<16043533..16043547,16044287..16044361, 16044445..16044499,16045106..16045182,16045280..16045350, 16045437..16045515,16045598..16045748,16045903..16046019, 16046107..16046184,16046258..16046313,16046394..16046463, 16046535..16046616,16046722..16046965,16047195..16047476, 16047567..16047827,16047898..16047956,16048025..16048169, 16048251..16048364,16048453..16048610,16048698..16048749, 16048813..16048923,16049012..16049588) /locus_tag="BRARA_H01606" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01606" CDS join(16043533..16043547,16044287..16044361, 16044445..16044499,16045106..16045182,16045280..16045350, 16045437..16045515,16045598..16045748,16045903..16046019, 16046107..16046184,16046258..16046313,16046394..16046463, 16046535..16046616,16046722..16046965,16047195..16047476, 16047567..16047827,16047898..16047956,16048025..16048169, 16048251..16048364,16048453..16048610,16048698..16048749, 16048813..16048923,16049012..16049344) /locus_tag="BRARA_H01606" /codon_start=1 /product="hypothetical protein" /protein_id="RID50907.1" /db_xref="Phytozome:Brara.H01606" /translation="MHATLGESSSSSSQPPQQQQNANEQDQEQHGDTCSIPPAQSGNA DSRSRLGWTSWKKRWFILTRTSLVFFRSDPSAVQQRGGEVNLTLGGIDLNNSGSVVVK ADKKLLTVLFPDGRDGRAFTLKADTMEDLQEWKTALENALTQAPSASHVMGQNGIFRN DNAPDAPPVDVDEPKDETPTNLTVFGRPVLLALEEVDGSPSFLEKALRFVEDHGAKTE GILRQAADVDDVNHRIREYEQGRNEFTATEDAHVIGDCLKTVLREMPSSPVPASCCNA LLEACRDRGHRVNAMREAISESFPEPNRRLLQRILMMMLVVASNKNVNRMNTNAVAAC MAPLLLRPLLHGDCEIENDFDVGGDGSVQLLQAAAAANHAQAIVITLLEEYDSIFGTL TSSYMYGMWMQEGSLSAGLYSDSEESGSGSEEGTDDEEYDDDGTQGSDDYTDEEEELE DESEGSYTESEASVDHHGDDIDNDDDHKARSSTKINDNFSESKSPKGSMKPQVTKKLL SGSNRSSLPRHDDTRKDDNKGSDNRDVKAGEVSKTEDRNSSMKEPSTLSSASGESKRH WGRAHGKRNLSMESIDFSAEVDEADADVERLETTKSELQNKITEEVKNNAVLHSSLER RKKALYERREALEKDVERLQEQLQQERDKKAALESGLNMSKRNQPIPETTDVKLKKDL QDVAQAEDDITSLEHKVDDLENRLGQQDVKASSGSKESRRSPEHNAKMKEKQKDTEAA ASNTTLKEGQGDARGNEIEKQQDPRGKSSQKVAGTSKRSGSKGEGNTTTSALSKLTMR LNFLKERRSQIANELSNMDKGKSSSGQPSPSSGQKKSPQESERGTGSNQNQNQDSDSS KLNSPHVLDRGRSDNGGDRSRGSGGGNHPSTTPRTFSR" gene complement(16052020..16055172) /locus_tag="BRARA_H01607" /db_xref="Phytozome:Brara.H01607" mRNA complement(join(16052020..16052325,16052422..16052495, 16052587..16052647,16052727..16052814,16052902..16052996, 16053112..16053216,16053302..16053402,16053622..16053748, 16053897..16054025,16054099..16054215,16054289..16054492, 16054607..16054701,16054975..16055172)) /locus_tag="BRARA_H01607" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01607" CDS complement(join(16052227..16052325,16052422..16052495, 16052587..16052647,16052727..16052814,16052902..16052996, 16053112..16053216,16053302..16053402,16053622..16053748, 16053897..16054025,16054099..16054215,16054289..16054492, 16054607..16054701,16054975..16055035)) /locus_tag="BRARA_H01607" /codon_start=1 /product="hypothetical protein" /protein_id="RID50908.1" /db_xref="Phytozome:Brara.H01607" /translation="MMISQFFVVSQRGDNIVFRDYRAEVPKGSTETFFRKVKFWKEDG NAEAPPIFNVDGVNYFHVKVVGLYFVATTRVNVSPSLVLELLQRIARVIKDYLGVLNE DSFRKNFVLVYELLDEVIDFGYVQTSSTEVLKSYVFNEPILIAPARLQPIDPAAIFTQ GSKRMPGTAVTKSVVANDPGGRRREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKS YLSGNPEIRLALNEDLNIGRGGASVYDYRSSSGSGVILDDCNFHESVRLDSFDSDRTL SLVPPDGEFPVMNYRMTQEFKPPFHVNTLIEEAGRLKAEVIIKIRAEFPSDVVANTIT IQMPLPNYTSRASFELELGAAGQKTDFKETSKMLEWSFKKIVGGAEHTLRAKLTFSQE FHGNITKEAGPVSMTFTIPMYNVSKLQVKYLQIAKNSSSQNPYRWVRYVTQANSYVAR I" gene complement(16055715..16057214) /locus_tag="BRARA_H01608" /db_xref="Phytozome:Brara.H01608" mRNA complement(join(16055715..16056021,16056662..16056712, 16056786..16056925,16057058..16057214)) /locus_tag="BRARA_H01608" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01608" CDS complement(join(16055881..16056021,16056662..16056712, 16056786..16056914)) /locus_tag="BRARA_H01608" /codon_start=1 /product="hypothetical protein" /protein_id="RID50909.1" /db_xref="Phytozome:Brara.H01608" /translation="MATFELYRRSTIGMCLTETLDEMVQSGTLSPELAIQVLVQFDKS MTEALESQVKTKVSIKGHLHTYRFCDNVWTFILQDAMFKIDDRQENVSRVKIVACDSK LLTQ" gene complement(16067542..16069153) /locus_tag="BRARA_H01609" /db_xref="Phytozome:Brara.H01609" mRNA complement(join(16067542..16067881,16067956..16068085, 16068160..16068201,16068603..16068701,16068796..16069153)) /locus_tag="BRARA_H01609" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01609" CDS complement(join(16067670..16067881,16067956..16068085, 16068160..16068201,16068603..16068701,16068796..16069020)) /locus_tag="BRARA_H01609" /codon_start=1 /product="hypothetical protein" /protein_id="RID50910.1" /db_xref="Phytozome:Brara.H01609" /translation="MGSQGGSIVRKPRFLCLHGFRTSAEIMKIQLHKWPKAVIDRLDL VFLDAPFPCQGKSDVDGIFDPPYYEWFQFNEEFIEYENFENCLEYLEDRMIKLGPFDG LIGFSQGGILSGGLPGLQAKGIALQKVPKIKFIIIIGGAMFRSTKVVEDAYSFSIDTP SLHFLGETDFLKPYGIKLIESFKNPVVVHHPKGHIVPRIDEKSLEKVTAFLETIENLV MMEEEDKDGEENICSPI" gene complement(16070662..16071566) /locus_tag="BRARA_H01610" /db_xref="Phytozome:Brara.H01610" mRNA complement(16070662..16071566) /locus_tag="BRARA_H01610" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01610" CDS complement(16070794..16071453) /locus_tag="BRARA_H01610" /codon_start=1 /product="hypothetical protein" /protein_id="RID50911.1" /db_xref="Phytozome:Brara.H01610" /translation="MSSSLKQRNSTSSQPNDTDQEPPESPPPQLRRQRSTSHQAMSQT LTSAANLANLLPTGTLLAFQLLIPVFTTNGSCEYATRVLTLVLLTLLSISCFLSSFTD SVKAEDGNVYYGFATRKGMWVFDYPDPSGLGLPDLSKYKIRFVDWIHAVLSMLVFGAV AMRDNNAVSCFYPAPEQETKKVLDIVPVGVGVICSLLFLVFPTRRHGVGYPVTGDGGR R" gene complement(16071882..16075621) /locus_tag="BRARA_H01611" /db_xref="Phytozome:Brara.H01611" mRNA complement(join(16071882..16072752,16072837..16073003, 16073399..16073585,16073666..16073936,16074048..16074286, 16074655..16074919,16075157..16075621)) /locus_tag="BRARA_H01611" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01611" mRNA complement(join(16071882..16072752,16072837..16073003, 16073399..16073585,16073666..16073936,16074048..16074286, 16074655..16074922,16075157..16075621)) /locus_tag="BRARA_H01611" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01611" CDS complement(join(16072057..16072752,16072837..16073003, 16073399..16073585,16073666..16073936,16074048..16074286, 16074655..16074918)) /locus_tag="BRARA_H01611" /codon_start=1 /product="hypothetical protein" /protein_id="RID50912.1" /db_xref="Phytozome:Brara.H01611" /translation="MALRLPASKAAEVAIGSIGCGYDLAIDVRLKYCKGGSKESRLLD IKDGDDSCDIVLPGGISIPNVSKSIKCDKGERMRFSSDVLSFQQMAEQFNQELSLAGK IPSGLFNAMFEFSGCWQKDAAYTKNLAFDGIFISFYTVALDKSHMLLRDHVKQAVPST WDPAALARFIDTYGTHIIVGVKMGGKDVIYAKQQHSSKLQPDELQKRLKEVADKRFVE ASGVQNMASDRMHPSSKVEAKEQRLRFADTNSLGSYANKEDIVFMCKRRGGNDNRNLM HNDWLQTVQTEPDAISMSFIPITSLLNGVPGSGFLSHAINLYLRYKPPITELHQFLEF QLPRQWAPVFSELPLGPQRKQQSCASLQFSFFGPKLYVNTTPVDVGKRPITGMRLYLE GRRSNRLAIHLQHLSSLPKIFHLEDDPNKSMRQASHDRRYYEKVNWKNYSHVCTAPVE ADDDLSVVTGAQLHVESHGFKNVLFLRLCFSKVMGATSVKNSEWDEAVGFAPKSGLIS TLISHHFTAAAQKPPPRPADVNINSAIYPGGPPVPVQAPKLLKFVDTSEMTRGPQESP GYWVVSGARLLVEKGKISLKVKYSLLTAIMEDEVIEESYGG" CDS complement(join(16072057..16072752,16072837..16073003, 16073399..16073585,16073666..16073936,16074048..16074286, 16074655..16074918)) /locus_tag="BRARA_H01611" /codon_start=1 /product="hypothetical protein" /protein_id="RID50913.1" /db_xref="Phytozome:Brara.H01611" /translation="MALRLPASKAAEVAIGSIGCGYDLAIDVRLKYCKGGSKESRLLD IKDGDDSCDIVLPGGISIPNVSKSIKCDKGERMRFSSDVLSFQQMAEQFNQELSLAGK IPSGLFNAMFEFSGCWQKDAAYTKNLAFDGIFISFYTVALDKSHMLLRDHVKQAVPST WDPAALARFIDTYGTHIIVGVKMGGKDVIYAKQQHSSKLQPDELQKRLKEVADKRFVE ASGVQNMASDRMHPSSKVEAKEQRLRFADTNSLGSYANKEDIVFMCKRRGGNDNRNLM HNDWLQTVQTEPDAISMSFIPITSLLNGVPGSGFLSHAINLYLRYKPPITELHQFLEF QLPRQWAPVFSELPLGPQRKQQSCASLQFSFFGPKLYVNTTPVDVGKRPITGMRLYLE GRRSNRLAIHLQHLSSLPKIFHLEDDPNKSMRQASHDRRYYEKVNWKNYSHVCTAPVE ADDDLSVVTGAQLHVESHGFKNVLFLRLCFSKVMGATSVKNSEWDEAVGFAPKSGLIS TLISHHFTAAAQKPPPRPADVNINSAIYPGGPPVPVQAPKLLKFVDTSEMTRGPQESP GYWVVSGARLLVEKGKISLKVKYSLLTAIMEDEVIEESYGG" gene <16078279..>16078949 /locus_tag="BRARA_H01612" /db_xref="Phytozome:Brara.H01612" mRNA join(<16078279..16078561,16078592..16078692, 16078914..>16078949) /locus_tag="BRARA_H01612" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01612" CDS join(16078279..16078561,16078592..16078692, 16078914..16078949) /locus_tag="BRARA_H01612" /codon_start=1 /product="hypothetical protein" /protein_id="RID50914.1" /db_xref="Phytozome:Brara.H01612" /translation="MDSYLSFTNVVAAAISFYALFGTMVSNRKSRSHQENKTMASSML APWSSLPPNWKHHVFPNFHSADVCKNVLSHIVSEFKSKAVALFIDNDIERTIRGSRIM IVMLSKNSSSTWCLNELVEIMKCIKEHAQVAHMRWYV" gene <16081059..>16081694 /locus_tag="BRARA_H01613" /db_xref="Phytozome:Brara.H01613" mRNA <16081059..>16081694 /locus_tag="BRARA_H01613" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01613" CDS 16081059..16081694 /locus_tag="BRARA_H01613" /codon_start=1 /product="hypothetical protein" /protein_id="RID50915.1" /db_xref="Phytozome:Brara.H01613" /translation="MDSYFSLANLVAAAICFLALLGTIIIYSKSRPLQENKTMASSLT LSAPPSPLPHNWKHHVFPSFHGADVRRNFLSHIVKEFKSKGINLFIDNDIERSKSIGP ELIEAIVGSRIGIVLLSKNYASSTWCLNELVEIIKCRKAFGQTVMVLFYEVDPTHVKK QTGDFGKVFRKTCRGKEKEEIRRWKHALTEVAQIAGYHSSNWLVLSTPTCS" gene <16081887..>16084949 /locus_tag="BRARA_H01614" /db_xref="Phytozome:Brara.H01614" mRNA join(<16081887..16082996,16083099..16083374, 16083498..>16084949) /locus_tag="BRARA_H01614" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01614" CDS join(16081887..16082996,16083099..16083374, 16083498..16084949) /locus_tag="BRARA_H01614" /codon_start=1 /product="hypothetical protein" /protein_id="RID50916.1" /db_xref="Phytozome:Brara.H01614" /translation="MIEDIATDVSNKLNLSAPCSDLEGLVGMQSHMVNMGPFLQLDSE EVRKIGILGQPGIGKTTIARYIFNTYSREFQLSVFMDNIKRKYATTACSDDYSVKLDL QKHFMSQLTNVTDINNFSHLGIAKHRLKDKKVLVVLDDVDRLVQVEAFAKETNWFGPG TRIIITTQDQRVLKASGINHIHKVNFPSDDEALQMFCMYAFDQKYPKDGFKELACEVV SLVDRLPLGLKVMGSYFRGSFEQEWTEALPKLRTHLDRDGEIASILKFSYDSLCDEDK RLFLHIACFFNCEKVGIVEDCLAKCFSDVRHGRGVLAEKSLISINMDWGTIEMTKLLV QLGRKIVREQSVNEPGKRQFLNDAIEICEVLSDDRADSSSVIGINVETYEDVECTSER AFERLYNLQFLRILGKGVNPQSINHISQKLKVLIWLNSEMTCFPSNFNPKFLVKLEMM NSKLKKLWEETKPLNNLKWMNLSRSKRLEELPDLSTATNLYDLDLSYCSSLVKLPSSI GNAINLQSLHLSFCSNLVEIPPSIGNAVNIKKISLIHCSSLVEIPTSITTITSLTSLD ISGCSSLMKLPDNIETFTEPNHINLSGCSSLVEIPSSIVNAKNLQKLDLSNCLSLVEL PSSIGNAINLQKLNLSHCSSLVELPFSIGNATNLQELNLSHCSSLVELPSSIGNATNL EELNLSHCSSVVELPFSIGSAINLQKLDLSRCSSLVKLPSSIGNAINFHKLNLSDDWS SMVELPFFMRNLDRLLKCSKSEVLPADNNFQSINLSDESSFKICPDQSSTIIRELDPW IWRISHLRTLVLNGMKKLVSLPPLPDSLLFLDAEDCESLERLDCSFDNPDICLNFVNC FKLNQEARDLISLTPTNGYAVFPGTEVPQCFTYRSSGSSLTVKLIQKSLGISTKFKAC ILSADLEGNNFPHWSQASVCCGIIKISRHLE" gene complement(16091483..16094532) /locus_tag="BRARA_H01615" /db_xref="Phytozome:Brara.H01615" mRNA complement(join(16091483..16092049,16092135..16092533, 16092639..16092828,16092935..16093033,16093124..16093266, 16093354..16093433,16093526..16093778,16093864..16094532)) /locus_tag="BRARA_H01615" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01615" CDS complement(join(16091636..16092049,16092135..16092533, 16092639..16092828,16092935..16093033,16093124..16093266, 16093354..16093433,16093526..16093778,16093864..16094439)) /locus_tag="BRARA_H01615" /codon_start=1 /product="hypothetical protein" /protein_id="RID50917.1" /db_xref="Phytozome:Brara.H01615" /translation="MASSAAQIHVLGGIGFATTSSKRNLNSKTTLIPRSAFFGTRTGP FSTPTSAFLRINTRNSPGGSRYSVGPVRVVNEKVVGIDLGTTNSAVAAMEGGKPTIVT NAEGQRTTPSVVAYTKSGDRLVGQIAKRQAVVNPENTFFSVKRFIGRRMNEVDEEAKQ VSYRVVKDDNGNVKLECPAIGKQFAAEEISAQVLRKLVDDASRFLNEKVTKAVVTVPA YFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFERKSNETILVFDLGGGTFDVS VLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLASNFKKDEGIDLLKDKQALQRLTEA AEKAKIELSSLTQTNMSLPFITATADGPKHIETTLTRAKFEELCSDLLDRCKTPVENS LRDAKLSFKDIDEVILVGGSTRIPAVQEVVRKLTGKEPNVTVNPDEVVALGAAVQAGV LAGDVSDIVLLDVTPLSIGLETLGGVMTKIIPRNSTLPTSKSEVFSTAADGQTSVEIN VLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVSASDKGTGKKQ DITITGASTLPKDEVEQMVQEAERFAKDDKEKRDAIDTKNQADSVVYQTEKQLKELGE KIPGEVKEKVEAKLQELKDKIGNGSTQEIKDTMAALNQEVMQIGQSMYNQPGAGAGAG AGAGAGAGSSPGGEGDSSSSKGGDDVIDADFTDSN" gene complement(16097847..16099371) /locus_tag="BRARA_H01616" /db_xref="Phytozome:Brara.H01616" mRNA complement(join(16097847..16099158,16099227..16099371)) /locus_tag="BRARA_H01616" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01616" CDS complement(join(16098025..16099158,16099227..16099265)) /locus_tag="BRARA_H01616" /codon_start=1 /product="hypothetical protein" /protein_id="RID50918.1" /db_xref="Phytozome:Brara.H01616" /translation="MSWWWAGAIGAAKKKFDEDEPTQTYESVALIIGVTGIVGNSLAE ILPLSDTPGGPWKVYGVARRPRPTWNADHPIDYIQCDVSDEEDARSKLSPLRDVTHVF YVTRTNRSSEVDNCKVNGSMLRNVLRAVVPNAPNLRHVCLQTGTKHYLGPFDSVGKDV DRHEAPFTEEMPRLKIENFYYTLEDVLSEEIKTKESVTWSVHRPNTIFGFSPYSLMNI VGTLCVYAAICKHEGSKLVFPGSKEAWEGFTTASDADLVAEQQIWAAVDPYAKNEAFN CSNADVFKWKHLWKILAEQFGIEEYGFEEGKNVGLVEMMKGKESVWEEMLKENQLQEK KLDEVGVWWFADVVLGVDGMIDSMNKSKEYGFLGFRNSNNSFISWIDKYKAFKIVP" gene <16100318..>16104201 /locus_tag="BRARA_H01617" /db_xref="Phytozome:Brara.H01617" mRNA join(<16100318..16100384,16100534..16100651, 16100727..16100880,16100963..16101043,16101105..16101216, 16101295..16101421,16101505..16101643,16101734..16101796, 16102709..16102802,16102866..16103007,16103082..16103232, 16103311..16103715,16103796..>16104068) /locus_tag="BRARA_H01617" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01617" CDS join(16100318..16100384,16100534..16100651, 16100727..16100880,16100963..16101043,16101105..16101216, 16101295..16101421,16101505..16101643,16101734..16101796, 16102709..16102802,16102866..16103007,16103082..16103232, 16103311..16103715,16103796..16104068) /locus_tag="BRARA_H01617" /codon_start=1 /product="hypothetical protein" /protein_id="RID50919.1" /db_xref="Phytozome:Brara.H01617" /translation="MRKKTIVSVMFLFSLLFLLPEQGRKLHANAEESSNDVTDPPKVE EKLGGHGGLSTDSDVVHRESESISKKSLRSSAEKFEFQAEVSRLMDIIINSLYSNKDI FLRELISNASDALDKIRFLALTDKDVLGEGDTAKLEIQIKLDKAKKILSIRDRGIGMR KEDLIKNLGTIAKSGTSAFVEKMQSSGDLNLIGQFGVGFYSAYLVADYIEVISKHNDD IQHVWESKADGKFAVSEDTWNEPLGRGTEIRLHLRDEAGEYLEESKLKDLVKRYSEFI NFPIHLWASKETIKKKLIRKALDMIRKLAEEDPDEVHDDDKKDMEKSGENDEKKGQYT KFWKDFGKSIKLGIIEDASNRNRLAKLLRFETTKSDGKLTSLDQYIKRMKKGQKDVFY IIGSSKQQLEKSPFLERLIKKGYEVIFFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKV GKDSKVKELKEAFKELTKWWKESLAGENVDDVKISNRLADTPCIVVTSKFGWSANMER IMQSQTLSDAKKQAYMRGKRVLEINPRHPIIKELKDRVASHPEDKSVKETAQLMYQTA LIESGFILNDPKDFAGRIYNSVKSSLKISPDAVAEEEVEADETETSEEATETKSDGGL NIEAEPVEKETPTKDEL" mRNA join(16102683..16102802,16102866..16103007, 16103082..16103232,16103311..16103715,16103796..16104201) /locus_tag="BRARA_H01617" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01617" CDS join(16102745..16102802,16102866..16103007, 16103082..16103232,16103311..16103715,16103796..16104068) /locus_tag="BRARA_H01617" /codon_start=1 /product="hypothetical protein" /protein_id="RID50920.1" /db_xref="Phytozome:Brara.H01617" /translation="MIRKLAEEDPDEVHDDDKKDMEKSGENDEKKGQYTKFWKDFGKS IKLGIIEDASNRNRLAKLLRFETTKSDGKLTSLDQYIKRMKKGQKDVFYIIGSSKQQL EKSPFLERLIKKGYEVIFFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKVGKDSKVKEL KEAFKELTKWWKESLAGENVDDVKISNRLADTPCIVVTSKFGWSANMERIMQSQTLSD AKKQAYMRGKRVLEINPRHPIIKELKDRVASHPEDKSVKETAQLMYQTALIESGFILN DPKDFAGRIYNSVKSSLKISPDAVAEEEVEADETETSEEATETKSDGGLNIEAEPVEK ETPTKDEL" gene complement(16113667..16114512) /locus_tag="BRARA_H01618" /db_xref="Phytozome:Brara.H01618" mRNA complement(16113667..16114512) /locus_tag="BRARA_H01618" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01618" CDS complement(16114089..16114403) /locus_tag="BRARA_H01618" /codon_start=1 /product="hypothetical protein" /protein_id="RID50921.1" /db_xref="Phytozome:Brara.H01618" /translation="MALKKSNKVAASQAASLKKILKRCSSLGKKNQSNCYFNDVPKGH FPVYVGQQRSRYVVPISWLDHPEFQTLLQLAEEEFGFEHDMGLTIPCDEVVFQSLISM FR" gene 16130248..16131068 /locus_tag="BRARA_H01619" /db_xref="Phytozome:Brara.H01619" mRNA 16130248..16131068 /locus_tag="BRARA_H01619" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01619" CDS 16130296..16130781 /locus_tag="BRARA_H01619" /codon_start=1 /product="hypothetical protein" /protein_id="RID50922.1" /db_xref="Phytozome:Brara.H01619" /translation="MFSSSPTSFAHTLLSSPPLSPVSPPSRTTKISPLLASASCSYTC AEDSPRLHQLQRRLTTATASLYEILEVPHGASPQDIKSAYRRLARICHPDVAGLDRTS SSSSGDEFMKIHAAYCTLSDPEKRSLYDRRMLRRSRPLTVSTSGMGSYVGRNWETDQC W" gene <16136397..>16139568 /locus_tag="BRARA_H01620" /db_xref="Phytozome:Brara.H01620" mRNA join(<16136397..16136622,16136658..16137147, 16139418..>16139568) /locus_tag="BRARA_H01620" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01620" CDS join(16136397..16136622,16136658..16137147, 16139418..16139568) /locus_tag="BRARA_H01620" /codon_start=1 /product="hypothetical protein" /protein_id="RID50923.1" /db_xref="Phytozome:Brara.H01620" /translation="MGQSQNSLFLFLLLVFIYGVSSTTFTVVNQCSYTVWPGLLSGAG TAPLPTTGFSLNPTETRVIPIPAAWSGRIWGLYFCVTGDCGSSAVECSGSGAAPPATL AEFTLNGAGGLDFYDVSLVDGYNIPMTIVPQGGVSGNCTTTGCVAELNGPCPAQLKVA TTGTEGVACKSACEAFGTPEYCCSGAFGTPDTCKPSEYSLFFKNACPRAYSYAYDDGT STFTCGGADYVITFCPSPNPSVKSATKGGGLETEAVSYSAASPNASPTLSTTVFSIGV LVVAFRAVQRLW" gene complement(16141398..>16142825) /locus_tag="BRARA_H01621" /db_xref="Phytozome:Brara.H01621" mRNA complement(join(16141398..16141821,16142009..16142086, 16142217..>16142825)) /locus_tag="BRARA_H01621" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01621" CDS complement(join(16141750..16141821,16142009..16142086, 16142217..16142825)) /locus_tag="BRARA_H01621" /codon_start=1 /product="hypothetical protein" /protein_id="RID50924.1" /db_xref="Phytozome:Brara.H01621" /translation="MFSSAKHQRNHRVSAPNKNKILNKVPSISSSSSPSHSQVTMEEV WKDINLASIHHLNHHSQHPQHNHEPRFRSHNQNPNSIFQDFLNRPLNQEPTIPTSLSG DTTTVTALFSSSPLAPPATVLSLNSSACFEFLDNQDPLVTPNSNLHSHNHLRNVCSLD TPFEALVTSTSFGKKRGQESNEGSGNRRHKRMIKNRESAARSRAYTNELELKLAHLKA ENARLKRQQDQLKMVAANQQPKKNTLQRSSTAPF" gene complement(16145642..16149657) /locus_tag="BRARA_H01622" /db_xref="Phytozome:Brara.H01622" mRNA complement(join(16145642..16146227,16146401..16146472, 16147761..16147851,16147946..16148072,16148148..16148655, 16148993..16149657)) /locus_tag="BRARA_H01622" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01622" CDS complement(join(16145979..16146227,16146401..16146472, 16147761..16147851,16147946..16148072,16148148..16148655, 16148993..16149460)) /locus_tag="BRARA_H01622" /codon_start=1 /product="hypothetical protein" /protein_id="RID50925.1" /db_xref="Phytozome:Brara.H01622" /translation="MASAATNNSTSSPSLSPRHVSDYPRHVSESTRHVSSPTAAQSRR QVSSPWTQIVRGESEPPPTIAAAATSKPPIEPIASAAPPAALLTVEAAAGEDKSEGNA GKKPAWSRPSNGASEIGPVMGASSWPALSEATKAPSNKPSSDSSIGDVPSSVSQGAAS ASVPAPKQVGRANNPNPTLNNSRQRSFKRNGASGSTANGTASQPSAQGSLVEGTSHNP SPRGQNQKNGFASQPHGGADNQRDSHRNQNGNHHHQNHGGRRNQEHGNQNWNFHRSFN GRDGNAQSPRGAPAFVRYAPPPPPPPPVQAIPPQFMAAQSFGSPVPYPPELAPPFYPG MPFVAPLSPGPVFYHVQDPPLNIKLQNQIHYYFSEENLIKDTYLRDQMDDQGFAPLHV IAGFRKVAELTDSIQEIVEALQGSPFVEVQGDRIRKRHNWQLWLIPSPQSVDAVASRV GNLSIGQSSAEPIGGSGSQLQPPEAENKAVADGQPQSSGADPVSNRNGSGGANR" gene complement(<16153812..>16156366) /locus_tag="BRARA_H01623" /db_xref="Phytozome:Brara.H01623" mRNA complement(join(<16153812..16156124,16156205..>16156366)) /locus_tag="BRARA_H01623" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01623" CDS complement(join(16153812..16156124,16156205..16156366)) /locus_tag="BRARA_H01623" /codon_start=1 /product="hypothetical protein" /protein_id="RID50926.1" /db_xref="Phytozome:Brara.H01623" /translation="MHRSFSPAATPPPLSSSSSSSPPPSSGDLPEIPDAWYGNIQYLL NISMIGLLCCYLLNISMIGLLCCVSIFLFLKLRSDHRRMPGPSALLSKLLAVWSATCR EIARHCGADAAQFLLIEGGSFVLLFSIALLALSVMLPLNLYAGTAVLSDELSKTMITH IKKGSALLWLHFVFVVVVVVISHFGISAIEARLKFTRFRDGNGNVSDPNADSMAVFNV MVQGLPKNLGSDRVEFEECFRQKYPGKVYKIIVPMDLCALDDLATELVRVRDEITWLV AKMDSRLLPDEFENSGDEGVLSYVFALWAKVKVLWSGVTERFGFTDDEKLRKLQELRA DLESQLAFYKEGRAQGAGVAFVVFKDVYTANKAVQDFRNERSRRTGKFFSVTELRLQR NQWKVERAPLATDIYWNHLGLTKIALIVRRVIVNTILLLILVFFSSPLALISALVSAG RIFNAEALDSAQSWLTWVQTSGWIGSLIFQFMPNVFIFVSMYIIIPSALSYLSKFERH LTVSGEQRAALLKMVCFFLVNLIVLKALVESSLESAFLKMSRCYLDGEDCKRIEEYVS PSFLSRSCVSALAFLITSTFLGISFDLLAPIPWIKKKIQKFRKNDMLQLVPEQNEEYP LENQSNLETPLLPENMFESPRFGDVEPMSQDLAEYPISRTSPIPKQKFDFAQYYAFNL TIFALTMIYSSFAPLVVPVGAVYFGYRYIVDKYNFLYVYRVRGFPAGNEGKLMDTVLC IMRFCIDLYIVSMLFFFSVKGDSTKLQAIFTLGLLVMYKLLPSDTERYQPALLRSIQT VDSIVDGPVDYEAYSQPNFDWDTYNR" gene 16158311..16163508 /locus_tag="BRARA_H01624" /db_xref="Phytozome:Brara.H01624" mRNA join(16158311..16158438,16158546..16158650, 16158730..16158890,16159190..16159282,16159368..16159553, 16159665..16159826,16159958..16160102,16160213..16160355, 16160435..16160491,16160586..16160665,16160742..16160817, 16161064..16161249,16161346..16161413,16161493..16161611, 16161695..16161816,16161911..16162009,16162105..16162584, 16162684..16162782,16162865..16163107,16163196..16163508) /locus_tag="BRARA_H01624" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01624" CDS join(16158435..16158438,16158546..16158650, 16158730..16158890,16159190..16159282,16159368..16159553, 16159665..16159826,16159958..16160102,16160213..16160355, 16160435..16160491,16160586..16160665,16160742..16160817, 16161064..16161249,16161346..16161413,16161493..16161611, 16161695..16161816,16161911..16162009,16162105..16162584, 16162684..16162782,16162865..16163107,16163196..16163264) /locus_tag="BRARA_H01624" /codon_start=1 /product="hypothetical protein" /protein_id="RID50927.1" /db_xref="Phytozome:Brara.H01624" /translation="MASENPFRSILKTLEKPDGGEFGNYYSLPALNDPRIDKLPYSIR ILLESAIRNCDEFQVKSKDVEKILDWENTSPKQVEIPFKPARVLLQDFTGVPAVVDLA CMRDAMNNLGGDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFQRNNERFAF LKWGSNAFDNMLVVPPGSGIVHQVNLEYLARVVFNTNGLLYPDSVVGTDSHTTMIDGL GVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLRDGMTATDLVLTVTQMLRKHG VVGKFVEFYGEGMRELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETV SMIEAYLRANKMFVDYSEPESKTVYSANLELNLEDVEPCISGPKRPHDRVPLKEMKAD WHSCLDNRVGFKGFAVPKEAQSKAVEFNFNGTPAQLRHGDVVIAAITSCTNTSNPSVM LGAALVAKKACELGLEVKPWIKTSLAPGSGVVTKYLAKSGLQKYLNQLGFSIVGYGCT TCIGNSGDIHEAVASAIVDNDLVASAVLSGNRNFEGRVHPLTRANYLASPPLVVAYAL AGTVDIDFETEPIGTGKDGKQIFFRDIWPSNKEVAEVVQASVLPDMFKATYEAITKGN SMWNELSVASGTLYEWDPKSTYIHEPPYFKGMTMSPPGPHGVKDAYCLLNFGDSITTD HISPAGSIHKDSPAAKYLMERGVDRRDFNSYGSRRGNDEIMARGTFANIRIVNKHLKG EVGPKTVHIPSGEKLSVFDAAMKYKNEGRDTIILAGAEYGSGSSRDWAAKGPMLLGVK AVISKSFERIHRSNLVGMGIIPLCFKTGEDAETLRLTGEELYTIELPSNVSEIKPGQD VTVITNNGKSFTCTLRFDTEVELAYFNHGGILQYVIRNLIKQ" gene complement(<16163756..>16166927) /locus_tag="BRARA_H01625" /db_xref="Phytozome:Brara.H01625" mRNA complement(join(<16163756..16163829,16163898..16164085, 16164175..16164304,16164447..16164544,16164617..16164714, 16166561..16166651,16166728..>16166927)) /locus_tag="BRARA_H01625" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01625" CDS complement(join(16163756..16163829,16163898..16164085, 16164175..16164304,16164447..16164544,16164617..16164714, 16166561..16166651,16166728..16166927)) /locus_tag="BRARA_H01625" /codon_start=1 /product="hypothetical protein" /protein_id="RID50928.1" /db_xref="Phytozome:Brara.H01625" /translation="MAKKPYHLRHQPRKSSSTTTQAFTVIILLLFFIMILLGLGILSL PNTSRNSSRPVDLTTIIRDSEERESYGNEDGNGDRWVEVISWEPRAFLYHNFMTNEEC EHLISLAKPSMKKSKVVDVKTGGSKDSRVRTSSGTFLKRGQDEIVEEIENRISDFTFI PVENGEGLQVLHYEVGQKYEPHHDYFSDEYNVKRGGNRIATVLMYLSDVEEGGETVFP AAKGNISDVPWWNELSQCGREGLSVLPKKRDALLFWSARPDASLDPSSLHGGCPVIKG NKWSSTKWFHFNEYTA" gene 16169639..16177129 /locus_tag="BRARA_H01626" /db_xref="Phytozome:Brara.H01626" mRNA join(16169639..16169941,16170039..16170302, 16170409..16170711,16170794..16170952,16171093..16171475, 16171550..16172027,16172120..16172406,16172508..16172766, 16173077..16176138,16176254..16176325,16176580..16176764, 16176858..16177129) /locus_tag="BRARA_H01626" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01626" CDS join(16169858..16169941,16170039..16170302, 16170409..16170711,16170794..16170952,16171093..16171475, 16171550..16172027,16172120..16172406,16172508..16172766, 16173077..16176138,16176254..16176325,16176580..16176745) /locus_tag="BRARA_H01626" /codon_start=1 /product="hypothetical protein" /protein_id="RID50929.1" /db_xref="Phytozome:Brara.H01626" /translation="MDTRFPFSPAEVSKVRVVQFGILSPDEIRQMSVIHVEHSETTEK GKPKVGGLSDTRLGTIDRKVKCETCMANMAECPGHFGHLELAKPMYHVGFMKTVLSIM RCVCFNCSKILADEDEHKFKQAMKIKNPKNRLKKILDACKNKTKCEGGDDIDDVQTQD TDEPVKKSRGGCGATQPKITIEGMKMIAEFKVTKKKNDEIDQLPEPAERKQTLGADRV LSVLKRISDEDCQLLGFNPKYARPDWMILEVLPIPPPPVRPSVMMDATSRSEDDLTHQ LAMIIRHNENLKRQEKNGAPAHIISEFTQLLQFHIATYFDNELPGQPRATQKSGRPIK SICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPTINIDELGVPWSIALNLTYPETVT PYNIERLKELVDYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHL IDGDFVLFNRQPSLHKMSIMGHRIRIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQS FETRAEVLELMMVPKCIVSPQANRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNTLMW WQDFDGKVPAPTILKPRPLWTGKQVFNLIIPKQINLFRYSAWHSDAETGYITPGDTQV RIERGELLAGTLCKKTLGTGNGSLVHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFT IGIGDTIADSQTMEKINETISCAKTAVKDLIRQFQEKKLDPEPGRTMTETFENRVNQV LNKARDDAGSSAQKSLAETNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGF DGRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYI QRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKTEFDR TFKYEIDDENWNPTYLSDEHLEDLKGIRELRDVFDAEYQKLEADRFQLGTEIATNGDS TWPLPVNIKRHIWNAQKTFKIDLRKISDMHPVEIVDAVDKLQERLLVVPGEDGLSVEA QKNATLFFNILLRSTLASKRVLEEYKLSREAFEWVIGEIESRFLQSLVAPGEMIGCVA AQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKRIKTPSLSVYLTPEASK SKEGAKTVQCALEYTTLRSVTQATEVWYDPDPMSTIIEEDFEFVRSYYEMPDEDVSPD KISPWLLRIELNREMMVDKKLSMADIAEKINLEFDDDLTCIFNDDNAEKLILRIRIMN DEGAKGEAQDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKQVRKSKFDEDEGFK TSEEWMLDTEGVNLLAVMCHEDVDPKRTTSNHLIEIIEVLGIEAVRRALLDELRVVIS FDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAAY AETDCLRGVTENIMLGQLAPIGTGDCELYLNDEMLKNAIELQLPSYMDGLEFGMTPAR SPMSGTPYHESMMSPNYLLSPNMRLSPMSDAQFSPYVGGMAFSPSSSPGYSPSSPGYS PTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPT SPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPAYSPTSPAYSPTSPSYSPTSP SYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYGPTSPSY NPQSAKYSPSLAYSPSNARLSPASPYSPTSPNYSPTSPSYSPTSPSYSPSSPTYSPSS PYSSGASPDYSPSAGYSPTLPGYSPSSTGQYTPHEGDKNDKTGKDASKDDKSNP" gene 16187452..16191986 /locus_tag="BRARA_H01627" /db_xref="Phytozome:Brara.H01627" mRNA join(16187452..16188414,16188832..16188948, 16189133..16189327,16189687..16190055,16190163..16190310, 16190428..16190576,16190645..16190785,16190934..16191134, 16191581..16191986) /locus_tag="BRARA_H01627" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01627" CDS join(16187494..16188414,16188832..16188948, 16189133..16189327,16189687..16190055,16190163..16190310, 16190428..16190576,16190645..16190785,16190934..16191134, 16191581..16191877) /locus_tag="BRARA_H01627" /codon_start=1 /product="hypothetical protein" /protein_id="RID50930.1" /db_xref="Phytozome:Brara.H01627" /translation="MPENVSEDVILLHGDLDLKIVQARRLPNRDTFSERMRRCFKPCN SCIKPTTDDYDGEASSDDDDENIPGLPRNTSDPYVTVSVPHATLARTHVLKNASDPVW NRHFKVSVAHPLSYLKFKVKDYDVSGAQTIGTVRIPVLQIASGERISGWFPVLGGSGK PPKKETALRIDLKFTSFDKIQTNKTLGGVMGTYFPLRKGSQVRLYQDAHVMDGMLPEI RLDNGDVYQHGKCWEDICHAICEAHHMIYIVGWSVFHKVKLVREPTRELPRGGDLTLG ELLKYKSEEGVRVLLLVWDDKSSRDKFGISTPGVMGTHDEETRKFFKHSSVKCILSPR YASNKLGLFKQQVVGTLFTHHQKCVLVDTQAVGSNRKVTAFIGGIDLCDGRYDTPEHR IFHDLDTVFKDDFHNPTFPSGAIAPRQPWHDMHCRLDGPAAYDVLINFEQRWRKATRW KEFNLKGKTLWLDDSLLRIGRISWILNPKFKYRIDGVLDVPEDDPVVYVSNEDDPENW HVQVFRSIDSGSVKGFPKCENEAEALHLQYDKRLVVDKSIQTAYIQIIRSAQHFIFIE NQYFLGSSYAWPDYNDAGADNLIPMELALKITSKIRAKERFAVYVVIPMWPEGDPKSG PMQEILYWQSQTMQMMYDVIARELKSHQSNAHPLDYLNFYCLGKREQLPDDMPATNVT DSYKFQRFMIYVHAKGMIVDDEYVLMGSANINQRSMAGTKDTEIAMGAYQPHHTWANK GKHPRGQVYGYRMSLWAEHLGKTGDEFVEPGDLKCVKNVNEIAERNWRKFIDSEFSEL QGHLIKYPLHVDIDGNVTSLPGYDSFPDVGGKIIGDHSKAIPDTLTT" gene 16193052..16195102 /locus_tag="BRARA_H01628" /db_xref="Phytozome:Brara.H01628" mRNA join(16193052..16193113,16193205..16193227, 16193486..16193623,16193720..16193743,16193826..16193925, 16194001..16194150,16194520..16194589,16194670..16195102) /locus_tag="BRARA_H01628" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01628" CDS join(16193111..16193113,16193205..16193227, 16193486..16193623,16193720..16193743,16193826..16193925, 16194001..16194150,16194520..16194589,16194670..16194887) /locus_tag="BRARA_H01628" /codon_start=1 /product="hypothetical protein" /protein_id="RID50931.1" /db_xref="Phytozome:Brara.H01628" /translation="MADSPNEERDSRSPPPPRKEQPRSRSRSRSRSMPRDRSRSRSLP RHVSPSRTRGRSRSRSRGRSEIENPGTTLYVTGLSTRVTEKDLEAYFSKEGKVASCVL VLEPRTRESRGFAFVTMDSVKDAERCIKYLNHSVLEGRYITVERSRRKRPRTPTPGHY LGLKSSRDNDRDSRSSRGRHYDRDDSGHRRSPPRRDLSPRDHGRRSPRDHGRSSRRDR SYSPRGRSPERRSERRYQPRGSR" gene <16195428..>16196431 /locus_tag="BRARA_H01629" /db_xref="Phytozome:Brara.H01629" mRNA join(<16195428..16195535,16195650..16195845, 16196247..>16196431) /locus_tag="BRARA_H01629" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01629" CDS join(<16195428..16195535,16195650..16195845, 16196247..16196431) /locus_tag="BRARA_H01629" /codon_start=1 /product="hypothetical protein" /protein_id="RID50932.1" /db_xref="Phytozome:Brara.H01629" /translation="IDRSEIENPGNTLYVTGLSTKVTDKDLEAHFSKQGNVASCVLML DPHTRESRGYAFVTMDSLRDAERCIKYLNKTLLDGRYIKVEKVTENSCSTASGADAIK KDGDGHSSRGKHDDPDDSGHRWLPRRHNSPRDERKSPRRDHSPGGGRSREKRYHQPSG LK" gene complement(16197370..16199188) /locus_tag="BRARA_H01630" /db_xref="Phytozome:Brara.H01630" mRNA complement(join(16197370..16197741,16197836..16197913, 16197997..16198124,16198248..16198452,16198959..16199188)) /locus_tag="BRARA_H01630" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01630" mRNA complement(join(16197370..16197741,16197836..16197913, 16197997..16198124,16198248..16198452,16198755..16198880, 16198959..16199188)) /locus_tag="BRARA_H01630" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01630" CDS complement(join(16197499..16197741,16197836..16197913, 16197997..16198124,16198248..16198452,16198959..16199084)) /locus_tag="BRARA_H01630" /codon_start=1 /product="hypothetical protein" /protein_id="RID50933.1" /db_xref="Phytozome:Brara.H01630" /translation="MANKESSELGNLPTSPMAKRSRTCVEERLPLFIALRYRELAYGT NLKFKHLEKHSTELTGYFSYYITLEATDPATGSVCSFQTQFSDAGSRISLGTRITWFT IASRIKQIPNEPVDDEWEEEDTPGINEFYKGPMPKWFSDEALERDSKKYYVVPESELH DNDWLQLLMEVAFFSKADRRLDAYLPLELNSVVVETLEDYTTEPSEKLKADNAIFYIS YKCCSDPSTPLAGDHRAVVRKTMDGKPGHMCLEVALTKEQE" CDS complement(join(16197499..16197741,16197836..16197913, 16197997..16198124,16198248..16198452,16198755..16198880, 16198959..16199084)) /locus_tag="BRARA_H01630" /codon_start=1 /product="hypothetical protein" /protein_id="RID50934.1" /db_xref="Phytozome:Brara.H01630" /translation="MANKESSELGNLPTSPMAKRSRTCVEERLPLFIALRYRELAYGF DVNYNKQLPPRLVPVPSTWSKNYVIGLYGRIGLQCYNLQKGTNLKFKHLEKHSTELTG YFSYYITLEATDPATGSVCSFQTQFSDAGSRISLGTRITWFTIASRIKQIPNEPVDDE WEEEDTPGINEFYKGPMPKWFSDEALERDSKKYYVVPESELHDNDWLQLLMEVAFFSK ADRRLDAYLPLELNSVVVETLEDYTTEPSEKLKADNAIFYISYKCCSDPSTPLAGDHR AVVRKTMDGKPGHMCLEVALTKEQE" gene 16204471..16208353 /locus_tag="BRARA_H01631" /db_xref="Phytozome:Brara.H01631" mRNA join(16204471..16204784,16205007..16205121, 16205212..16205303,16205379..16205495,16205666..16205720, 16205854..16205964,16206117..16206167,16206341..16206513, 16206579..16206686,16206771..16206844,16206940..16207115, 16207279..16207374,16207466..16207546,16207621..16207674, 16207755..16207889,16207983..16208353) /locus_tag="BRARA_H01631" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01631" CDS join(16204582..16204784,16205007..16205121, 16205212..16205303,16205379..16205495,16205666..16205720, 16205854..16205964,16206117..16206167,16206341..16206513, 16206579..16206686,16206771..16206844,16206940..16207115, 16207279..16207374,16207466..16207546,16207621..16207674, 16207755..16207889,16207983..16208054) /locus_tag="BRARA_H01631" /codon_start=1 /product="hypothetical protein" /protein_id="RID50935.1" /db_xref="Phytozome:Brara.H01631" /translation="MAIKDETEESCGSRAVVSVTKENPRQQRMKLEVYGDVLQRIQES NYEEANLPDFDDHLWLHFNRLPARYAMDVNVERAEDVLTHQRLLKLAEDPATRPVFEV RCVQVSPSLNGHSTDTDASDPAVKEDAQSSYHSSRVLAPPTFGSSPNFEAFTQAYKHH AEDDDSAVNAQFPNSRPMHEITFSTIDKPKLLSQLTALLGELGLNIQEAHAFSTADGF SLDVFVVDGWSQEETKGLKDALKKEILKLKDQPSSRQKSITFFEHDKSTNELLPACVE IPTDGTDEWEIDMKQLKIEKKVACGSYGELYKGTYCSQEVAIKILKPERVNTEMLREF SQEVYIMRKVRHKNVVQFIGACTRSPNLCIVTEFMARGSIYDFLHKQKGVFKLQSLLK VALDVSKGMNYLHQNNIIHRDLKTANLLMDEHDVVKVADFGVARVQTQSGVMTAETGT YRWMAPEVIEHKPYDHRADVFSYAIVLWELLTGELPYSYLTPLQAAVGVVQKGLRPKI PKQTHPKLTELLEKCWQQDPAQRPDFAEIKEMVTQLLHEVGDEEHQKGKRSGYFSGLR KGHH" gene <16208693..>16209135 /locus_tag="BRARA_H01632" /db_xref="Phytozome:Brara.H01632" mRNA join(<16208693..16208791,16208896..>16209135) /locus_tag="BRARA_H01632" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01632" CDS join(16208693..16208791,16208896..>16209135) /locus_tag="BRARA_H01632" /codon_start=1 /product="hypothetical protein" /protein_id="RID50936.1" /db_xref="Phytozome:Brara.H01632" /translation="MMASFVSASSPKLYFSFHELPPSSSTRYPRRFESSVPEDAVDSA PSLSSSTSSSTSEVFINSPRYNWFTVLGGIGMLDTAYLTYLKLTGSDAFCPVGGGTCG DVLNSDYALVF" gene complement(<16210730..>16219200) /locus_tag="BRARA_H01633" /db_xref="Phytozome:Brara.H01633" mRNA complement(join(<16210730..16210839,16211321..16211492, 16211575..16211987,16212062..16212134,16213552..16213737, 16213773..16213859,16215313..16215391,16217829..16218063, 16218138..16218210,16218533..16218648, 16219080..>16219200)) /locus_tag="BRARA_H01633" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01633" CDS complement(join(16210730..16210839,16211321..16211492, 16211575..16211987,16212062..16212134,16213552..16213737, 16213773..16213859,16215313..16215391,16217829..16218063, 16218138..16218210,16218533..16218648,16219080..16219200)) /locus_tag="BRARA_H01633" /codon_start=1 /product="hypothetical protein" /protein_id="RID50937.1" /db_xref="Phytozome:Brara.H01633" /translation="MHDDPESFVLRIYRKYALADAIVVVAANNAKRPLVLLTPKDIAA STTTNRTKGIGASELEVSQVRGVQHSDTAEYGEPVVDHEKVQREGWHDKEAPVAAQGY HRTCWTKASSGNISDARFHRGIDETRTRYSSTFLLDVVDHSKARMRLSTSFGEHSCYG YYTFRAMEYIMNNGIPKTSDWRTLHYLKGQPIIRTIAVFLPEFADIRDEHVRRRRLRE ILEMHVFTEESMRHKHVIPARMRLSTSFGEHSCYGYSTFRAMEYIMNNRIPKSSDWRF SRKCSDYVGRLPSEANRVHVLNDVDHEKVQREGCHDKEAPVAAQGYHRTCWTKASSGN ISDARFHRGIDETRTRYSSTFLLVDVDHSKIRMRLSTSFGEHSCYGYSTFRAMEYIMN NGIPKSSDWKFSRKCSDYVGRRPSEANRVHVFNDVRCFKDVNRALHYLKGQPIIGTIA VFLPEFADIRDKIYRGPTSCTSTFADWHAVSIEKFYILDGEVIADCKNSHGRGHRVGG YFKASLDVLIGFSLVDDDDDGAESTPSSGSDDEHLIKNLLAQMTNI" gene 16223671..16224742 /locus_tag="BRARA_H01634" /db_xref="Phytozome:Brara.H01634" mRNA join(16223671..16223897,16223981..16224245, 16224342..16224742) /locus_tag="BRARA_H01634" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01634" CDS join(16223774..16223897,16223981..16224245, 16224342..16224561) /locus_tag="BRARA_H01634" /codon_start=1 /product="hypothetical protein" /protein_id="RID50938.1" /db_xref="Phytozome:Brara.H01634" /translation="MSTQISEIEQEQLLEKLEIFKIHGRDKRRRKILRIIGKFFPARL LSLDVLKKYLEEKIFPRLGRKPFSILYVHTGVQRSENFPGISALRAIYDAIPVNVRDN LQEVYFLHPGLQSRLFLATCGRFLFSGGLYGKLRYVSRVDYLWEHVRRNEIEMPEFVY DHDDDLEYRPMMDYGQESDHARVYAGAAVDSPVSSFSMRCIS" gene complement(16224743..16228925) /locus_tag="BRARA_H01635" /db_xref="Phytozome:Brara.H01635" mRNA complement(join(16224743..16225342,16225420..16225526, 16225592..16225710,16225790..16225887,16225970..16226097, 16226175..16226240,16226331..16226408,16226481..16226630, 16226718..16226721,16226850..16226946,16227027..16227179, 16227278..16227366,16227444..16227527,16227609..16227643, 16227745..16227885,16228329..16228412,16228493..16228500, 16228602..16228925)) /locus_tag="BRARA_H01635" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01635" CDS complement(join(16224925..16225342,16225420..16225526, 16225592..16225710,16225790..16225887,16225970..16226097, 16226175..16226240,16226331..16226408,16226481..16226630, 16226718..16226721,16226850..16226946,16227027..16227179, 16227278..16227366,16227444..16227527,16227609..16227643, 16227745..16227885,16228329..16228412,16228493..16228500, 16228602..16228860)) /locus_tag="BRARA_H01635" /codon_start=1 /product="hypothetical protein" /protein_id="RID50939.1" /db_xref="Phytozome:Brara.H01635" /translation="MKKSPLPMQNVNRSDKNVAGKEALVKLLRWHFGHGDFRGKQLEA IQAVVSGRDCFCLMPTGGGKSICYQIPALAKPGIVLVVSPLIALMENQVMALKEKGIA AEYLSSTQATHVRNKIHEDLDSGKPSVRLLYVTPELIATKGFMLKLRKLHDRGLLNLI AIDEAHCISSWGHDFRPSYRQLSTLRDSLTDVPVLALTATAAPKVQKDVIDSLSLRNP LVLKSSFNRPNIFYEVRYKDLIDNAYTDLCNMLKSCGKICAIIYCLERTTCDDLSLHL TSTGISSAAYHAGLNSHLRSTVLDDWLSSKKQVIVATVAFGMGIDKKDVRMVCHFNVP KSMESFYQESGRAGRDQLPSRSVLYYGVDDRKKMEFLLRNSENKKSPSSSSKKPTSDF EQIVRYCEGSGCRRKKILESFGEEFPVQQCKKTCDACKHPNQVARSLEELTTTASRRH NSSRVFITSSSDNKTNEGQYSEFWNRNEDGSNSDEEISDSDDGADVVKSLAGPKLSRK LGVDEKLVLLERAEEKYNESNKQVKKSEKNAISETLRESSKQRLLNELTKVLQLLGVK EIDSQNASEFLESECYRKYSKAGKSFYYSQIASTVRWLGTASRDELMTRLSLMAREEE PSGEPILVTEPSQNIEQEDGTTYTAEPQVDEPTQLLVTSPSRSPISLPEIPSFSEFVN RRKMKHSTEGSDGKKPAKIMKLK" gene complement(<16231495..>16232565) /locus_tag="BRARA_H01636" /db_xref="Phytozome:Brara.H01636" mRNA complement(<16231495..>16232565) /locus_tag="BRARA_H01636" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01636" CDS complement(16231495..16232565) /locus_tag="BRARA_H01636" /codon_start=1 /product="hypothetical protein" /protein_id="RID50940.1" /db_xref="Phytozome:Brara.H01636" /translation="MSKSVEWSGLPEELVDEIAGRLFSKVELHRVRSICKPWRSASSI HKRYPKRHDRNRVRVLSPLSNINSCLLSPAAFFRVFLSSCRNKGWLIKTQDVSETSKK LLHPLSRVPMDSSQQTLDLLEYTVTEIHQSYDVTKSISYNFARVVLMDKFVFGVNDKK EIWWCNSEESNDGNNNVWTRVSDEEAEYFSDIIVHKGQIYALDLNSAIWWISLSELKI FQYGPSTPMDYYEFDDCKDKRLVEFCGELCIIHRFCKTFRVRRVNVERTTGFKVYKMN MELVEWVEVKSLGDNAFVMATDSCFSVVASDYYGCLEDAIYFTEKKDVNNVSVFKLGE GTVTKLVESFENCFHMFYPPFV" gene complement(16234286..16236339) /locus_tag="BRARA_H01637" /db_xref="Phytozome:Brara.H01637" mRNA complement(join(16234286..16235036,16235119..16235259, 16235337..16235508,16235592..16235695,16235901..16236033, 16236122..16236339)) /locus_tag="BRARA_H01637" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01637" CDS complement(join(16234413..16235036,16235119..16235259, 16235337..16235508,16235592..16235695,16235901..16236033, 16236122..16236213)) /locus_tag="BRARA_H01637" /codon_start=1 /product="hypothetical protein" /protein_id="RID50941.1" /db_xref="Phytozome:Brara.H01637" /translation="MTAAGVASEKTKKLFKLSLSLFRLGFNSSKCKTAAKMAVARIKL LRNKRQVVVKQMRRDIALLLQSGQDATARIRVEHVIREQNILAANEIIELFCELIVSR LAIITKQKECPVDLKEGIASLIFAAPRCSEIPELEDLKDIFEKKYGRDFVSAATELRP TCGVNRMLVVKLSVTRPEGEFKLKVMKEIAKEFQVDWDTKETELELLKPQEETIDGPR AFVSASSLPVERASQDPIDPSINTHYHDTESAAEAAAELAKQAVEAAHVAALLANRRD STKEDHYHLGSTRHSLDSETSSYYAKPYVRRHSCNNPFVNNEADHKEESEAKEAVRRR HSYNSPPLPPPATSEIKFDESDYEEETEPEEGLLQSRASSLPPNRAPPQAPQSRRDSS GHQVHPKLPDYDTLAARFEAIRHSKGPLI" gene complement(16243718..16245064) /locus_tag="BRARA_H01638" /db_xref="Phytozome:Brara.H01638" mRNA complement(16243718..16245064) /locus_tag="BRARA_H01638" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01638" CDS complement(16244035..16245000) /locus_tag="BRARA_H01638" /codon_start=1 /product="hypothetical protein" /protein_id="RID50942.1" /db_xref="Phytozome:Brara.H01638" /translation="MYASSLSPRLHKAYKARCVSLPVRLHPSVRRIQEVVSRVRALGS SSLESRTMVRDGLSGLTEIYRCLSEDLFKSSSETQQALLNSGLMDELLEVSLKYLEVC GGAKDGASRIKKSVVELQSALRRSKKGGEFSLEGDVDAYLATRKEIKKEIKKYMVMSK ETEACLESSVWCGGRDDQDMSALVRVMQETSVITCFVLRTVLSFLSSPKGLKSKNHHQ HRGWGIVMKLVKKGIDHHHHEKEFSCLELEAMEAELGKVVVVTTREDQEEEKEISEEV SEMIQCAVVRSKDVEAAMEELEEGLEGLFKVMIQARVSLLNILST" gene complement(<16246401..>16247177) /locus_tag="BRARA_H01639" /db_xref="Phytozome:Brara.H01639" mRNA complement(join(<16246401..16246883,16246890..>16247177)) /locus_tag="BRARA_H01639" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01639" CDS complement(join(16246401..16246883,16246890..16247177)) /locus_tag="BRARA_H01639" /artificial_location="low-quality sequence region" /codon_start=1 /product="hypothetical protein" /protein_id="RID50943.1" /db_xref="Phytozome:Brara.H01639" /translation="MANMIVQEQFRSTSLPSRSHPSTFGIEGTLSKVETINATTSSSE SILMGLASLEELYDCVEEFLKMGSTQRVMSSDGSEFVEEMLDGSLRLMDTCSRDLMVE TQEHVRDLQSCVRRNKVAGGGGDQLDVAVSSYVGLRKNMRKEAKKLLGSLKKIDGGSS SYDNEHLVAVIDVMRRVVSVSVVVLKSLLVFLPGTQSNMKTKLASLLVKKKYNHDATC KNELETLDYAICEDFSSHDDLQKKLVEVEVCIGGFEKS" gene complement(<16248485..>16248703) /locus_tag="BRARA_H01640" /db_xref="Phytozome:Brara.H01640" mRNA complement(<16248485..>16248703) /locus_tag="BRARA_H01640" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01640" CDS complement(16248485..>16248703) /locus_tag="BRARA_H01640" /codon_start=1 /product="hypothetical protein" /protein_id="RID50944.1" /db_xref="Phytozome:Brara.H01640" /translation="SKVGQFLFRYLFQASLYAIWTEWNGRKFGEAHTSAAGLIKTIDK QIGNRISSLKTRKDSIYQKAIVTWFSFR" gene complement(<16249961..>16251683) /locus_tag="BRARA_H01641" /db_xref="Phytozome:Brara.H01641" mRNA complement(join(<16249961..16250235,16250333..16250411, 16250504..16250743,16251171..>16251683)) /locus_tag="BRARA_H01641" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01641" CDS complement(join(16249961..16250235,16250333..16250411, 16250504..16250743,16251171..16251683)) /locus_tag="BRARA_H01641" /codon_start=1 /product="hypothetical protein" /protein_id="RID50945.1" /db_xref="Phytozome:Brara.H01641" /translation="MAKRSVSILTRLLTNPSSPFTAPTRSITYMPRPGDGAPRTVTLI PGDGIGPLVTGAVEQVFEAMHAPVHFERYEVRGHMRKVPEEVMESVKRNKVCLKGGLA TPVGGGVSSLNMQLRKELDIFASLVNCINVPGLVTRHENVDIVVIRENTEGEYAGLEH EVVPGVVESLKVITKYCSERIARYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCR EVAKAYPGITYNEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLIANTAAGIAGGTGVMP GGNVGAEHAIFEQGASAGNVGNDKIVEQKKANPMALLLSSAMMLKHLQFPTFADRLEI AVKQVIQEGKWRTKDLGGDCTTQEVVDAVIKALD" gene complement(<16253328..>16254629) /locus_tag="BRARA_H01642" /db_xref="Phytozome:Brara.H01642" mRNA complement(<16253328..>16254629) /locus_tag="BRARA_H01642" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01642" CDS complement(16253328..16254629) /locus_tag="BRARA_H01642" /codon_start=1 /product="hypothetical protein" /protein_id="RID50946.1" /db_xref="Phytozome:Brara.H01642" /translation="MAASTNSFLVGNNTTQMIPAFKPKSTSQSLLHLTKPNTFHLAGK TKPASVRCVSSPTQIQDGARSAPTGSQDRVFNFAAGPATLPENVLLKAQTDLYNWRGS GMSVMEMSHRGKEFLSIIQKAESDLRHLLEIPSEYSVLFLQGGATTQFAGLPLNLCKP DDTVDFVVTGSWGDKAVKEAKKYCKTNVVWSGKSDKYTKVPSFEGLEQSPDAKYLHIC ANETIHGVEFKEYPVVRNPNGFLVADMSSNFCSKPVDVSKFGVIYGGAQKNVGPSGVT IVIIRKDLIGNAQEITPVMLDYKIHDENSSLYNTPPCFGIYMCGLVFEDLLEQGGLKE VERKNVKKADLLYNAIEESKGFFKCPVEKSVRSLMNVPFTLEKSELEGEFIKEAAKEK MVQLKGHRSVGGMRASIYNAMPLAGVEKLVAFMKDFQAKHA" gene complement(<16255320..>16257485) /locus_tag="BRARA_H01643" /db_xref="Phytozome:Brara.H01643" mRNA complement(join(<16255320..16255693,16255973..16256096, 16256187..16256320,16256411..16256546, 16257105..>16257485)) /locus_tag="BRARA_H01643" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01643" CDS complement(join(16255320..16255693,16255973..16256096, 16256187..16256320,16256411..16256546,16257105..16257485)) /locus_tag="BRARA_H01643" /codon_start=1 /product="hypothetical protein" /protein_id="RID50947.1" /db_xref="Phytozome:Brara.H01643" /translation="MGLCVSHFFSSSPSKMTNNLSNGANFWSTIDKTNSSVGRSNQFL GAVSDGPGQILESTNVKIYSFLDLKTATMNFKPDLLLGQGGFGEVYRGWIDAETLAPS IAGSGMTIAVRRLSSENVHDFEDWQTVVNFLGMLSHPNLVKLLGHCREGKELLLVYEF MSKGSLSDHLFQRTEPLPWDVRIKIMIGAARGLAFLHGSQRTVIYREFNPSNILLDSN YEAKISDLGLAKFGSSQANSHVTIRIMETYGYVAPEYVATGHLYVKSDVYGFGVVLLE IMTGLRACDRKRPHGQENLVDWLRPKLSSKSKVKHIMDKGIKGQYSSKVATEMGHITF SCTEPDPRKRPHMMEVLDVLEHIQHINLVVADPSSTKPVIASSSHSSP" gene complement(16258958..16262654) /locus_tag="BRARA_H01644" /db_xref="Phytozome:Brara.H01644" mRNA complement(join(16258958..16259583,16259859..16259982, 16260103..16260236,16260308..16260443,16261848..16262218, 16262368..16262654)) /locus_tag="BRARA_H01644" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01644" CDS complement(join(16259144..16259583,16259859..16259982, 16260103..16260236,16260308..16260443,16261848..16262218, 16262368..16262431)) /locus_tag="BRARA_H01644" /codon_start=1 /product="hypothetical protein" /protein_id="RID50948.1" /db_xref="Phytozome:Brara.H01644" /translation="MGHCISSLSSSSSSKTGLHSHASTNNHSNGTEFSSSTTTTLATT NSSVGLRSQFSEAASEYSGGIIGDSGLILESPALRVYSFQDLTTATKNFRSDSMLGQG GFGKVYRGWIDTKTLAPSKAGSGMIAAVKRLNSESVQGFAEWRSEVNFLGMLSHPNLV KLLGYCREDKELLLVYEFMPKGSLESHLFRRNEPFPWDLRIKIVLGAARGLAFLHGLQ REVIYRDFKASNILLDSNFDAKLSDFGLAKFGPSQEKSHVTTRIMGTFGYAAPEYMAT GHLYVKSDVYAFGVVLLEVMTGERAYNPKRPKGQENLVEWLRPELLRKHRVKHIMDQG IKGQYSSKVVAEMGRITLSCVSPDPKNRPHMKEVVDVLERIQCINVVTDCSSTKPTVS SSSRSSPRHYQYGYRAGAPGVERRRPAART" gene <16265714..>16265896 /locus_tag="BRARA_H01645" /db_xref="Phytozome:Brara.H01645" mRNA <16265714..>16265896 /locus_tag="BRARA_H01645" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01645" CDS 16265714..16265896 /locus_tag="BRARA_H01645" /codon_start=1 /product="hypothetical protein" /protein_id="RID50949.1" /db_xref="Phytozome:Brara.H01645" /translation="MSLFTSFLSCFVPKSSSKISSIDGSIPKGLSLEKPKSKSESLRA PIIVSYFPVGSMLSRL" gene 16273517..16273932 /locus_tag="BRARA_H01646" /db_xref="Phytozome:Brara.H01646" mRNA 16273517..16273932 /locus_tag="BRARA_H01646" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01646" CDS 16273531..16273701 /locus_tag="BRARA_H01646" /codon_start=1 /product="hypothetical protein" /protein_id="RID50950.1" /db_xref="Phytozome:Brara.H01646" /translation="MSPLASFFGCFVPKSSAKISSTNDSNSKVLSLEKPKSPRAPVIV SYFPAGSNLSRL" gene <16274649..>16275634 /locus_tag="BRARA_H01647" /db_xref="Phytozome:Brara.H01647" mRNA join(<16274649..16274808,16274982..16275464, 16275567..>16275634) /locus_tag="BRARA_H01647" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01647" CDS join(16274649..16274808,16274982..16275464, 16275567..16275634) /locus_tag="BRARA_H01647" /codon_start=1 /product="hypothetical protein" /protein_id="RID50951.1" /db_xref="Phytozome:Brara.H01647" /translation="MMELDNQQQPNPMKVMTDEQLETLRKQIAIYATICERLVEMHKT LTSQQDLAAGGRMGGLYTDTSICQKMTARQRWTPTPMQLQILERLFDQDTGTPSKQKI KDLTEELSQHGQIAEQNICNWFQNRRARSKRKQHCGVGSSNNKNGEGEVETETETLNE KRKRPESLLVQTDGNNNNNDIGTATATSPRPEDLCFQSPEMSSDLHLLGVQSNTRDEH LVESYNLYDHVEDYDMSG" gene 16278961..16279832 /locus_tag="BRARA_H01648" /db_xref="Phytozome:Brara.H01648" mRNA 16278961..16279832 /locus_tag="BRARA_H01648" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01648" CDS 16279065..16279646 /locus_tag="BRARA_H01648" /codon_start=1 /product="hypothetical protein" /protein_id="RID50952.1" /db_xref="Phytozome:Brara.H01648" /translation="MTRSSRFLGTESAPPPPEEILAAETDMIVILSALLCALICVAGL AAVARCAWIRRLAGVNSSAVGESPPPNKGLKKKALQSLPKSTFTAADSPSSSSGEGES TSECAICLMEFSDGEEIRILPLCRHAFHVACIDKWLTSRSSCPSCRRILVPVKCDRCG HHDSTAETHGKDQPPRHQHPSQFSSANIPSFLP" gene complement(16285604..16287912) /locus_tag="BRARA_H01649" /db_xref="Phytozome:Brara.H01649" mRNA complement(join(16285604..16285888,16286374..16287108, 16287561..16287912)) /locus_tag="BRARA_H01649" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01649" CDS complement(join(16285818..16285888,16286374..16287108, 16287561..16287879)) /locus_tag="BRARA_H01649" /codon_start=1 /product="hypothetical protein" /protein_id="RID50953.1" /db_xref="Phytozome:Brara.H01649" /translation="MNCMHRSRFLIKSLLSRARTIARLGSSTLSQPQPPSLSSTVMSR LETHNTKLCIVGSGPAAHTAAIYAARAELKPLLFEGWMANDIAPGGQLTTTTDVENFP GFPQGILGAELTDKFRKQSERFGTTIFTETVTKVDFTSKPFKLFTESRAVLADAVILA TGAVAKRLSFPGSGEGSGGFWNRGISACAVCDGAAPIFRDKPLAVIGGGDSAMEEANF LTKYGSKVYIIHRRDAFRASKIMQQRALANPKINVIWNSTVVEAYGEGEGGVLGGVKV KNVVTGEVLDLKVSGLFFAIGHEPATKFLDGTVKLDSDGYVVTKLGSTQTSVVGVFAA GDVQDKKYRQAVTAAGTGCMAALDAEHYLQEIESQQGKSD" gene complement(16288130..16290393) /locus_tag="BRARA_H01650" /db_xref="Phytozome:Brara.H01650" mRNA complement(join(16288130..16288428,16288526..16288665, 16288914..16289006,16289133..16289301,16289399..16289547, 16289636..16289863,16289942..16290075,16290162..16290221, 16290308..16290393)) /locus_tag="BRARA_H01650" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01650" CDS complement(join(16288329..16288428,16288526..16288665, 16288914..16289006,16289133..16289301,16289399..16289547, 16289636..16289863,16289942..16290075,16290162..16290198)) /locus_tag="BRARA_H01650" /codon_start=1 /product="hypothetical protein" /protein_id="RID50954.1" /db_xref="Phytozome:Brara.H01650" /translation="MSSNPDKNLSPSDDKPESEQKSTKPESTASGSSPSSANPPPMNL NAFDFSNMAGILNDPSIRELAEQIAKDPAFNQLAEQLQRSIPNAAQGGGAGGGGFPNI DPQQYVSTMQQVMHNPEFQTMAERLGKALVQDPQMSPFLEAFSNAETAEHLTERMARM KEDPELKPILDEIDAGGPSAMMKYWNDKDVLKKLSEAMGMPIAGLPDQAASAEAEVAE EEGEEEEESIVHQTASRGDVEGLKNALASGGNKDEEDSEGRTALHFACGYGELKCAQV LIDAGASVNAVDKNKNTPLHYAAGYGRKECVSLLLENGAAVTLQNLDEKTPIDVAKLN NQLEVVKLLEKDAFL" gene <16291479..16293168 /locus_tag="BRARA_H01651" /db_xref="Phytozome:Brara.H01651" mRNA join(<16291479..16291596,16291676..16291845, 16291933..16292121,16292211..16292373,16292451..16292643, 16292738..16293168) /locus_tag="BRARA_H01651" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01651" CDS join(16291479..16291596,16291676..16291845, 16291933..16292121,16292211..16292373,16292451..16292643, 16292738..16292885) /locus_tag="BRARA_H01651" /codon_start=1 /product="hypothetical protein" /protein_id="RID50956.1" /db_xref="Phytozome:Brara.H01651" /translation="MDQTKGKVCVTGASGFLASWLVKRLLLEGYEVTGTVRDPGNEKK LAHLWKLEGAKERLRLVKADLMEDGSFDNAIMGCHGVFHTASPVLKPTSNPEEEILKP AIEGTLNVLRSCRKNQSLKRVVLTSSSSTVRIRDDFDPNIPLDESVWTSVELCKRFQV WYALSKTLAEQAAWKFCEENSIDLVTVLPSFLVGPSLPPDLCSTASDVLGLLKGETEK FQWHGQMGYIHIDDVARTHILVFEQEAAKGRYICSSKVVSLEELVSFLSTRYPSLPIP KRFKKLNRLHYDLDTSKIKSLGLEFKPLEEMFDDCIASFVEQGYLSHVVS" gene complement(16292738..16295811) /locus_tag="BRARA_H01652" /db_xref="Phytozome:Brara.H01652" mRNA complement(join(16292738..16293168,16293266..16293360, 16293444..16293492,16293576..16293635,16293737..16293858, 16293963..16294004,16294098..16294146,16294268..16294339, 16294455..16294555,16294662..16294737,16294935..16295014, 16295103..16295214,16295293..16295359,16295423..16295811)) /locus_tag="BRARA_H01652" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01652" CDS complement(join(16293120..16293168,16293266..16293360, 16293444..16293492,16293576..16293635,16293737..16293858, 16293963..16294004,16294098..16294146,16294268..16294339, 16294455..16294555,16294662..16294737,16294935..16295014, 16295103..16295214,16295293..16295359,16295423..16295519)) /locus_tag="BRARA_H01652" /codon_start=1 /product="hypothetical protein" /protein_id="RID50955.1" /db_xref="Phytozome:Brara.H01652" /translation="MEYRKIKDDDDEVQEDNDVASDLESLKGKSHTVASSNIALATLG GYTERTTWKRKGVVTCALTILTSSQAILIVWSKRAGKYEYSVTTANFLVETLKCALSL LALTRIWKNDAVTDDNRLSTTYDEVKVFPIPAALYLFKNLLQYYIFAYVDAPGYQILK NLNIISTGVLYRIILKKKLSEIQWAGFILLCCGCTTAQLNSNSDRVLQTSLPGWIMAI IMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYVFGMAFNAVAIVIQDFDAVANKGFF HGYSFITVLMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAVVSVFLFNFHLSL AFFLGSTVVSVSVYLHSAGKLR" gene <16298239..>16298617 /locus_tag="BRARA_H01653" /db_xref="Phytozome:Brara.H01653" mRNA join(<16298239..16298290,16298433..>16298617) /locus_tag="BRARA_H01653" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01653" CDS join(16298239..16298290,16298433..16298617) /locus_tag="BRARA_H01653" /codon_start=1 /product="hypothetical protein" /protein_id="RID50957.1" /db_xref="Phytozome:Brara.H01653" /translation="MGKLSCSYFLVFTIIFSACLMVERAEGKYCEITIDKEQTCIHSL CVQDCYALYNGVAHCVDDPEVPGSNLNCRCKYNC" gene complement(<16304212..>16308989) /locus_tag="BRARA_H01654" /db_xref="Phytozome:Brara.H01654" mRNA complement(join(<16304212..16304816,16304912..16305982, 16306071..16306726,16306810..16307437,16307551..16308476, 16308571..>16308989)) /locus_tag="BRARA_H01654" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01654" CDS complement(join(16304212..16304816,16304912..16305982, 16306071..16306726,16306810..16307437,16307551..16308476, 16308571..16308989)) /locus_tag="BRARA_H01654" /codon_start=1 /product="hypothetical protein" /protein_id="RID50958.1" /db_xref="Phytozome:Brara.H01654" /translation="MTYECPKCGALFWYNERVSKSRNPKIPVFTMCCLRGKIKLPTLK EPPSYLMDLLTKDDSISKHFRDNIRPINMMFSFTSLGGKIDNSVNEGQGPRVFKLHGE NYHMIGSVKPKGMDPAKFSQLYIHDTENEVQNRLSALSGNSGKNKIREELVEGIMEML RISNVHVKTFRNVKDRFNDEDASEELSLVLINTRLKDGRVYNLPTSSEVAALVVGDFQ ENMDKRDIILEKNNGKLKRINELHPCYLPLQYPLLFPYGEDGFRLGIKNGFTGINQKK KPNISMREYFSYRIQVRNTGSQVLLLSRRLLQQFLVDAYTMIEAHRLRYIRKNQSNLR TVKFSKFVDAANNGNSTVSIEGNRIIIPSSFTGGPRYMHQMYLDAMSICKYFGYPDLF ITFTCNPKWPELSRYLHKFNLRAEDRPELCCRLFKIKLDKLMEDLTKKHILGKTVSAI YTVEFQKRGLPHAHILLFMDSQHKLPNAEDIDRIISAEIPDRFEEPKLYEVVKDMMIH GPCGVVNRGSPCMKDGKCSKFFPRRHVEKTTVDAQGYPVYQRRDNGNFIEKKGIQCDN RFVVPYNKKLLLGYNAHINVEWCNQSRSIKYLFKYINKGQDRVTGTVTAKKNFETSGA ETNQIPAPPNGVDITGAIAVEPEVDEIKKYFDARYISACESTWRIFGFPTQYRSTPVE KLTFHLEGEQPVIYKDGDTVESVLGRVHLSKTMFLAWFDCCEIYPEAREITYPELPTR FVYDAKLKVWNPRKRGFAIGRLESVSPLSGQRYYLRVLLNKVKGPRCYDDIKTVKGIV QPSFEEACYELGLLDDDKEYIEGLKECAFWASGAFVRNLYANMLVSGSLSFPKLVWDS TFDILSEDVLYLERKKRRNPGLVMSDEEVLNATLILIDNLLRRKNSSLANFETMPKPV VTEHTFQDNQLLQDELNYDRDELRANHNDWMGKLTDEQRSVYEQIIGAVESKKGGVFF VYGFGGTGKTFLWNILSAAIRSTGDIVLNVASSGIASLLLPGGRTAHSRFGIPINPDE FSTCNIEPGSDKAEVIAKASLIIWDEAPMMSKHCFEALDRTLCDIMKTTDERPFGGKV VVFGGDFRQILPVIPKGNRADIVMATMNSSYLWKHCKVLELTKNMRLFSETDVREAED IMEFSKWILDLGDGKINEPNNGECIIEIPKDLLITKSKDPIKSIVSEVYGETFIDSKD PTFFQERAILCPTNENVDVVNNYMLDRLTGEERIYLSSDSIDPSDFNSRDDSIFSPEF LNSIKSSGLPSHALRLKVGTPVMILRNLEPNEGLCNGTRLQITHLGNHIVQAKVITGT KVGQKVFLHRVLLTPTDTRLPFKMRRRQFPLKVAFAMTINKSQGQSLERVGLFLPRPV FSHGQLYVAVSRVKSRKGLKILITDSDGKPEESTMNVVYKEIFQNLLSNKFTKERH" gene <16309794..>16310121 /locus_tag="BRARA_H01655" /db_xref="Phytozome:Brara.H01655" mRNA join(<16309794..16309845,16309937..>16310121) /locus_tag="BRARA_H01655" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01655" CDS join(16309794..16309845,16309937..16310121) /locus_tag="BRARA_H01655" /codon_start=1 /product="hypothetical protein" /protein_id="RID50959.1" /db_xref="Phytozome:Brara.H01655" /translation="MTKLSCSYFLVLVIIFLACLMVERAEGKLCQITINKEQTCIISF CIQDCYALYNGVGHCLDDPKIPGHNLNCRCKYNC" gene complement(16317763..16319531) /locus_tag="BRARA_H01656" /db_xref="Phytozome:Brara.H01656" mRNA complement(join(16317763..16318239,16318844..16318973, 16319088..16319531)) /locus_tag="BRARA_H01656" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01656" CDS complement(join(16317980..16318239,16318844..16318973, 16319088..16319513)) /locus_tag="BRARA_H01656" /codon_start=1 /product="hypothetical protein" /protein_id="RID50960.1" /db_xref="Phytozome:Brara.H01656" /translation="MLLRRATALPKTLQNLRLFSPAATSALALDHALESQLDYLPGFP RPDAKHAETILAVPRSDSGKNISAKERKAGRVPSIIFEQEDGQHGGNKRLVSVQTNQI RKLVTHLGYSFFLARLFDVEVRSEIGSDEVIEKVRALPRSIHLHSGTDAPLNVTFIRA PPGTLLKVDIPLVFIGDDVSPGLKKGASLNTIKRTVKFLCPAEIIPPYIEVDLSLLDV GQKLVAGDLKVHPALKLIRPKDEPIVKIAGGRVSDQQKDQQKKDQPKKEQSKK" gene 16320994..16322536 /locus_tag="BRARA_H01657" /db_xref="Phytozome:Brara.H01657" mRNA join(16320994..16321412,16321552..16321732, 16321817..16321958,16322036..16322105,16322186..16322536) /locus_tag="BRARA_H01657" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01657" CDS join(16321154..16321412,16321552..16321732, 16321817..16321958,16322036..16322105,16322186..16322355) /locus_tag="BRARA_H01657" /codon_start=1 /product="hypothetical protein" /protein_id="RID50961.1" /db_xref="Phytozome:Brara.H01657" /translation="MTEEHKHEETVTASEPAVEIVERESLMDKISEKIHHGGGDSSSS SSSSDDEKEKKEKPSSPSSMKSKVYRLFGREKPVHKVLGGGKPADIFMWKDKKMSGGV LGGATAAWVLFELMEYHLLTLLCHVMIVVLAVLFLWSNATMFIHKSPPKIPEVHIPEE PVLQLASGLRIEINRGFHSLREIASGRDLKKFISAVAGLWVLSILGGWFNFLTLAYIA LVLLFTVPLVYDKYEDKVDPLGEKAMIEIKKQYAVLDEKVLSKIPLGPLKNKKRD" gene complement(16327963..16329440) /locus_tag="BRARA_H01658" /db_xref="Phytozome:Brara.H01658" mRNA complement(join(16327963..16328462,16329154..16329440)) /locus_tag="BRARA_H01658" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01658" CDS complement(join(16328194..16328462,16329154..16329340)) /locus_tag="BRARA_H01658" /codon_start=1 /product="hypothetical protein" /protein_id="RID50962.1" /db_xref="Phytozome:Brara.H01658" /translation="MATSGTYVTEVPLKGTVEKHYKKWRSENHAFPEAIGHHIQNVII HDGEWDSHGAIKTWNYTCDGKPEVFKERREIDDEKKTVTFRGLEGHVMEQLKVYDVTL EFIPKSEDGCVCKITMIWEKRNDEFPEPSNYIKFVKSMVADMDDHVLKA" gene complement(16334349..16335122) /locus_tag="BRARA_H01659" /db_xref="Phytozome:Brara.H01659" mRNA complement(16334349..16335122) /locus_tag="BRARA_H01659" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01659" CDS complement(16334504..16335064) /locus_tag="BRARA_H01659" /codon_start=1 /product="hypothetical protein" /protein_id="RID50963.1" /db_xref="Phytozome:Brara.H01659" /translation="MASLVEKQLLKSLFSFFLLVLLFSDTVFSSRKTFDQRKPCKHFS FYFHDILYDGDNVANATSAAIVSPPGLGNFKFGKFVIFDGPITMDKNYLSEPLARAQG FYFYDMKMDFNAWFCYTLVFNSTQHKGTLNIMGADLMMEPTRDLSVVGGTGDFFMARG IATFVTDIFQGAKYFRVKMDVKLYEC" gene complement(16340719..16345455) /locus_tag="BRARA_H01660" /db_xref="Phytozome:Brara.H01660" mRNA complement(join(16340719..16342055,16342239..16343240, 16345048..16345250,16345412..16345455)) /locus_tag="BRARA_H01660" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01660" CDS complement(join(16340775..16342055,16342239..16343240, 16345048..16345239)) /locus_tag="BRARA_H01660" /codon_start=1 /product="hypothetical protein" /protein_id="RID50964.1" /db_xref="Phytozome:Brara.H01660" /translation="MGTNGTTCSGTMKATSNGVFQGDDPLHYALPLLILQICIVLLLT RVLAFLLRPLRQPRVIAEIVGGILLGPSALGKSSKFLNTVFPAKSLTVLDTLANLGLI FFLFLVGLELDPKSLKRTGKKALSIALAGITFPFILGIGTSFVLRSSIADGVSKAPFL VFMGVALSITAFPVLARILAEIKLLTTDIGKIALSAAAVNDVAAWILLALAVALSGDG NSPLTSLWVFLAGSGFVLFCIYAVQPGIKWIAKRCPEGEPVKEQYVCYTLGIVLAASF VTDLIGIHALFGAFVIGVIFPKEGNFANSLVEKVEDLVSGLFLPLYFVSSGLKTDVAT IQGAQSWGLLVLVIFTACFGKIVGTVGVSLYCKVPLDESLALGFLMNTKGLVELIVLN IGKDRGVLNDQVFAIMVLMAIFTTFMTTPLVLAVYKPGKSTTKGTFKNQTVEETNQSN KPLRLMFCFHSIMNIPTIVNLIEASRGTNRKESLSVYAMHLMELSERSSAILMAHKVR KNGLPFWNKDKPGTSSSDMVVVAFEAFRRLSRVSVRPMTAISAISTIHEDICRSAERK SVGMVILPFHKHVRLDRTWETTRNDYRWINKKVMDESPCSVAILVDRGLGGTTRVASS DFSLAITVLFFGGNDDREALAFAVRMAEHPGITLNVVRFIPSEEFKPDNVKLEITEDQ VGSCSGETRLTDIEAITELKAKIKEQESSRSDSDTESQIVYEERIVKCQEGVCEAIKE YSRSNLFLVGKSPDGSVASGLDVLRSDTPELGPVGNLLTSSESVSTVASVLVVQQYVA RCDSHVVGVLKNVTEEELPVKDTESP" gene complement(16351482..16352556) /locus_tag="BRARA_H01661" /db_xref="Phytozome:Brara.H01661" mRNA complement(join(16351482..16351982,16352095..16352392, 16352513..16352556)) /locus_tag="BRARA_H01661" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01661" CDS complement(join(16351882..16351982,16352095..16352380)) /locus_tag="BRARA_H01661" /codon_start=1 /product="hypothetical protein" /protein_id="RID50965.1" /db_xref="Phytozome:Brara.H01661" /translation="MSGGENVSFAEHEILKGKHETLLREHGTSISTIELLEKKLKEAL LVEQKGEYWEKKYLELLKKLETVENNIKDLMYSEVAGEDSGAAAALGEDRKVVIVLND DDEGDGSESSGGDSDSENQENLSFYL" gene <16356413..>16356748 /locus_tag="BRARA_H01662" /db_xref="Phytozome:Brara.H01662" mRNA join(<16356413..16356454,16356479..>16356748) /locus_tag="BRARA_H01662" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01662" CDS join(16356413..16356454,16356479..16356748) /locus_tag="BRARA_H01662" /codon_start=1 /product="hypothetical protein" /protein_id="RID50966.1" /db_xref="Phytozome:Brara.H01662" /translation="MGNCLDGGNNIERMKVYNRKNRKVKIVLRRDELKKLILFHLNAG KGKTTLASLGDFLKELEAERSAGEAAAKAVEEEDESCRRSRKWRPSFDRIIEWPEETL A" gene complement(16357313..16358161) /locus_tag="BRARA_H01663" /db_xref="Phytozome:Brara.H01663" mRNA complement(16357313..16358161) /locus_tag="BRARA_H01663" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01663" CDS complement(16357469..16358071) /locus_tag="BRARA_H01663" /codon_start=1 /product="hypothetical protein" /protein_id="RID50967.1" /db_xref="Phytozome:Brara.H01663" /translation="MMDSLAKCFNGLQESYEKVLEAKPIYRDDLDITLPLDGSVVSVE STKKSRCLERTKRIDKSLRFEEEDEDMAKPLVNEEGQKPRKVVRFQLENNKIFEPKKP VRFDPDDHKLEPKEKPLEAKEGLNKAEGKEEVVRVKIKVTKKEADRLLANWKNDSVLD LKDVVDQIAHVSVHQLQVDVVMVACNNGRQGNGCLLSQTE" gene 16369410..16371437 /locus_tag="BRARA_H01664" /db_xref="Phytozome:Brara.H01664" mRNA join(16369410..16369746,16370145..16371437) /locus_tag="BRARA_H01664" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01664" CDS join(16369723..16369746,16370145..16371179) /locus_tag="BRARA_H01664" /codon_start=1 /product="hypothetical protein" /protein_id="RID50968.1" /db_xref="Phytozome:Brara.H01664" /translation="MDTAQWPQEIVVKPLEEIVTNTCLTPQASQPQPPPSVGAAGVAE RKTRPEKDQAINCPRCNSVNTKFCYYNNYSLTQPRFFCKGCRRYWTEGGSLRNIPVGG GSRKNKRSHSSSISSSLDISKNHSDSTQPATKKHHSDHHPHHHLMSISQQGLTGQNPK IFETTQQNLNLGFPPHGLIRTNFTDLIHNTGNNNTSTNNPFIGSSCSATSALATSPLD LIRNNNCNNRNTSNSSFMGFPVHNQGPTSEGFSMQDHYKPSNSNTTLLGFSLDHHHDN SEFHGGFQGGEGREVGDDVNGRHLFPFEDLKLPVSSSSSATINIDVNDHQKRASGGDA AATSGGYWTGMLSGGSWC" gene 16379527..16382234 /locus_tag="BRARA_H01665" /db_xref="Phytozome:Brara.H01665" mRNA join(16379527..16379969,16380250..16380517, 16380628..16380762,16380863..16382234) /locus_tag="BRARA_H01665" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01665" CDS join(16379579..16379969,16380250..16380517, 16380628..16380762,16380863..16382093) /locus_tag="BRARA_H01665" /codon_start=1 /product="hypothetical protein" /protein_id="RID50969.1" /db_xref="Phytozome:Brara.H01665" /translation="MEIEQRKIMNREEVDKEENDNQLSLQEEEQETEEEMSGRTIEPW TKQITVRGVLVSIVIGVVFSVIAQKLNLTTGIVPNLNSSAALLAFVFVQTWTKILKKS GFVSKPFTRQENTMIQTSAVACYGIAVGGGFASYLLGLNHKTYVLSGPNMEGNSEKSV KEPGLGWMTAYLFAVCFIGLFVLIPLRKVMIIDLKLTYPSGLATAVLINGFHTQGDAQ AKKQVRGFMKYFSFSFLWGFFQWFFSGIEDCGFAQFPTFGLKAWKQTFFFDFSMTFVG AGMICSHMVNISLLLGAILSYGLMWPLLDKLKGSWFPNNLDEHNMKSIYGYKVFLSVA LILGDGLYTFVKIIYVTIISINARVKNKPNDLDAVGDKKQQKFRKEDENFLRDKIPMW IGISGYLTFAAVSTVVVPLIFPQLKWYYVIVAYVFAPCLAFCNAYGAGLTDINMAYNY GKIGLFVLAAVTGRENGVVAGLAGCGLIKSVVSVSCILMQDFKTAHYTMTSPKAMFAS QMIGTVVGCIVTPLSFFLFYRAFDVGNPNGEFKAPYALIYRNMAILGVQGFSALPLHC LQMCYGFFGFAVLVSVVRDIAPAKVGRFMPLPTAMAVPFLVGAYFAIDMCVGTLVVFI WEKRNRRKAEVMVPAVASGLICGEGLWTLPAAILALAGVKPPICMKFLAS" gene 16383024..16384351 /locus_tag="BRARA_H01666" /db_xref="Phytozome:Brara.H01666" mRNA join(16383024..16383510,16383835..16384351) /locus_tag="BRARA_H01666" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01666" CDS join(16383071..16383510,16383835..16384171) /locus_tag="BRARA_H01666" /codon_start=1 /product="hypothetical protein" /protein_id="RID50970.1" /db_xref="Phytozome:Brara.H01666" /translation="MGRVIRAQRKGAGSVFKSHTHHRKGPAKFRSLDFGERNGYLKGV VTEIIHDPGRGAPLARVAFRHPFRYKKQKELFVAAEGMYTGQFLYCGKKATLVVGNVL PLRSIPEGAVVCNVEHHVGDRGVFARASGDYAIVIAHNPDNDTTRVKLPSGSKKIVPS GCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQH IGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAALASKQE" gene complement(16385278..16392049) /locus_tag="BRARA_H01667" /db_xref="Phytozome:Brara.H01667" mRNA complement(join(16385278..16387123,16387193..16387300, 16387383..16387559,16387670..16387715,16387820..16389429, 16389518..16389814,16389886..16391002,16391162..16391565, 16391642..16392049)) /locus_tag="BRARA_H01667" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01667" mRNA complement(join(16385278..16387123,16387193..16387300, 16387383..16387559,16387670..16387715,16387820..16389429, 16389518..16389814,16389886..16391002,16391162..16391565, 16391638..16392049)) /locus_tag="BRARA_H01667" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01667" CDS complement(join(16385318..16387123,16387193..16387300, 16387383..16387559,16387670..16387715,16387820..16389429, 16389518..16389814,16389886..16391002,16391162..16391565, 16391642..16391956)) /locus_tag="BRARA_H01667" /codon_start=1 /product="hypothetical protein" /protein_id="RID50971.1" /db_xref="Phytozome:Brara.H01667" /translation="MDSSLYLICSWVAAISFLTILATLLFMVYKKNRSVTASFSPPNW KHQSYCEPSLHLVEMEPSLDSDEGNMDNCEPEQRLEAFISRFNSELRKQRQEHIITNE DLKEKLYTSERASQGSSSGGLPAVYIYCANTLQYSFASHLSMGFHRKGIYASANSNET LDVMEGASASVVVFSKNYLSSPSCLDKLVRVLQCRRKSGQLVVPVFYDVSPSNVEVQE QESVDRISALQELREFTGYQFREGCSECELVEEIVKDVYEKLLPAEQIGISLRLLEIE HLLCKQPWGIRRLGIWGMPGIGKTTLAKAVFDQISGGYEAFFFIKHFDKAFNEKGLHC LLEEHFGNILMDLPRVCSSITRPSFPGDILSKKRTLVVLDDVQNPLVAESFLGGFHWF GPGSLIIITSRDKQVFRHCQINHVYEVQSLNENEALQLFSHHAIGENIREKKFMKLSM EVIDYASGNPLALSYYGKELKGKKLSEMRTTFLKHKLRTPYKIQDLFKRSYEALNDSE KNIFLDIACFFKGENVDYVMQLLEGCGFLPHIGIDVLVEKCLVTISENRVKMHRIIQD FGREIINGEVVQIERRRRLWEPWTIKFLLEDDKLKANVKSTYTRPLGTVDIEGIFLDA SNLSFDVKSGAFKHMLSLRFLKIYCSSYEKDSRVLLPKGLDSLPYELRLLHWENYPLK SLPQKFDPCHLVELNLSYSQLQKLWGGTKNLKMLKVVRLCHSQQLTDINDLCKAQDLE LLDLQGCTQLQSFPAMGQLRLLRVVNLSGCTEIRSFPEVSPNVKELHLQGTGIRELPV STVTLSSQVKLNRELSNLLTEFPGVSDVINHERLTSLIKPVSANQHLGKLVRLNMKDC VHLTSLPDMADLELLQVLDLSGCSNLKDIQGFPRNLEELYLAGTAIKEFPQLPLSLEI LNAHGCVSLISIPIGFEQLPRYYTFSNCFGLSEKVVNIFVKNALTNVERLAREYHQQQ KLNKSLAFSFIGPSPAGENLTFDMQPGSSVIIQLGSSWRDTLGVAVLVQVTFSKDYCE ASGGFNVTCVCRWKDKDYVSHKREKDFHCWPPEEEGVSKDHTFVFCDLDIHPGACEEN DTGILADLVVFEFFTVNKQKKLLDESCTVTKCGVYVITAADRDTSPNMTPSFDYLQEL SDNDARNVYDGLDEDERTLFLYIACLFNDEEADLLAPLSNGLEISSGIKILTDKSLIH ISPYGVLVREGLLQKIGMEMINRRRQAQALTNLADIAGVDSRKWDNNANMIENLPHSF KMHSSMCLALKKLVDRVMKIFPEIEAARPGSSTAIQPLNEALEKAKLLLQYCSESSKL YMAVTGDDILTRGSRSKKLLEQSLADIRTMVPTALAIQILEVLQDLKSTELSLESSEE EAGKDIRELMRQSTSSSVSSDEIRDFHFAALKLQLSTPEAVAVERRSLKSLYGKLGEC EGNKRQILKYLLCLLKKHEKIIWRDHKDNSLTLHQSSNDSVCAGVADAGCSEEYNATL PEHFKCPLSLTVMYDPVIISSGHTFERMWIQKWFECNDSCPVSKRILDDFTLQSNVAM KDQISKWCSKKGLDVQDPAMKHVNASHNLDFSIPSFSSPLYNISDLSCFRSSDISSSF STESETEIRDSTHSEWEIEPLCELSKLPWNAQVKVVQDVRSLFEQDSKAARSMSPSKF IEPLVTFLKNAHERNGTDVVKDGLELLLTFLSGNRRAIDSLGEEVFEMLCVFLGSELV AEETLNVLEVLSNHPHSLSKITSTGSLSCLLKIAESGAENLQEQAMITLKKLCSSNEI CLEMVSLGFVQKLTSFLQQNVFSKHSIIMLKNLCNTEKGRVCVTETPGCLASISDLLD SNVSEEVENAISILLQLCVEKIEYCYLVVREGLNIYSSLLLISNNGTEEAKVGASELL RALEEVEEVEEEESSTPGGETTSLVVTHQEPITKPSPKNSGLFGLSFSISKKKKKKIK L" CDS complement(join(16385318..16387123,16387193..16387300, 16387383..16387559,16387670..16387715,16387820..16389429, 16389518..16389814,16389886..16391002,16391162..16391451)) /locus_tag="BRARA_H01667" /codon_start=1 /product="hypothetical protein" /protein_id="RID50972.1" /db_xref="Phytozome:Brara.H01667" /translation="MGFHRKGIYASANSNETLDVMEGASASVVVFSKNYLSSPSCLDK LVRVLQCRRKSGQLVVPVFYDVSPSNVEVQEQESVDRISALQELREFTGYQFREGCSE CELVEEIVKDVYEKLLPAEQIGISLRLLEIEHLLCKQPWGIRRLGIWGMPGIGKTTLA KAVFDQISGGYEAFFFIKHFDKAFNEKGLHCLLEEHFGNILMDLPRVCSSITRPSFPG DILSKKRTLVVLDDVQNPLVAESFLGGFHWFGPGSLIIITSRDKQVFRHCQINHVYEV QSLNENEALQLFSHHAIGENIREKKFMKLSMEVIDYASGNPLALSYYGKELKGKKLSE MRTTFLKHKLRTPYKIQDLFKRSYEALNDSEKNIFLDIACFFKGENVDYVMQLLEGCG FLPHIGIDVLVEKCLVTISENRVKMHRIIQDFGREIINGEVVQIERRRRLWEPWTIKF LLEDDKLKANVKSTYTRPLGTVDIEGIFLDASNLSFDVKSGAFKHMLSLRFLKIYCSS YEKDSRVLLPKGLDSLPYELRLLHWENYPLKSLPQKFDPCHLVELNLSYSQLQKLWGG TKNLKMLKVVRLCHSQQLTDINDLCKAQDLELLDLQGCTQLQSFPAMGQLRLLRVVNL SGCTEIRSFPEVSPNVKELHLQGTGIRELPVSTVTLSSQVKLNRELSNLLTEFPGVSD VINHERLTSLIKPVSANQHLGKLVRLNMKDCVHLTSLPDMADLELLQVLDLSGCSNLK DIQGFPRNLEELYLAGTAIKEFPQLPLSLEILNAHGCVSLISIPIGFEQLPRYYTFSN CFGLSEKVVNIFVKNALTNVERLAREYHQQQKLNKSLAFSFIGPSPAGENLTFDMQPG SSVIIQLGSSWRDTLGVAVLVQVTFSKDYCEASGGFNVTCVCRWKDKDYVSHKREKDF HCWPPEEEGVSKDHTFVFCDLDIHPGACEENDTGILADLVVFEFFTVNKQKKLLDESC TVTKCGVYVITAADRDTSPNMTPSFDYLQELSDNDARNVYDGLDEDERTLFLYIACLF NDEEADLLAPLSNGLEISSGIKILTDKSLIHISPYGVLVREGLLQKIGMEMINRRRQA QALTNLADIAGVDSRKWDNNANMIENLPHSFKMHSSMCLALKKLVDRVMKIFPEIEAA RPGSSTAIQPLNEALEKAKLLLQYCSESSKLYMAVTGDDILTRGSRSKKLLEQSLADI RTMVPTALAIQILEVLQDLKSTELSLESSEEEAGKDIRELMRQSTSSSVSSDEIRDFH FAALKLQLSTPEAVAVERRSLKSLYGKLGECEGNKRQILKYLLCLLKKHEKIIWRDHK DNSLTLHQSSNDSVCAGVADAGCSEEYNATLPEHFKCPLSLTVMYDPVIISSGHTFER MWIQKWFECNDSCPVSKRILDDFTLQSNVAMKDQISKWCSKKGLDVQDPAMKHVNASH NLDFSIPSFSSPLYNISDLSCFRSSDISSSFSTESETEIRDSTHSEWEIEPLCELSKL PWNAQVKVVQDVRSLFEQDSKAARSMSPSKFIEPLVTFLKNAHERNGTDVVKDGLELL LTFLSGNRRAIDSLGEEVFEMLCVFLGSELVAEETLNVLEVLSNHPHSLSKITSTGSL SCLLKIAESGAENLQEQAMITLKKLCSSNEICLEMVSLGFVQKLTSFLQQNVFSKHSI IMLKNLCNTEKGRVCVTETPGCLASISDLLDSNVSEEVENAISILLQLCVEKIEYCYL VVREGLNIYSSLLLISNNGTEEAKVGASELLRALEEVEEVEEEESSTPGGETTSLVVT HQEPITKPSPKNSGLFGLSFSISKKKKKKIKL" gene 16393704..16398918 /locus_tag="BRARA_H01668" /db_xref="Phytozome:Brara.H01668" mRNA join(16393704..16394364,16395473..16396613, 16396717..16397031,16397111..16398058,16398143..16398918) /locus_tag="BRARA_H01668" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01668" CDS join(16393883..16394364,16395473..16396613, 16396717..16397031,16397111..16398058,16398143..16398769) /locus_tag="BRARA_H01668" /codon_start=1 /product="hypothetical protein" /protein_id="RID50973.1" /db_xref="Phytozome:Brara.H01668" /translation="MLTVASSSSSVQSPPQNQVFLNFRGKQLRYGFVSHLEKALRRDG INVFVDKNETKGKDLSSLFSRIEESRIALAIFSSMYTESKWCLNELEKIKECVDLGKL VVIPIFYKVDTDDVKNLNGVFGDKFWELAKTCNGEKFEKWRQALQNIPQKLGFTLGET SDEGDYINQIVGEVIKVLSSDLERQIPIDNHPCSGAEKTPEAAPDLPPPLFGIENRLT QLEMKLDFECESTVTIGVVGMPGIGKTTLTKMLYEKWRGEFLRCVFLHDVRKLWKDCK MNRDIFMRELLKDDDVKQEVSDLSPESLKALLLSKKSLVVLDNVSDKSQIETLLGECD WIKRGSRIFITTSDKSVIEGVVDDTYEVLRLSGRDSFQYFSYFAFSGKLCPPEDNFLN LSRLFVDYAKGNPLALKILGVELSEKDETHWEETLRDLAQSPNKTIQSVLQISYNGLG QFHKDVFLDVACFFRSGDENYVRCLVESCDTDLVDAASEIKDLASKFLINISGGRVEM HDLLYTFGKELGSQGSRRLWNHKGVVGALKKRKGAGSVRGIFLDMSELKEKLPLDKCT FTEMRNLRYLKFYSSRCHRECEADCKLNFPEGLDFPLDEVRYLFWLKFPLKKLPKDFN PKNLTDLNMSFSEIEELWEGVKDTPKLKWVDLSHSSKLCNLTGLLNAESLQRLNLEGC TSLEELPREMERMKCLVFLNMRGCTSLRVLPHMNLISMKTLILTNCSSLQTFRVVSDN LETLHLDGSAIGQLPTNMWKLQRLIVLNLKDCKMLVELPECLGKLKALQELVLSGCSK LKTFPIRIENMKSLQILLLDGTSITDMPKILQLNSSKVEDWPELRRGMNGISSLQRLC LSGNDIITNLRIDISLLCHLKLLDLKFCKNLTSIPLLPPNLEILDAHGCGKLKTVATP MAILKHMEKVHSKFIFTNCNSLEQAAKNSITTYAQKKSQLDALRCYKEGHASEALFIT SFPGSEVPSWFDHRMIGSTLKLKFPPHWCDNRLSTIVLCAVVAFQNEINIFSIECTCE FKNELGTCTRFSCILGGGWIEPRKIDSDHVFIGYTSSSHITNHVEGSPEHQKCVPTEA SIKFKVIDGAGEIVNCGLSLVYEEPNHVVIEGDCSGTSSGRGLSVVESTMSFATRFLS VILRYLWLGVVFFSVFGFARFYFH" gene 16413433..16416072 /locus_tag="BRARA_H01669" /db_xref="Phytozome:Brara.H01669" mRNA join(16413433..16413809,16413946..16414042, 16414131..16414337,16414597..16414721,16414801..16414905, 16414979..16415151,16415232..16415322,16415400..16415549, 16415663..16416072) /locus_tag="BRARA_H01669" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01669" CDS join(16413522..16413809,16413946..16414042, 16414131..16414337,16414597..16414721,16414801..16414905, 16414979..16415151,16415232..16415322,16415400..16415549, 16415663..16415911) /locus_tag="BRARA_H01669" /codon_start=1 /product="hypothetical protein" /protein_id="RID50974.1" /db_xref="Phytozome:Brara.H01669" /translation="MERERSMEAMKETVDQSLREMRETFASGRTRSVKWRKAQLGAII EMVKDNEEKMSDVLFQDLGKHSTEAFRDELGFVMRSATTALNCLDKWVVPRKSNLPLL FYPATGKVISEPYGTVLVLSSWNFPISLSLDPMIGAIAAGNTVLLKASELSPNASALL AKLIPSYLDTKAIKVIEGGPDVATILLQHQWDKIFFTGSPKIGKIIMAAAAEHLTPVT LELGGKCPTIIDHHSVSKDMKSVVKRISGGKWGSCSGQACISVDYVLVEQSFASTLID MFKPVIRSFFGENPKESGCLAKIVTKKHFQRLSRLLNDPRVKASIVYGGSMDEEKLYV EPTILLDPPLDSEIMNEEIFGPILPIITLRDIQESIGFIKSKPKPLAIYAFTKDENLK TRILSETSSGSVTFNDLMIQYMCDALPFGGVGQSGMGRYHGKYSFECFSHEKAIMEGS LAMDLEARYPPWNNFKLNFIRLAFREAYFKLVLLMLGLTKGVRK" gene complement(16416172..16418254) /locus_tag="BRARA_H01670" /db_xref="Phytozome:Brara.H01670" mRNA complement(join(16416172..16416524,16416606..16416948, 16417027..16417165,16417248..16417474,16417557..16417668, 16417760..16417972,16418063..16418254)) /locus_tag="BRARA_H01670" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01670" CDS complement(join(16416332..16416524,16416606..16416948, 16417027..16417165,16417248..16417474,16417557..16417668, 16417760..16417972,16418063..16418215)) /locus_tag="BRARA_H01670" /codon_start=1 /product="hypothetical protein" /protein_id="RID50975.1" /db_xref="Phytozome:Brara.H01670" /translation="MKIFMLSILLLSIATLINGGITSKYVRQAQPANEMSLETFPSPA GHNAPEQVHLTQGDHNGRGMIISWVTPLNLDGSNVVTYWIASNGSDIKRRKKKASTSS YKFYDYSSGFLHHATIKNLEYDTKYMYEVGTDKSVRQFSFTTPPKVGPDVPYTFGIIG DLGQTYASNETLYHYMSNPKGQTILFPGDLAYQDNHPNHDQRKWDTWGRFMEPCAAYQ PIIYAAGNHEIDFVPNIGERHAFRPYIHRYHNAFKTSGSISPLWYSVRRGPAHIIVLS SYSGYGKYTPQYVWLEQELKNVNREETPWLIVMVHSPWYNSVNYHYMEGESMRVMFES WFVNSKVDLVLAGHVHAYERSERVSNIKYNITNGLSTPVKDPNAPIYITIGDGGNIEG IANSFTDPQPSYSAYRESSFGHALLEIKNKTHAQYTWHRNQDNEPVAADSLMMHNRYF FPKEEIASD" gene <16420347..>16421828 /locus_tag="BRARA_H01671" /db_xref="Phytozome:Brara.H01671" mRNA join(<16420347..16420412,16420497..16420910, 16420982..16421254,16421490..>16421828) /locus_tag="BRARA_H01671" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01671" CDS join(16420347..16420412,16420497..16420910, 16420982..16421254,16421490..16421828) /locus_tag="BRARA_H01671" /codon_start=1 /product="hypothetical protein" /protein_id="RID50976.1" /db_xref="Phytozome:Brara.H01671" /translation="MEKRELEREFRMTSYARNSSVQKKSSDNAKHITLETVQQLYKET RPNSLGIADLGCSSGPNTLATIRDIIKTVEIAHHREIPKQPLPEFSIFLNDLPQNDFN SIFKTLPDFHMELKRDTKNDVCPEIFIAAYPGSFYGRLFPENTIHFIYSSFSLHWLSK VPPALFDHQGKSINKGCINICSSSPEAVSKAYYSQFKEDFSMFLRSRSKEVVAAGRMV LILLGREGYDHVDRGMSFIWELLARSIAHLVSQGETEEEKLDSYEMHFYAPSAAEIEG EVSKEGSFELEKLEMMEADKKGNEDGMSSGELAAKTIRAVQESMLAPHFGEEILDKLF DTFGRMVDEEMDKEDIRPITFVVVLRRKK" gene <16422919..>16424448 /locus_tag="BRARA_H01672" /db_xref="Phytozome:Brara.H01672" mRNA join(<16422919..16423002,16423085..16423498, 16423745..16424017,16424107..>16424448) /locus_tag="BRARA_H01672" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01672" CDS join(16422919..16423002,16423085..16423498, 16423745..16424017,16424107..16424448) /locus_tag="BRARA_H01672" /codon_start=1 /product="hypothetical protein" /protein_id="RID50977.1" /db_xref="Phytozome:Brara.H01672" /translation="MDKMDLQREFHMTGGVGKTSYARNSSFQKKAYDQAKDIILKTLQ QLYKETRPKSLGIADLGCSSGPNTLSTIRDIIKAVIVAHHREIPYEPLPEFSVSLNDL PRNDFNSIFKSLPDFHIELKRDTKNDHSPSVFIAAFPGSFYGRLFPENTIHFIYASFS LHWLSKIPPGLYDDQGKSINKGCINICSSSPEAVSKAYYSQFKEDFSMFLRSRSKEVV AAGRMVLIILGREGPEHVGRGNSFLWELLARAIADLVSQGEIEEEKLDSYELHFYAPS AAEIEGEVNKEGSFELEKLDMLEVDMEWGNEDGISYGKAVAKTIRAVQESMLASHFGE EILDKLFDTYGRIIDEEIAKEDIKHITFFVVLRRKL" gene complement(16424815..16425333) /locus_tag="BRARA_H01673" /db_xref="Phytozome:Brara.H01673" mRNA complement(16424815..16425333) /locus_tag="BRARA_H01673" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01673" CDS complement(16424829..16425197) /locus_tag="BRARA_H01673" /codon_start=1 /product="hypothetical protein" /protein_id="RID50978.1" /db_xref="Phytozome:Brara.H01673" /translation="MQMLRSFSTRTRSRRGGYERVSDDSTFSLLGAKLRRSTSVPYYA PSIKLGAGGAPTILEEIPRQKSKKIKPTSKFSHPIFSFLYGKKKKSTTRKPEFSRYLE YLKEGGMWDARSNAPVIYYK" gene 16427515..16429088 /locus_tag="BRARA_H01674" /db_xref="Phytozome:Brara.H01674" mRNA join(16427515..16427867,16427966..16428154, 16428239..16428445,16428520..16428660,16428758..16429088) /locus_tag="BRARA_H01674" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01674" CDS join(16427598..16427867,16427966..16428154, 16428239..16428445,16428520..16428660,16428758..16428853) /locus_tag="BRARA_H01674" /codon_start=1 /product="hypothetical protein" /protein_id="RID50979.1" /db_xref="Phytozome:Brara.H01674" /translation="MDLSVLERVKWLQQQQMVSPEFFEILGLDGREELKRVESYLGNN NDEWQSFRHYETIDGCISRTSSFQMEQVKNNEENRATALQHKRKIEGKTEKIENKKNK KIKTEDETESSMKGKSNMSNSETSSEIQKRDYIHVRARRGEATDRHSLAERARREKLS KKMKCLQDIVPGCNKVTGKAGMLDEIINYVQSLQQQVEFLSMKLSVLNPELEYHINEL STKQFQACFTDLPEAVSKQSMMVDASSFPLQHQESLDYSVINSIQTTTLGSKDQTSPA WEIHSQSLYNNLRTDSDSSFFSLK" gene complement(<16429324..16432363) /locus_tag="BRARA_H01675" /db_xref="Phytozome:Brara.H01675" mRNA complement(join(<16429324..16431216,16431288..16431395, 16431480..16431665,16431766..16431839,16431950..16432363)) /locus_tag="BRARA_H01675" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01675" CDS complement(join(16429324..16431216,16431288..16431395, 16431480..16431665,16431766..16431816)) /locus_tag="BRARA_H01675" /codon_start=1 /product="hypothetical protein" /protein_id="RID50980.1" /db_xref="Phytozome:Brara.H01675" /translation="MRIDTTQRIEKMSYSFKMHSSMCLALKNIVDRVMKIFPEIEAAR PGSTTGIQTLCLLNKALEKAKLLLQYCSESSKLYMAVTGDAILSRGSRSKKLLEQSLS DIRTMVPTALSIKILEVLQDLKSTVLSLESSEEEAGKAIRELMRRSTSSSVSSDEIRD FHFAALKLQLSTPEAVAVERRSLKSLYGKLGECEGNKRQILKYLLCLLKKHEKIIWSD HKENSLRHNDSVCASVAEAGCSEEHNSDTLPEQFKCPLSLTVMYDPVIISSGHTFERM SIQRWFDLGNDTCPVSKRVLDDFTLQSNVAMKDQISKWCKKHGLDVQDPAMNHTNNAS QNLDFSIASFTSSLYNIPDLSCFTSRDFSSSFSTDSPSYSRMSRGGYFMPMQTIASES GTEVTDSTLHSEVEIEPLCELSKLPWDAQVKAVQDVRSLFEKDSSAVRSVSPSKFLEP LITFLKNAHERNGTEGDVVKSGLDLLLTFLSGNRKAIESLKEDLFEMLCVFLGSELVA EEALNVLEVLSNHPNSLSKITSTSSLSALLKIAESGAEHLQEQAMITLKNMSSSNEIC VEMVSLGFVHKLTSFLQQNVFSKHSIIMLKNLCNTEKGRVCVTETPGCLASIAELLDS NVSEELENAISILLQLCVEKIEYCYLVVREGLNIYSSLLLISNNGTEEAKVGASELLR ALEEVEEEEEEEEESSTPQEEATTSQVVVAPVTYQEPVVTAPSPKKSGLFGFRFILKK KKIKS" gene <16435709..>16436119 /locus_tag="BRARA_H01676" /db_xref="Phytozome:Brara.H01676" mRNA join(<16435709..16435832,16435929..>16436119) /locus_tag="BRARA_H01676" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01676" CDS join(16435709..16435832,16435929..16436119) /locus_tag="BRARA_H01676" /codon_start=1 /product="hypothetical protein" /protein_id="RID50981.1" /db_xref="Phytozome:Brara.H01676" /translation="MEESKIIFQEDKLLSGQVKLKEDEYLPKECFITNLRIETKENDK KPPIWIQQKQSEWRGIREVKQCPKQRRRKKGADVVDKIHRRDLPKIWNMSTCITTHMI KI" gene 16439564..16440689 /locus_tag="BRARA_H01677" /db_xref="Phytozome:Brara.H01677" mRNA join(16439564..16439947,16440535..16440689) /locus_tag="BRARA_H01677" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01677" CDS 16439685..16439924 /locus_tag="BRARA_H01677" /codon_start=1 /product="hypothetical protein" /protein_id="RID50982.1" /db_xref="Phytozome:Brara.H01677" /translation="MASNSMSSNASWTRKENKLFERALAIYDQDTPDRWHNVARAVGG KSAEEVRRHYELLIRDVNDIESGRYPQPTYRSNGN" gene <16446915..>16448447 /locus_tag="BRARA_H01678" /db_xref="Phytozome:Brara.H01678" mRNA join(<16446915..16446991,16447220..>16448447) /locus_tag="BRARA_H01678" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01678" CDS join(16446915..16446991,16447220..16448447) /locus_tag="BRARA_H01678" /codon_start=1 /product="hypothetical protein" /protein_id="RID50983.1" /db_xref="Phytozome:Brara.H01678" /translation="MAAKATRDANDKTASWTGWVSDKISTGLGSKKEEAKEAAESAKN YAYDNAGSAYDNAGYAKDFVSDKAGSAYDSAQNAKGYAYEKATDAKDIVYDKVGQAKD MVYDKAGRAKDMASDKTGSAYDKAGQAKDLAYDKASQAKDMIYDTAGSAYDKAGQAKD TAYDKAGQAKDMVYDTAGSAYDKAGQAKDMAYDKAGSAYHKADQAKDMVSDKTGSAKD MVYDKAGQAKDMVNEKVAQAKEKAGQAKDMVYDKAAQAKEKAGQAKDMAYNNAGQAKD KAGQAKDMAYDKAGQAKDMAFDKAGQAKDTVYDKADDVIRMATDKSDEAKEIGYGTYK RAKEGAKNAKDVSFEKARDVRETGGQAMDYGKDKATDAYGLGNEAAGKLEEAMYKVGE RYGAAKDSTSEKAKEAYESAKEKASEATGEYGAYLRDHSVEL" gene complement(<16449688..>16450994) /locus_tag="BRARA_H01679" /db_xref="Phytozome:Brara.H01679" mRNA complement(join(<16449688..16449805,16449908..16449975, 16450185..16450274,16450380..>16450994)) /locus_tag="BRARA_H01679" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01679" CDS complement(join(16449688..16449805,16449908..16449975, 16450185..16450274,16450380..16450994)) /locus_tag="BRARA_H01679" /codon_start=1 /product="hypothetical protein" /protein_id="RID50984.1" /db_xref="Phytozome:Brara.H01679" /translation="MVNLAVALRSSMCIIVKSAGLVPHTVETEPGTKVNFWLPKNSCE NSAGKPAKPAVLLIHGFAGDGVMTWAFQACSLSKRYSVYIPDLLFFGGSYTDKPDRSP EFQAECMVKAMSILGVDKFVPVGFSYGGVVAFKIAELYGNMVKALVVTGAPPIMTDSN VNRFGLSSMSDVLLPKTVKGLEFLLSVSLHKRIWLPSWPLKDYLKTMFTNRKEMAELL EALIINNDTTTLPSFPQRIHLLWGENDLFFSVEFAKDLQPKLGEMTSVESIKNGGHLV QLDRPFVYNKLLNKFLASVH" gene complement(16456447..16457695) /locus_tag="BRARA_H01680" /db_xref="Phytozome:Brara.H01680" mRNA complement(join(16456447..16456953,16457161..16457695)) /locus_tag="BRARA_H01680" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01680" mRNA complement(join(16456447..16456986,16457161..16457695)) /locus_tag="BRARA_H01680" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01680" CDS complement(join(16456636..16456953,16457161..16457451)) /locus_tag="BRARA_H01680" /codon_start=1 /product="hypothetical protein" /protein_id="RID50985.1" /db_xref="Phytozome:Brara.H01680" /translation="MGFSMFFSSENDVVHHSSPYASVDCTLSLGTPSTRLCNDDDRRL SSHTSNRLGWDFLHGAKKGSGGGGDNSYLLPRRCANCDATSTPLWRNGPRGPKKEERR ASTAGISTSGGGSTAAGVSTSDHHGGANYYYNNNHYASPSPWIHHQQHNTQRVPYYSP ANNQYSFVDDAREVNHDATADSFLSWRLNVADRTSLVHDFKM" CDS complement(join(16456636..16456986,16457161..16457451)) /locus_tag="BRARA_H01680" /codon_start=1 /product="hypothetical protein" /protein_id="RID50986.1" /db_xref="Phytozome:Brara.H01680" /translation="MGFSMFFSSENDVVHHSSPYASVDCTLSLGTPSTRLCNDDDRRL SSHTSNRLGWDFLHGAKKGSGGGGDNSYLLPRRCANCDATSTPLWRNGPRGPKSLCNA CGIRFKKEERRASTAGISTSGGGSTAAGVSTSDHHGGANYYYNNNHYASPSPWIHHQQ HNTQRVPYYSPANNQYSFVDDAREVNHDATADSFLSWRLNVADRTSLVHDFKM" gene 16467184..16472953 /locus_tag="BRARA_H01681" /db_xref="Phytozome:Brara.H01681" mRNA join(16467184..16467432,16467511..16467672, 16467745..16467910,16467990..16468106,16468188..16468321, 16468399..16468549,16468626..16468693,16469043..16469141, 16469258..16469370,16469483..16469657,16469746..16469856, 16469922..16470000,16470091..16470234,16470340..16470453, 16470566..16470687,16470769..16470851,16470934..16471058, 16471132..16471252,16471337..16471474,16471565..16471661, 16471747..16471872,16471970..16472062,16472156..16472261, 16472352..16472578,16472670..16472953) /locus_tag="BRARA_H01681" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01681" CDS join(16467280..16467432,16467511..16467672, 16467745..16467910,16467990..16468106,16468188..16468321, 16468399..16468549,16468626..16468693,16469043..16469141, 16469258..16469370,16469483..16469657,16469746..16469856, 16469922..16470000,16470091..16470234,16470340..16470453, 16470566..16470687,16470769..16470851,16470934..16471058, 16471132..16471252,16471337..16471474,16471565..16471661, 16471747..16471872,16471970..16472062,16472156..16472261, 16472352..16472578,16472670..16472792) /locus_tag="BRARA_H01681" /codon_start=1 /product="hypothetical protein" /protein_id="RID50987.1" /db_xref="Phytozome:Brara.H01681" /translation="MEVDTVTDSRIEKVNSLLKDIRIDYDSLSKPVDSLVSSIREAID AIPEGFKVTSELAPSFVRDIGADKVEFTFKKPNGFSLCGSYSTRCMAKPEASVDLLLH LPKECFYEKDYMNHRYHAKRCLYLCVLKKHLLASSSVEKVEWSTLQNEARKPVLVVFP AKRVDNFPGFSVRIIPSAASLFDVAKLSMSRNNVRSVTADGVAQPTPTYNSSILEDMF LEENSELLKKTFSVWKELGDALILLKIWARQRSSIYVHDCLNGFLISVILSYLATHGK INKSLNALDIFRVTLDFIATSKLWERGLFFPPQSDNPVSKEEKLQFKELFPVVICDSS TLMNLAFRMTSVGFHELRDEASSTLKCMKLRDGGFEEAFMTKIDYPVRYDHCIRLHLK GKTAVSTSGFCLDKEYWRTYEQKVQTLLEQGLGDRAKSIRVVWRNTNHDSYVESGFSV LDREPLFIGVSISSIENAFRTVDIGPDAENKTEALKFRKFWGEKSELRRFKDGRIAES TVWGTQQWTRHLIMKQIVEYILKRHLSLSSDDIVQLVDQLDFSLIYGDKDPISTSGNL LEAFEAFSQCLRGIKGIPLKVSSVQPLDSALRLTSVFPPEPHPLACGKIDAQKQHRVM PSCIPTMEVMIQLEGSGNWPMDDLAIEKTKTAFLIQIAENLQTIKGIRSTATEDNVDV FMRGYAFRLRILHERGLSLVKREIGADPVKHVSSADKMLFIRSQHASMINGLHGRFPI YASVARLAKRWVSAHLFSGCLAEEAIELLVAHVFLTPLPLGVPSSRINGFLRFLRLLA DYDWMFYPLIVDINNDFGRNDEKEINDNFMSSRKGYEEDRQNISSAMFLAAPYDKASE AWTTLSPNLSELKRLVAYARSSANVLSKLILQEHNDSVQWECLFRTPLNNYDAVVLLH REKLTYPHHLLFPSELNQGKHVARGKASKAFNPFLLPGDLKRSPEELKKKLMVDFEPT KCFMRGLHEEFGTLKPWYDHIGGDAIGLTWSKPNSKKRERDEEEVEINPVEMLKAVGE MGKGLVRDIYLLKSPRFL" gene <16479631..>16480026 /locus_tag="BRARA_H01682" /db_xref="Phytozome:Brara.H01682" mRNA <16479631..>16480026 /locus_tag="BRARA_H01682" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01682" CDS 16479631..16480026 /locus_tag="BRARA_H01682" /codon_start=1 /product="hypothetical protein" /protein_id="RID50988.1" /db_xref="Phytozome:Brara.H01682" /translation="MACSVAAVDTFISFLTTVFKHSPSPPQISDLPSFVNAKLSSSFK YFSITETLAVVGSVNSFVRSLMSFSRIRLTFTRLSELIAIKQDSIALIKLESESLYPL KKRRRWSQKKIIDRVFLLVLIGPQMDRVI" gene complement(<16486617..>16487901) /locus_tag="BRARA_H01683" /db_xref="Phytozome:Brara.H01683" mRNA complement(join(<16486617..16487029,16487143..16487308, 16487386..16487548,16487678..>16487901)) /locus_tag="BRARA_H01683" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01683" CDS complement(join(16486617..16487029,16487143..16487308, 16487386..16487548,16487678..16487901)) /locus_tag="BRARA_H01683" /codon_start=1 /product="hypothetical protein" /protein_id="RID50989.1" /db_xref="Phytozome:Brara.H01683" /translation="MKECCAFLLLLLFICPLGFYLYTIEYGTKLSPDFYAHSCPQVED IVIDVLATTIATETRMAASLMRLHYHDCFVHIGGIVSEKNSVFNSQSVRGFEVIDQIK AQLEKECPGTVSCADVLTLAARDSSVLSGGPSWVVPLGRRDSINASLSGSNSNIPASN DSFKAILRKFNRHGLDVTDLVALSGSHTIGFSRCTSFRQRLYNQSGDGRPDITLEESF AANLRKSCPIAGGEENLSVLDMVTAAKFDNNYFINLIKNMGLLYSDQILFSGNDISRG LVITYAQDQEVFFLQFAESMIKMGNISPLMGSNGEIRKNCRKINS" gene complement(16492297..16494307) /locus_tag="BRARA_H01684" /db_xref="Phytozome:Brara.H01684" mRNA complement(join(16492297..16492851,16492949..16493194, 16493277..16493375,16493487..16493663,16493737..16493838, 16494214..16494307)) /locus_tag="BRARA_H01684" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01684" CDS complement(join(16492549..16492851,16492949..16493194, 16493277..16493375,16493487..16493663,16493737..16493793)) /locus_tag="BRARA_H01684" /codon_start=1 /product="hypothetical protein" /protein_id="RID50990.1" /db_xref="Phytozome:Brara.H01684" /translation="MFKRRNAHQLDDGSQHDNKVRELRSAIGPLSGQSLVFCSDASLR RYLAARNWNVEKAKKMLEETLKWRSTYKPQEIRWNQVAHEGETGKVSRASFHDRQGRV VLIMRPALQNSTSAEGNIKHLVYLLENAILNLPKGQEQMSWLIDFTGWSMAANVPMKT TREIVYILQNHYPERLGIAFLYNPPRLFQAVYRAVKYFLDPCTAQKVKFVYPKDKTSD ELMTSHFDVENLPKEFGGEATLEYDHEEFSKQMCEDDVKTAKFWGLEEKQYPKPNGFS PADVVPEPATSLASAAS" gene complement(16500277..16502633) /locus_tag="BRARA_H01685" /db_xref="Phytozome:Brara.H01685" mRNA complement(join(16500277..16501872,16502252..16502633)) /locus_tag="BRARA_H01685" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01685" CDS complement(join(16500488..16501872,16502252..16502387)) /locus_tag="BRARA_H01685" /codon_start=1 /product="hypothetical protein" /protein_id="RID50991.1" /db_xref="Phytozome:Brara.H01685" /translation="MEDQVISSKNPDPVEKPTNEKPPGVNRYALQCSIVASIVSIIFG YDTGVMSGAMVFIEEDLKTNEVQIEVLTGILNLCALFGSLLAGRTSDIIGRRFTIVLA SILFMLGSVLMGWGPNYPVLLTGRCTAGLGVGFALMVAPVYSAEIATASHRGLLASLP HLCISIGILLGYLVNYFFSKLPMHIGWRLMLGIAAIPSLVLALGILKMPESPRWLVLQ GRLGEAKKILKLVSNSPEEAELRFKDIKTAAGIDANCEEEVVKMEKKKTHGEGVWKEL ILRPTPAVRRVLLTALGIHFFQHATGIEAVLLYGPKIFKRAGITAKDKLFLVTIGVGI MKTTFILTATFLLDKVGRRKLLLTSVGGMVCALTMLGFGLTMAQNSGGKLAWALVLSI VSAYSFVAVFSIGLGPITWVYSSEVFPLKLRAQGASLGVAVNRVMNATVSMSFLSLTR AITTGGAFFMFAGVAAVAWNFFFFLMPETKGKSLEEIEALFQRDGDNKTKGENGTV" gene complement(<16506341..>16507564) /locus_tag="BRARA_H01686" /db_xref="Phytozome:Brara.H01686" mRNA complement(<16506341..>16507564) /locus_tag="BRARA_H01686" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01686" CDS complement(16506341..16507564) /locus_tag="BRARA_H01686" /codon_start=1 /product="hypothetical protein" /protein_id="RID50992.1" /db_xref="Phytozome:Brara.H01686" /translation="MAASRLSLRHLRRFTTSATDAATTTVETTKISASKAKSILRKQH DPDKALEIYSNVSNHSASPVSSRYAQELTVRRLAKCNRFSDIEALIESHKDDPRIKEE PFYSTLIRSYGRASMLDHAVKAFEEMGRHETPRTAVSFNALLTACLNSQNFEKVPQLF DEMPQRYSTIVPDRVSYGILIKSYCDAGSPEKAIEIMREMEGKRNMEVTTIAFTTILG SLYKNGKVELAESLWNEMVKKGIEFDSAAYNVRLMNAQKEGPERVWELIEEMSVKGLK PDTISYNYLMTAYCEKGMLDEAKKVFEGLKRNRCAPNAATFRTLVFHLCDSGLYEQGY AIFKKSVGMNKIPDFNTLQHLAVGLVRNKKVNDAKGLIRTVKKKFPPSFLNAWKKLEE ELGLDTKPDAPSTPA" gene complement(<16508335..>16511621) /locus_tag="BRARA_H01687" /db_xref="Phytozome:Brara.H01687" mRNA complement(join(<16508335..16508472,16508544..16508611, 16508885..16509020,16509105..16509551,16509635..16509808, 16509899..16509958,16510050..16510097,16510167..16510243, 16510648..16510718,16510811..16510909,16510990..16511222, 16511508..>16511621)) /locus_tag="BRARA_H01687" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01687" CDS complement(join(16508335..16508472,16508544..16508611, 16508885..16509020,16509105..16509551,16509635..16509808, 16509899..16509958,16510050..16510097,16510167..16510243, 16510648..16510718,16510811..16510909,16510990..16511222, 16511508..16511621)) /locus_tag="BRARA_H01687" /codon_start=1 /product="hypothetical protein" /protein_id="RID50993.1" /db_xref="Phytozome:Brara.H01687" /translation="MSDFEDHEGNGTAVDGFEADDNGGRGGEIEDQTDSKSQGETRDN ERESSRSKDREREKGSVDRSRDRSKERSRDRDRERRSRHRDRSRDRGDRRDRGSRDRD DDYRRGSRDRDYDRRRDDRGDRRRHRSRSRSKDRSRRRSRSRSPSKTKRVSGFDMAPP ASAMLAAGAAVTGQVPPPPPTLPPAGMFPNIFPLQTGQAFGGLPMMPIQAMTQQATRH ARRVYVGGLSPVANEQSVATFFSQVMAAVGGNTAGPGDAVVNVYINHEKKFAFVEMRS VEEASNAMSLDGIIFEGAPVKVRRPSDYNPSLAASLGPSQPSPHLNLAAVGLTPGASG GLEGPDRIFVGGLPYYFTEAQVRELLESFGALKGFDLVKDRETGNSKGYAFCVYQDVA VTDIACVALNGIKMGDKTLTVRRANQGSMQPKPEQESVLLHAQQQIAFQMNMFQPGPV ATTVVCLTQVVTEDELKDDEEFEDIMEDMRQEGGKFGMLTSVVIPRPSPSGEPVPGLG KVFLKYVDTEGSSRARSGMNGRKFGGNEVVAVFYPEDKFDQGEYGA" gene complement(<16513161..>16515865) /locus_tag="BRARA_H01688" /db_xref="Phytozome:Brara.H01688" mRNA complement(join(<16513161..16514246,16514577..16514657, 16514748..16514926,16515520..>16515865)) /locus_tag="BRARA_H01688" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01688" CDS complement(join(16513161..16514246,16514577..16514657, 16514748..16514926,16515520..16515865)) /locus_tag="BRARA_H01688" /codon_start=1 /product="hypothetical protein" /protein_id="RID50994.1" /db_xref="Phytozome:Brara.H01688" /translation="MIRFTVLSFFVVFVLLFACNESSAKTAKYDKSDESVENDDLAAV PSCCGFSSPLLIKKDQWKPIFANKFGQISTVQIGDGCGGMGPYKIHSITLEPNALMLP LLLHSDMVFFVDSGSGILNWVEAQATSTEIRLGDVYRLRPGTVFYLQSKPVDIFLGTK LKIYAIFSNSQECLHDPCFGAYSSVTDLLFGFDETILKSAFGVPEEIIGLMRNRTQPP LIVHDMLTTPGEANTDTDTNTWPLQTRLLKLFSGDASADSVENKKVKKKKEKKEKKKK PKKATTFNVFESQPDFQSPNGQTITINRKDLKVLQGSMVGVSMVNLTQGSMMGPHWNP WACEISVVVRGSGMVRVLRNSISRSSSECKNMRFKVEKGDIFAVPRLHPMAQMSFLND SLVFVGFTTSAKNNEPQFLAGKNSVLWSLDREVLAASFNVSSFMIAGLLEAQKEAAVL GCPACAEGELEKLKEDEEKKESPPQQPPQPPQGEPQKPPQGEPQKPPQGEPEGPQKPF QPQPGQGEPQEPQASMETKMRDEERKREEEEAKKEEEERWKQEEKLWPTQPQWED" gene 16521960..16524245 /locus_tag="BRARA_H01689" /db_xref="Phytozome:Brara.H01689" mRNA join(16521960..16522066,16522409..16522467, 16522852..16522949,16523080..16523131,16523219..16523282, 16523384..16523479,16523568..16523640,16523729..16524245) /locus_tag="BRARA_H01689" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01689" CDS join(16522004..16522066,16522409..16522467, 16522852..16522949,16523080..16523131,16523219..16523282, 16523384..16523479,16523568..16523640,16523729..16523847) /locus_tag="BRARA_H01689" /codon_start=1 /product="hypothetical protein" /protein_id="RID50996.1" /db_xref="Phytozome:Brara.H01689" /translation="MNGFASQIPSMALLGSGFTSEVGLRVLLSPLSSNIVLRTACCSI GIGLPVFSTFKAIENRDQDQQQKWLIYWAAFGSFSLVEVFTDKLISWFPLYYHAKFAF LVWLQLPTIDGAKQIYNNHLRPFLIRHQARVDRLVDGVYEEMVKVVRSHQGEIRFVRS MIASILGSANEVTPPSQQQGEISNSSPEPEVSTAIVRDSESESDHEE" gene complement(16523729..16526420) /locus_tag="BRARA_H01690" /db_xref="Phytozome:Brara.H01690" mRNA complement(join(16523729..16524245,16524337..16524414, 16524503..16524627,16524716..16524764,16524844..16524901, 16524993..16525132,16525234..16525323,16525417..16525506, 16525592..16525636,16525722..16525791,16526152..16526420)) /locus_tag="BRARA_H01690" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01690" CDS complement(join(16524039..16524245,16524337..16524414, 16524503..16524627,16524716..16524764,16524844..16524901, 16524993..16525132,16525234..16525323,16525417..16525506, 16525592..16525636,16525722..16525781)) /locus_tag="BRARA_H01690" /codon_start=1 /product="hypothetical protein" /protein_id="RID50995.1" /db_xref="Phytozome:Brara.H01690" /translation="MGTSEEKTPFKPSKPASSAQDTPPTPYADWSNSMQSYYGGGATP SPFFPSPVGSPSPHPYMWGAQHHMMPPYGTPVPYPAMYPPGTVYAHPGMPMPQASGPT NTETVKAQAPGKKPKGNLKRKSGGVEKAPSGSGNDAVSQSEESVTAGSSDENDDNANH QEQGSVRKPSFGQMLADASSQSNTTGEIQGSMPMKPVAPGTNLNMGMDLWSSQTGVAV KDERELKRQKRKQSNRESARRSRLRKQAECEQLQQRVESLTSENQSLRDELQRLSGEC EKLKTENNTIQDELVRVHGPEAVANLEQNADGSKDGE" gene complement(<16530107..>16531463) /locus_tag="BRARA_H01691" /db_xref="Phytozome:Brara.H01691" mRNA complement(join(<16530107..16530203,16530288..16530469, 16530551..16530733,16530811..16530984,16531054..16531216, 16531249..>16531463)) /locus_tag="BRARA_H01691" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01691" CDS complement(join(16530107..16530203,16530288..16530469, 16530551..16530733,16530811..16530984,16531054..16531216, 16531249..16531463)) /locus_tag="BRARA_H01691" /codon_start=1 /product="hypothetical protein" /protein_id="RID50997.1" /db_xref="Phytozome:Brara.H01691" /translation="MPLDTRQRDLPPQPYFEDNAARTVPLPELGRPYAAELQSRCLQP SPLQSLLWSHHDKESCGKRFSMSDMRSCIRVFSERTYDIRSVRKPDSWKLNEIFATGI VLGGYQAVMSVIFFWVIYKTDFCSDKFGVRSIRDNNDELMFAVYLQVSIINQALIFVT RSRSWLFVERPGALLMIAFVIAQLIATLIAVYANWTFAKVKGIGWGWAAVIWVYSILT YIPQDILKFAIRYILSGKPWVSMFDKRSALTTRRDYGAGERQAEWAREQRTQHGLQTR QEVNVFPENEGYRELSQIVEQAKKRAEIARLREIHTFKGHVESVAKLKGLDIDTSGHQ YTL" gene complement(16538857..>16540199) /locus_tag="BRARA_H01692" /db_xref="Phytozome:Brara.H01692" mRNA complement(join(16538857..16539264,16539470..16539795, 16540118..>16540199)) /locus_tag="BRARA_H01692" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01692" CDS complement(join(16539013..16539264,16539470..16539795, 16540118..16540199)) /locus_tag="BRARA_H01692" /codon_start=1 /product="hypothetical protein" /protein_id="RID50998.1" /db_xref="Phytozome:Brara.H01692" /translation="MNPTVSDHNMAFISQLYPDVYTHIVPQGEVKPPKRRRKKSKRAV AAGDGSNCLFKKRKLTDEQMNMLEMSFCDEHKLESERKDKLAAELGLDPRQVAVWFQN RRARWKNKRLEEEYNQLKSSHDNVVVDKCRLESEVLQLKEQLFDAEREIQRLAERVEG GSGNSPVSSSVSVEHNETSFFGDYKVEEDGDYDNMVYPVPENSYMDGEEWINYQLNVI F" gene 16547781..16551104 /locus_tag="BRARA_H01693" /db_xref="Phytozome:Brara.H01693" mRNA join(16547781..16548006,16548107..16548170, 16548253..16548392,16548470..16549132,16549223..16549309, 16549557..16549691,16549778..16549912,16549996..16550076, 16550159..16550318,16550395..16550466,16550537..16550661, 16550746..16551104) /locus_tag="BRARA_H01693" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01693" CDS join(16547914..16548006,16548107..16548170, 16548253..16548392,16548470..16549132,16549223..16549309, 16549557..16549691,16549778..16549912,16549996..16550076, 16550159..16550318,16550395..16550466,16550537..16550661, 16550746..16550913) /locus_tag="BRARA_H01693" /codon_start=1 /product="hypothetical protein" /protein_id="RID50999.1" /db_xref="Phytozome:Brara.H01693" /translation="MSEILSSLRSLMASHTPPLDALVVPSEDYHQSEYVSARDKRREF VSGFTGSAGLALITKNEARLWTDGRYFLQAIQQLSDEWTLMRMGEDPLVEVWMSDNLS EGANIGVDPWCVSVDTANRWGKAFAKKSQNLIPTTTDLVDEVWKSRPPPEMNPVLVHP LEFAGRSVPEKLTDLRAKLKQESARGLVIAALDEVAWLYNIRGTDVAYCPVVHAFAIV TTDSAFLYVDKKKVSNEVSEYFKGLGVEVKEYTDVISDVALLASKQLFSSFSSKSEDM EIDSDQTDRLWVDPASCCYALYSKLDADKVLLQPSPLSLPKALKNPVELEGLKKAHVR DGAAVVQYLVWLDNQMQELYGASGYFMEAEANKKKPTSETSKLTELTVSDKLESLRAA KEHFRGLSFPTISSVGSNAAIIHYSPEPEACAEMDPDKIYLCDSGAQYLDGTTDITRT VHFGKPSAHEKDCYTAVLKGHVALGNARFPKGTNGYTLDILARAPLWKFGLDYRHGTG HGVGSYLFVHEGPHQVSFRPSARNVPLQATMTVTDEPGYYEDGNFGIRLENVLVVNDA ETEFNFGDKGYLQFEHITWAPYQVKLIDLKQLTREEIDWLNTYHLRCKDILAPFLNQA EMEWLKKATEPVSVLA" gene complement(16551428..16553027) /locus_tag="BRARA_H01694" /db_xref="Phytozome:Brara.H01694" mRNA complement(join(16551428..16551829,16551912..16552028, 16552092..16552170,16552249..16552357,16552444..16552590, 16552824..16553027)) /locus_tag="BRARA_H01694" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01694" mRNA complement(join(16551428..16551829,16551912..16552028, 16552092..16552170,16552249..16552357,16552444..16552590, 16552685..16552736,16552824..16553027)) /locus_tag="BRARA_H01694" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01694" CDS complement(join(16551692..16551829,16551912..16552028, 16552092..16552170,16552249..16552357,16552444..16552558)) /locus_tag="BRARA_H01694" /codon_start=1 /product="hypothetical protein" /protein_id="RID51000.1" /db_xref="Phytozome:Brara.H01694" /translation="MIGLFKVKEKQREQAQNASRGGGASVKKQSAGELRLHKDISELN LPSSCTISFPNGKDDLMNFEVSIKPDDGYYHNGTFVFTFQVSPVYPHEAPKVKCKTKV YHPNIDLEGNVCLNILREDWKPVLNINTVIYGLFHLFTEPNSEDPLNHDAAQVLRDNP KLFETNVRRAMTGGYVGQTFFPRCI" CDS complement(join(16551692..16551829,16551912..16552028, 16552092..16552170,16552249..16552357,16552444..16552558)) /locus_tag="BRARA_H01694" /codon_start=1 /product="hypothetical protein" /protein_id="RID51001.1" /db_xref="Phytozome:Brara.H01694" /translation="MIGLFKVKEKQREQAQNASRGGGASVKKQSAGELRLHKDISELN LPSSCTISFPNGKDDLMNFEVSIKPDDGYYHNGTFVFTFQVSPVYPHEAPKVKCKTKV YHPNIDLEGNVCLNILREDWKPVLNINTVIYGLFHLFTEPNSEDPLNHDAAQVLRDNP KLFETNVRRAMTGGYVGQTFFPRCI" gene <16558069..>16559163 /locus_tag="BRARA_H01695" /db_xref="Phytozome:Brara.H01695" mRNA <16558069..>16559163 /locus_tag="BRARA_H01695" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01695" CDS 16558069..16559163 /locus_tag="BRARA_H01695" /codon_start=1 /product="hypothetical protein" /protein_id="RID51002.1" /db_xref="Phytozome:Brara.H01695" /translation="MASMTLGSLVHHHHHHQSSPCSISTRSSSFMTLKPIVINPKRTV SSSSFIVSSSIVRKQDNHMKSSSFDFMSYMVRKVETVNRALDLAVPLQEPLKIHEAMR YSLLAGGKRIRPLLCIAACELVGGEASVAMPAACAVEMIHTMSLIHDDLPCIDNDDLR RGKPTNHKVFGENVAVLAGDALLPFAFEHLVTATSPEVSPVRLVRAVGELAKAVGVEG VAAGQVADISSEGLDSNEVGLEHLEFIHLHKTAALLEASAVLGGIVGGGSEDEIEKLR QYARCVGLLFQVVDDILDVTKSSQELGKTAGKDLVADKLTYPKIMGLEKSREFAEKLC RDAREQLLGFVSDRVAPLLALANYIANRQN" gene 16571186..16576356 /locus_tag="BRARA_H01696" /db_xref="Phytozome:Brara.H01696" mRNA join(16571186..16571277,16571405..16572513, 16573345..16573736,16574256..16574316,16575636..16576356) /locus_tag="BRARA_H01696" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01696" CDS join(16571506..16572513,16573345..16573736, 16574256..16574316,16575636..16576160) /locus_tag="BRARA_H01696" /codon_start=1 /product="hypothetical protein" /protein_id="RID51003.1" /db_xref="Phytozome:Brara.H01696" /translation="MSQDYHHHHHQQHQGGIISFSNGFDRLDSTSLTTQQKQEHQRIK MDEESSVAGSGIPVYETAGILSDMFNFPGSSGAVGGGDLDHDQSFRSNRQFLEKQHQN IPADSAAAMQLFLMNPPQQPPSPASTTTTRSHHNNSSTLHMLLPNPSHQQGYTNTMSM HQIPHQHHHQQLTWQSPSSSDHHHHHNSQTGGQGLSLSLSSSLEAAAKAEEYRNIYYG NSSSNSRTLLTDYPQHHLRSSPVAAAASSSSVGAINIIRSSRYTTAAQELLEEFCTVG RGFSKKTKLRNSSNPNTSGGDGGSGSPSSAGAIKDQSPLSASDRIEHQRRKVKLLTML EEVDRRYNHYCEQMQMVVNSFDIVMGHGAALPYTALAQKAMSRHFRCLKDAVAAQLRQ SCELLGDKDAAGISSSGLTKGETPRLRLLEQSLRQQRAFHQMGMMEQEAWRPQRGLPE RSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMY QQESKELEENEQDQETKNSNSDKSTKPNNDDSNFTPVRTTSQTSMTVAQSATTAPDAD AAVATGHRLRSSSDINAYENDPSSSYTNVAAVSSYAGSTAFSAVATCQKGIGGFDDTD MDGGNVIRFGTNPTGDVSLTLGLRHVSNMPDQRFFLR" gene complement(<16581386..>16582578) /locus_tag="BRARA_H01697" /db_xref="Phytozome:Brara.H01697" mRNA complement(join(<16581386..16581435,16581483..16582079, 16582146..>16582578)) /locus_tag="BRARA_H01697" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01697" CDS complement(join(16581386..16581435,16581483..16582079, 16582146..>16582578)) /locus_tag="BRARA_H01697" /codon_start=1 /product="hypothetical protein" /protein_id="RID51004.1" /db_xref="Phytozome:Brara.H01697" /translation="SAYLHPEVSPLSPPTDLSPPLLRASPAPLVPEITKEAPLATNPV SLSPAVTAPALWVSKLKASTHNLKKLASPTFAADGTPVVRAPESVIFKSSNLWKDYIV AQFHGTPPSPTKIFSDLNPIWGKHGRIKVKQQSHDVCFILIPFSPWSPNMNLSPMKLE YAPVWVLFRNVPPELWSLEGFSTFATGVGFPVQSEFPNLKPYTNGVVKLKVIIKLEAK RQPSVKVVDKMGNSAIIYAEYLKLPPKCSLCSEFGHLNLRCPQLIAKKPSPSPKGNEA LSVASVASRNERLMVSPAASQGSKSGKPSTANRSPIRRSSSLPSGSKLSGAKTAGEWI RVAHRSNPPLQFRRDPYLECPKTPS" gene complement(<16587132..>16587653) /locus_tag="BRARA_H01698" /db_xref="Phytozome:Brara.H01698" mRNA complement(<16587132..>16587653) /locus_tag="BRARA_H01698" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01698" CDS complement(16587132..16587653) /locus_tag="BRARA_H01698" /codon_start=1 /product="hypothetical protein" /protein_id="RID51005.1" /db_xref="Phytozome:Brara.H01698" /translation="MGICSSSESTQVASAKLILQDGKMMEFANPVKVGYVLQKYPMCF ICNSDDMDFDDAVSAISAEEELQLGQIYFALPLRWLREPLKAEEMAALAVKASSALMR SGGGGGGSCRRKCIDHVVVSDKYRLNVGSGDDTVGSGRVRRKGRNVDGGGGSSSSGRK RKCYAAELSTIEE" gene complement(16598106..16599428) /locus_tag="BRARA_H01699" /db_xref="Phytozome:Brara.H01699" mRNA complement(join(16598106..16598544,16598629..16598699, 16598948..16599055,16599143..16599428)) /locus_tag="BRARA_H01699" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01699" CDS complement(join(16598291..16598544,16598629..16598699, 16598948..16599055,16599143..16599321)) /locus_tag="BRARA_H01699" /codon_start=1 /product="hypothetical protein" /protein_id="RID51006.1" /db_xref="Phytozome:Brara.H01699" /translation="MGRVDYLAMKTEEETAANLINSDLNEFVDAAKKLVKDATMLGGL SFGTSFLQWAASISAIYLLILDRTNWRTKMLTTLLVPYIFLTLPYVIFNFFRGDFGKW IALISITIRLFCPKHFPDWLEIPAALILLLVVAPSLIAGTVRESWVGAVICLIIACYL FHEHIKASDGFRNALTQKHGLSNTIGIVALLVYPVWTIFFNIF" gene complement(16599850..16602156) /locus_tag="BRARA_H01700" /db_xref="Phytozome:Brara.H01700" mRNA complement(join(16599850..16600374,16600452..16600725, 16600801..16600891,16600982..16601188,16601412..16601647, 16601747..16602156)) /locus_tag="BRARA_H01700" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01700" CDS complement(join(16600018..16600374,16600452..16600725, 16600801..16600891,16600982..16601188,16601412..16601647, 16601747..16602045)) /locus_tag="BRARA_H01700" /codon_start=1 /product="hypothetical protein" /protein_id="RID51007.1" /db_xref="Phytozome:Brara.H01700" /translation="MVDEAAASASEASVTELAQTLEPNQASIEATVGSAAQGGAESSC NNNNAAESAVTDVVSEEEREKTLEFADELTEKGSVFLKEMDFAEAVDCFSRALEIRVE HYGELAVECVNAYYKYGSALLEKAQAEADPLGNMPKKEGETQQESSDKNAVNGESLAA SVVSGDPERQGSSSGGQEGSGGQEQGEAGEDSQDDDLSDADADEDESDLDMAWKMLDI ARAITDKQATDTMEKVDILSTLAEISLEREDIETSLSDYKNALSILERLVEPDSRHTA ELNFRICICLETGCQPKEAIPYCQKALLICKARVERLTNEIKGPSASATSSTVSESEE GIQQSSNVPYIDKAASDKEAEIGVLSGLAEDLEKKLEDLKQQAENPKQLLAELMGMAS AKPNAGDKVVPAVAGEMSSSRMGTANNGKDLESPTVSTAHTGAAGGGTASSSGVTHLG VVGRGVKRVLMNATSAESNPSKKLAPESSNKADGNSS" gene complement(16603892..16605506) /locus_tag="BRARA_H01701" /db_xref="Phytozome:Brara.H01701" mRNA complement(join(16603892..16604364,16604450..16604526, 16604621..16604897,16604991..16605136,16605215..16605506)) /locus_tag="BRARA_H01701" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01701" CDS complement(join(16604125..16604364,16604450..16604526, 16604621..16604897,16604991..16605136,16605215..16605440)) /locus_tag="BRARA_H01701" /codon_start=1 /product="hypothetical protein" /protein_id="RID51008.1" /db_xref="Phytozome:Brara.H01701" /translation="MVQTDKDRRMSLNLNLSMYSIAKPLSQFLDEVSRIKDNHSKLSE IDVYVGKLEEERKKIDVFKRELPLCMLLLNEAIERLKEEASSVMMASNGKLDVGQGSK LENDNKKNWMSSAQLWISNSNSTNKEEDRCVTQTPFQTCNNLNRGGAFLPFKPPPAPL SLMTPMMDCNRTEQNHQFNKPLQSHHHIPKKEQRRRWSQDLHRRFVDALRRIGGSQVA TPKQIRDEMKVDGLTNDEVKSHLQKYRMHIRKHPLSSSDQPRETQSLISLSRSGSPQS PLVGRGLFNNNGSHISEDDEEEEKSDGRSWRGESDKKRQVVDFEL" gene complement(16609687..16611808) /locus_tag="BRARA_H01702" /db_xref="Phytozome:Brara.H01702" mRNA complement(join(16609687..16609945,16610046..16610086, 16610172..16610589,16610664..16611149,16611233..16611347, 16611455..16611808)) /locus_tag="BRARA_H01702" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01702" CDS complement(join(16609907..16609945,16610046..16610086, 16610172..16610589,16610664..16611149,16611233..16611347, 16611455..16611723)) /locus_tag="BRARA_H01702" /codon_start=1 /product="hypothetical protein" /protein_id="RID51009.1" /db_xref="Phytozome:Brara.H01702" /translation="MSSFNPFSTPQRHQQTPQSPFQTPQQTPQPQSISFFSHPQPQQQ QPPSFQPQQFQQQQLYLFTNDQAPASYSTEWTDLHPDSQKLLLEIEKKILEYSSESQR LDQCNRLDDLSLSTEGFGFDASRIVQELGGINTTMDRQKAVLHELMLAVKDMFRNSEV AVGSFMMLQPRSRRSKPGGGGGRGAVVVSGGDSQQPQAQGVNSAPASSGEQQAVQVSY FYRGIPKKPTAFLLQTVVRFEKYLGQCRQWVEELEQLLALDSDKYNRHVLVLESLPNV MSNVHAFFVHVAAKVENIHQYIESMRTAYLADQRRRGECNDPFLEADRRETAKQEAAA KRVHPTLHLPVATSTRTQVTGFINNSATPGSSNAPQTPAALPRSGAGLFPDTPASAPS SSLVATPVRLVFGAPPASGSLFGPPSPSNPATPPQFAGPSPGSGAKFSSLTRPSRFKS RTSRR" gene complement(16611822..16613108) /locus_tag="BRARA_H01703" /db_xref="Phytozome:Brara.H01703" mRNA complement(join(16611822..16612161,16612252..16612329, 16612409..16612594,16612766..16612818,16612912..16613108)) /locus_tag="BRARA_H01703" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01703" CDS complement(join(16611990..16612161,16612252..16612329, 16612409..16612594,16612766..16612818,16612912..16612983)) /locus_tag="BRARA_H01703" /codon_start=1 /product="hypothetical protein" /protein_id="RID51010.1" /db_xref="Phytozome:Brara.H01703" /translation="MSDNKDHNSDSDSDGAPEEFTQEQAKLEDAALRKIERENKARVA REKKESRRRLAEKITPRKPRKVETFEDIEEEEEHEEDPEALANKGFLSKNIIDFLAQR EKQKNSSDSEEEEANKEHPRKKKRKSSGIETVIYKEIPPPECLKTGLDFLKKRKAQVP RSSSILNNSSQALRLVTGAKKQPQRK" gene complement(16613940..16617277) /locus_tag="BRARA_H01704" /db_xref="Phytozome:Brara.H01704" mRNA complement(join(16613940..16614426,16614509..16614625, 16614708..16614791,16614878..16614937,16615028..16615127, 16615239..16615969,16616044..16616160,16616241..16616336, 16616646..16617277)) /locus_tag="BRARA_H01704" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01704" CDS complement(join(16614124..16614426,16614509..16614625, 16614708..16614791,16614878..16614937,16615028..16615127, 16615239..16615969,16616044..16616160,16616241..16616336, 16616646..16616711)) /locus_tag="BRARA_H01704" /codon_start=1 /product="hypothetical protein" /protein_id="RID51011.1" /db_xref="Phytozome:Brara.H01704" /translation="MNTSVRAGFSSMKAPSKHVTTQEEKKKKMVSQGNETLANRRRAN KEKKMDLLQDVDKLKRKLRQEENVHRALERAFTRPLGALPRLPSYLPRHTLELLAEVA VLEEEVVRLEEQVVSFRQGLYQEAVYISSKSNGLNENSPVRSTKHQRSKSMSQHELKS MITPPKKYQQSLSPSRSISSRKLFSSDQTVNDKQASPKPNVSSVVTKPVDVRGKENQT SSNGLKDKKIKESPEKRLMKPLSKLDDRLADQDKAQESVSEPVQSGTAANRVSEELLR CVVSIILRISSSKDIVLDPYSNCSEWRTRELGAYKNLCSVDASSINLGRRINALFLIH RLKFLLNKLSVVNLDGLSHQQKLAFWINTYNSCVMNGFLEHGIPETPEMVVALMQKAK IVVGGHSLNAITIEHFILRLPYHLKFNCPKTATHEEMKAHSTFGLEWSEPLVTFALSC GSWSSPAVRVYTAASVEKELEAAKRDYLQASVWISKKNKLMIPKVLDWYLLDFAKDVE SLLDWVCLQLPDKLREEAMKCVERKNKESLMALVQVVPYDFSFRLLLHQ" gene <16618319..>16619530 /locus_tag="BRARA_H01705" /db_xref="Phytozome:Brara.H01705" mRNA <16618319..>16619530 /locus_tag="BRARA_H01705" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01705" CDS 16618319..>16619530 /locus_tag="BRARA_H01705" /codon_start=1 /product="hypothetical protein" /protein_id="RID51012.1" /db_xref="Phytozome:Brara.H01705" /translation="MARRYSRSEKEKWMAPPIPAKRPPVRIPANNNEDLIAANRLTII GRVTNSLVQKPRAVIEFMPQIWNLEGRVMGRDLGLDKFQFSFESENDLIQVLENGPYH YKRWMLLLQRWEPIVSDSFPSNISFCVKIHGIPLHFWSDSTIHTIGKEFGQYSIKDVK EAKIRVEVNGLQPLTMKMEIQLPTDDVTEVEFEYQKIEKHCFTCFSLLHEETDCPYRN PNAPHPKDRSLGITQRLALQRIEADKKRHDDRRGYRRPDELVPLTRISETSHLLPRRE RTSDKPYYERSYHGRREDSRREQSISSRTGRSNFEYRRSNAPSMQYRVVERSRLSSGS SPHQQTPPAGSGGVENTGNIPGSQTERIPPSNQNLVTPPARSVKERLGGPVGTGNEGS NSGSKERRSALE" gene complement(<16626094..>16631904) /locus_tag="BRARA_H01706" /db_xref="Phytozome:Brara.H01706" mRNA complement(join(<16626094..16626287,16626357..16626454, 16626534..16626613,16626690..16626761,16626840..16626881, 16631785..>16631904)) /locus_tag="BRARA_H01706" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01706" CDS complement(join(16626094..16626287,16626357..16626454, 16626534..16626613,16626690..16626761,16626840..16626881, 16631785..16631904)) /locus_tag="BRARA_H01706" /codon_start=1 /product="hypothetical protein" /protein_id="RID51013.1" /db_xref="Phytozome:Brara.H01706" /translation="MIIENGAYPSPLGYGGFPKSVCTSVSECICHGIPDSRPLEDGDI INIDVTVYLNGYNGDTSATFFCGDVDEKAKKLVQVTKESLDKAISICGAGVKYKKIGK TIHDHADKHKYGVVRQFVGHGIGRVFHSDPVVLHFRNNEAGRMVLNQTFTIEPMLTVG SIKPVMWDDDWTVITEDASLSAQFEHTILITKHGAEILTNC" gene complement(16632464..16635256) /locus_tag="BRARA_H01707" /db_xref="Phytozome:Brara.H01707" mRNA complement(join(16632464..16632826,16632913..16633361, 16633434..16633611,16633694..16634089,16634169..16634302, 16634381..16634576,16634682..16634790,16634882..16635256)) /locus_tag="BRARA_H01707" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01707" CDS complement(join(16632673..16632826,16632913..16633361, 16633434..16633611,16633694..16634089,16634169..16634302, 16634381..16634576,16634682..16634790,16634882..16634972)) /locus_tag="BRARA_H01707" /codon_start=1 /product="hypothetical protein" /protein_id="RID51014.1" /db_xref="Phytozome:Brara.H01707" /translation="MAVVNNLGSCLKISYVIFAFCSAFFLGALKGLIVGPIAGLTLIA GNVGVILGLFPAHVTWTVYTVAKTNRFDIPLKLAILVALPALFGIWLGLSIAVSVLVG VGYGFFTPWISAFEAFRQDTESNKFFHCLVDGTWGTIKGSCTVVTDFADLCYHSYPLY LKELRESPASDELQTLRLIHVPGCIIVGIIGLVIDIPLFTAIAVVKSPYLLFKGWFRL AQDAINREGPFLEIACIPVAGLTILLWPIIVIGFVLTTIFASIFVGLYGAVVVFQERS FRRGVSYVIAVVGEFDEYTNDWLYLREGTIFPKPRYRMTNGSFSSEVSVVVHPSAVSR VNSSGSVEPPAMLVPSLVRSVSVRGAIQEVRMVQIWEHMMGWFEMEGKELLDQGVLTP ADLYESLKGRHGNESSIISVGLPSYALLHTLLRSIKAGAHGVLLLDGSEVTHLNRPQD KFLDWFFNPIMVLKDQIRVIKLGESEVRYLEKVVLFGNHEQRMEAWDNSGNLPQENLR AAQIQGISRRMMGMVRSVSKLPTYRRRFRQVVKALITYWLEKQGLNRTGSMSSGDFIE EV" gene complement(16637290..16639417) /locus_tag="BRARA_H01708" /db_xref="Phytozome:Brara.H01708" mRNA complement(join(16637290..16637636,16637722..16637819, 16637900..16638191,16638918..16639055,16639128..16639176, 16639260..16639417)) /locus_tag="BRARA_H01708" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01708" CDS complement(join(16637619..16637636,16637722..16637819, 16637900..16638191,16638918..16639055,16639128..16639176, 16639260..16639312)) /locus_tag="BRARA_H01708" /codon_start=1 /product="hypothetical protein" /protein_id="RID51015.1" /db_xref="Phytozome:Brara.H01708" /translation="MESMEAQSTAFQSPSRSSQQLHFYLAVDRPQFKMETVVELLGVL GRRPWLPIVVCCSSRDELDAVCSSLSTLPYISFAALYSDLGERERASVLDKFRQATIN WNQQLNSAVVEEGLEESETREEEDEKKSHLVVVTDVCLPMLSSGESSLSSRVLINYEL PTKKETYTRRLTSCLASGGIVINMVVGGEVTTLRSLEESSGIIIAEMPINISEIL" gene <16641952..>16645681 /locus_tag="BRARA_H01709" /db_xref="Phytozome:Brara.H01709" mRNA join(16641952..16642704,16642800..16643841, 16643951..16644226,16644329..16645681) /locus_tag="BRARA_H01709" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01709" mRNA join(<16642100..16642704,16642800..16643010, 16643029..16643841,16643951..16644226,16644329..>16645396) /locus_tag="BRARA_H01709" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01709" CDS join(16642100..16642704,16642800..16643010, 16643029..16643841,16643951..16644226,16644329..16645396) /locus_tag="BRARA_H01709" /codon_start=1 /product="hypothetical protein" /protein_id="RID51017.1" /db_xref="Phytozome:Brara.H01709" /translation="MDSYFVSLAAAAAISFYALFGTIFFNRKSRSSQEDKTEASSSLT LLAPPSSFPHNWKHHVFPSFHGADVRTNFLGHVVKELKSKGIDLFIDNDIERSKSIGP ELVDAIKGSRIAMVLLSENYASSTWCLNELVEIIKCRQEFGQTVMSIFYQVDPSDVKK QTGQFGKAFKKTCKGKTEDEIRRWKRALTEVAQIAGFHSSNGDFDGLVGMESKMTEMR SLLQLDSDEVRKIGILGPSGIGKTTIARSIFNRHSQDFQLSVFIDNIKRNYAIPSVKL NLQKHFMSQLTNETCTSISHLGVVKDRLKDKKVLVVLDDVDRLVQLEAMAKESSWFGP GSRIIITTQDQKVLKASGINHIHKVCLPSHDEALQMFCMYAFDQKEPKDGFEGLAWKV SDLVGSLPLGLKVMGSYFRGMSEQEWIKALPKLKTHLDRDREIPSILKFSYDALHDED KSLFLHIACFFDDEPVDIVEGCLAKCFLDVTQGIRVLVEKSLISIEDEMIKMSKLLVQ LGRQIVQNESVSEPGKRRFLNDASDIGEVLSDDRAGNSSVIGINLEPDDEITWTSERA FERLSHLQFLRILGKCVEPQSMNYLSQKLRVLIWRNFKITCFPSSFNPKFLVKLEMHS SNLVKFWEGIKPLNNLKWMDLSDSRKLEELPDFSTATNLHDLNLSGCERLVELPSSIG SAVNLHQLNFHNCWCLVKLPSSIGNAVNLRELNLEYCSSLVELPSSIWNIVNLNVLNL AYCSSLVELPSSDLYSLKSYHESSTDIQELDPWIGRISCLQELILSEMNKLVSLPQLP DSLLHLHAEKCESLERLDCAFRNPDISLNFRHCFKLNQEAKDLIIRSGTFGVFPAKEV PQCFTYRSSGSSVTVTLNQMPVGKSTKFNVAVICDIDPFEFGETKQEDITCRVTSGGN AITFNFCPGRRIYPGHLYKYEIEVETEDVASTELVFEFEINYAIAEDEVTWKIRGCGI LQLLDGPLLSLRDGDGDF" CDS join(16643059..16643841,16643951..16644226, 16644329..16645396) /locus_tag="BRARA_H01709" /codon_start=1 /product="hypothetical protein" /protein_id="RID51016.1" /db_xref="Phytozome:Brara.H01709" /translation="MSQLTNETCTSISHLGVVKDRLKDKKVLVVLDDVDRLVQLEAMA KESSWFGPGSRIIITTQDQKVLKASGINHIHKVCLPSHDEALQMFCMYAFDQKEPKDG FEGLAWKVSDLVGSLPLGLKVMGSYFRGMSEQEWIKALPKLKTHLDRDREIPSILKFS YDALHDEDKSLFLHIACFFDDEPVDIVEGCLAKCFLDVTQGIRVLVEKSLISIEDEMI KMSKLLVQLGRQIVQNESVSEPGKRRFLNDASDIGEVLSDDRAGNSSVIGINLEPDDE ITWTSERAFERLSHLQFLRILGKCVEPQSMNYLSQKLRVLIWRNFKITCFPSSFNPKF LVKLEMHSSNLVKFWEGIKPLNNLKWMDLSDSRKLEELPDFSTATNLHDLNLSGCERL VELPSSIGSAVNLHQLNFHNCWCLVKLPSSIGNAVNLRELNLEYCSSLVELPSSIWNI VNLNVLNLAYCSSLVELPSSDLYSLKSYHESSTDIQELDPWIGRISCLQELILSEMNK LVSLPQLPDSLLHLHAEKCESLERLDCAFRNPDISLNFRHCFKLNQEAKDLIIRSGTF GVFPAKEVPQCFTYRSSGSSVTVTLNQMPVGKSTKFNVAVICDIDPFEFGETKQEDIT CRVTSGGNAITFNFCPGRRIYPGHLYKYEIEVETEDVASTELVFEFEINYAIAEDEVT WKIRGCGILQLLDGPLLSLRDGDGDF" gene <16646769..>16651462 /locus_tag="BRARA_H01710" /db_xref="Phytozome:Brara.H01710" mRNA join(<16646769..16647207,16647238..16647385, 16648410..16649529,16649636..16649851,16650023..>16651462) /locus_tag="BRARA_H01710" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01710" CDS join(16646769..16647207,16647238..16647385, 16648410..16649529,16649636..16649851,16650023..16651462) /locus_tag="BRARA_H01710" /codon_start=1 /product="hypothetical protein" /protein_id="RID51018.1" /db_xref="Phytozome:Brara.H01710" /translation="MDSYFSRTSVVAAAATISFLALLGTMFFNRKSKSQQEDETMASS STLTLLAPQSSLPHNWKHHVFPSFHGEDVRTNFLSHVLKELKSKAIDLFIDNDIERSK SIGPELIEAIKGSRIAIVFLSKNYASSTWCLNELVEIMTCRKEFVNPTHVKKQTGDFG KVFKKTCVGKTKDEIQRWKHALTEVAQIAGFHSSNWETEAKMIEVIAIDVSNKLNNFA PSSDFNGLIGMESYTTEMEPLLQLDSNEVRKIGILGPLGIGKTTIARYLFNQHSQDFQ LSVFMDNIKRNYQAAAAFSDDYSVMLDLQKQFMSQLTNEACIKVPHLGVVKDRLKDKK VLVVLDDVDQLIQLEAMAKETCWFGPGSRIIITTQNQKILKASGINHIYKVNLPSNDA ALQMFCMYAFGQKDPKDGFTELACEVRSLVGRLPLGLRVMGSYFRGMSEQDWIEAVPR LRTHLARGGEIARILEFSYDALRDEDKSLFLHIACFFHYEKVDIVEGYLEKCYLDVRH GLHVLAEKSLISIDSGRTYMSNLLIQLGRKIMREQSDSEPGNRQFLNDAIDVGEGLID DKAGISSVIGRDLDEKITCASERAFIRLSNLQFLRTKRDGVNLQSMNFISPKLRVLSS PMFHRTCFPSSFNPTFQPLNLKWMELSYTNCLKELPDFSTATNLYELDLTHSSSLVKL SSPIGNAINLQNLNLSHCSDLVEIPSSIITNLKSLDFYGYSSLVEVPFNIEEVIDSIY INLSYCSSMVEVPSSTENAINLQELNLNDCSSLVEIPFSIGNAFYLQKLNMSYCASLV ELPSSTGNTSNFEEHDLDHCRVELPSSMRKLGGLSELELKECSKLEVLLASINLESLG EVNLSDWSLLKNYPENSTDVQETDPWIGRISGLRKLVQSGMEKLESLPPLPDSLWELD ADNGVSLERLGSSFSNPDINLSFLNYLKLNQEEKDLIIQTPSNEYAVFPGGEVPQCFT YRSSGSSLTVKLNQMPIGTSTKFKACILCAGEDKKGLREWERASVCCSITTSGGIALS SCLKTIEQFLPGNLYTFEFEVETDEVTSTELVFEFEVGYADLIKKGKTLEIKECGIML VNMIESFGNDNSSGAINGARESADPYQLLYFI" gene 16652251..16656168 /locus_tag="BRARA_H01711" /db_xref="Phytozome:Brara.H01711" mRNA join(16652251..16652952,16653172..16654288, 16654391..16654666,16654791..16656168) /locus_tag="BRARA_H01711" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01711" CDS join(16652354..16652952,16653172..16654288, 16654391..16654666,16654791..16656005) /locus_tag="BRARA_H01711" /codon_start=1 /product="hypothetical protein" /protein_id="RID51019.1" /db_xref="Phytozome:Brara.H01711" /translation="MDSYFSLTNPNLVASTICFLALLGTLFFYRKSRSHQEKKTTATS SLTLLAPRNWKYHVFPSFHGADVRTNFLSHVLKELRNKGIDSFIDNDIERSKLIGPEL VEAIRGSRIAIVLLSRNYASSTWCLNELVEIMKCREEFGQTVVPLFYELDPTDVKKQT GDFGKVLGKTCRGKEKEDIQRWKGALTEVSQIAGFHSAKGENEAELIEYIATDVSKKL NLSAPCSDFDGLVGMESRMAEMRQVLQLDSDEVRKIGILGPPGIGKTTIARSLFNRHS QDFQLSVFMDNIKRKFVIMACSDDYSVKLDFQKQFMSQLTNETGIKIPHLGVAKDRLK DKKVLVVLDDVDQLVQLEAMAKETSWFGPGSRIIITTQDEKVLKASGIDHIHRVNLPS DDEALQMFCMYAFDQKYPKDGFKKLACEVRNLVGGLPLGLRVMGSYFRGMSEQDWREA LPRLKIHLDQNGEIAGILKFSYDALNDEDKRLFLHIACFFSGEPVEMVERCLEKCFQD VRQGLRVLSEKSLIYSKSGLIMMSTLLFQLGRQIVQKESISEPGKRQFLNDAIDIGEV LSDDKAGNSSVIGIDLEWNKDITWTSERAFERLSNLQFIRILGKGVNPLSMNYISRKL KVLIWPMFPMPCFPSRFNPEFLVNLFVRNSNLEKLWEENKPLKNIKWMDLALSRRLKE LPDLSTAINLYYLDLSYCSSLVKLPSSIGNATNLEKLSLNYCSSLVELPSSIGNAINL KTLSLKGCSSMVKLPSSIWNIVNLEELNLENCSNLVELPSLLRSEIEKCTKSDCSRGS GKIIDRLPIIAGLLSNPQFEKNNTITYSESSTNIEELDPWIGRILRLRRLVLSGMRKL VSLPQLPDSLLELDAENCESLERLHCSFPNQDIRLNFANCFQLNQEARDLIIQTPTNK YAVFPTEKVPICFSYRSSGSSLTVKLNRLPVGKSTKFKACILCSYDEENNFGLWETAS VFCTITFGGNASIACNKRVERVLPGNLCTFEVEVETEEVTSTKLVFDFGLLNLDFKTW KIKGCGILQLLEGPFEDFEPSDIELVREDDGDERLPLL" gene <16658532..>16662813 /locus_tag="BRARA_H01712" /db_xref="Phytozome:Brara.H01712" mRNA join(<16658532..16659117,16659257..16660428, 16660524..16660696,16661313..16661385,16661509..>16662813) /locus_tag="BRARA_H01712" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01712" CDS join(16658532..16659117,16659257..16660428, 16660524..16660696,16661313..16661385,16661509..16662813) /locus_tag="BRARA_H01712" /codon_start=1 /product="hypothetical protein" /protein_id="RID51020.1" /db_xref="Phytozome:Brara.H01712" /translation="MDYYFSFTNLVAAAICFLVLLGTIFFYMKSRSHQRNQTAASSLT LLAPPSSLPHNSKHHVFPSFHGADVRKTFLSHVLKEFRSKGIDLFIDKDIERSKSIGP ALIEAIRGSRIAIVLLSENYASSTWCLNELVEIIKCTQEFGQTVMPIFYQVNPTDIKK QKGYFGKVFRKTCKGKRKEEIQRWKHALTQVAQIEVCTILFLNITGLMIIPLSRETEA EMIDDIATDVSNKLNNSAPSSDFDGLVGIESHMTKMGPLLQLDSDEVRKIGILGPPGI GKTTIARCLFNQHSRDFQLSVFMDNIKRKYVRLACSDDYNVKLDLQKQFMSQLTNEPC IRIPHLGVAKDRLKDKKVLVVLDDVDHLVQLEAMANETSWFGPGSRIIITTQDQKVLN ASGIDHIYRVDLPSYDEALQIFCMYAFGHNYPKDGFETLACQVRNLVGRLPLGLRVMG SYFRGMSEQNWTEALPRLRSHLDRDGEIANILKFSYDALCSEDKSLFLHVACLFDYDE VDTVEACLASCFLNVKQGLRVLAEKSLISIESRWIKMSELLVQLGRKIVREQYVSEPW KRQFLNEAIDIGEVLSDDKADSRSVIGINLNEEITSCTSERAFERLSNLQFLRIRSYR TNLRSIDYVSRKLKLLIWPIFNPNFLVKLEMPFSKLEKLWEENKPLSNLKWMDLTNSS NLKELPNLSTATNLQELDLSYCSRLEELPDLSTATNLQKLELRHCSSLVELPYSIGNA VNLRELDLNNCSSLVKLPSSIGNAVNLKEMNLSHCSNLVEIPSSIGNAVNLRELDLNN CSSLVKLPSSIGNAVNLKEMNLSHCSSLVELPSSMRNLGRLSELKLKECSKLEVVLTN INLESLKELNLSGCSLLKIYHESSTDIQELDPWLWRKSCLQFLALRGMKKLVSLLPLP DSLLELDAEDCESLERLDCLFPNPNIRLNFLNCFKLNQEARDLIIRTPTNQYAVFPAG EVPMCFTYRSYGSSVTVNLNQNPLGTSTKFKACFICAVEDEEDFQNSESVFCSITSGG IALTAFFKILHRFSPGHLYTFDVEVETEEVTSTELVFEFDLGYSDTNVKIKECGIFQL LEVPLLSFRDGDED" gene complement(16663087..16665200) /locus_tag="BRARA_H01713" /db_xref="Phytozome:Brara.H01713" mRNA complement(join(16663087..16663507,16663593..16663662, 16663739..16663981,16664084..16664149,16664246..16664311, 16664385..16664483,16664682..16665200)) /locus_tag="BRARA_H01713" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01713" CDS complement(join(16663452..16663507,16663593..16663662, 16663739..16663981,16664084..16664149,16664246..16664311, 16664385..16664483,16664682..16665107)) /locus_tag="BRARA_H01713" /codon_start=1 /product="hypothetical protein" /protein_id="RID51021.1" /db_xref="Phytozome:Brara.H01713" /translation="MADKKLISSSSSSTTSIYDTRSSNNSNHHNPPSSSDEISLFLRH IFDRSSPLPSYYSPATMTTAVHGDPHAENPRRFVSPQTSKVLVGSGVGSSSATACYGF SRVGGGNNNIAQGNSSGTRVSSSAVGASGNETDEYDCESEEGVEVVFDDELLCKSRTS SKRCRAAEVHNLSEKKRRSRINEKMKALQSLIPNSNKTDKASMLDEAIEYLKQLQLQV QMLTMRNGVNLHPLCLPGTTLHPLQLSQLLPVVPPEATNDSLFNHTNHFASTSNAPAM INTDALEPSIRSHFGPFPLLTSPAEMSGEGVLTHSRLNVGHSNTNLTGRQAALNGQQP DLKDRLS" gene 16700451..16702369 /locus_tag="BRARA_H01714" /db_xref="Phytozome:Brara.H01714" mRNA join(16700451..16700980,16701418..16701653, 16701738..16701878,16701981..16702369) /locus_tag="BRARA_H01714" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01714" mRNA join(16700451..16700980,16701418..16701653, 16701741..16701878,16701981..16702369) /locus_tag="BRARA_H01714" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01714" CDS join(16700482..16700980,16701418..16701653, 16701738..16701878,16701981..16702229) /locus_tag="BRARA_H01714" /codon_start=1 /product="hypothetical protein" /protein_id="RID51022.1" /db_xref="Phytozome:Brara.H01714" /translation="MASSTKLLSLLLLFVVVSLASGDESTTTNNHLNLPSHGSWRTDE EVRSIYLQWCAEHGKTSNNNGIINQQDERFNIFKDNLRFIDLHNENNKNATYKLGLTI FADLTNDEYRRLYLGARTESVRRITKAKNVNIKYSAAVNDVEVPETVDWRQKGAVNAI KNQGTCGSCWAFSTAAAVEGINKIVTGELISLSEQELVDCDRSYNQGCNGGLMDYAFQ FIMKNGGLNTEQDYPYRGSNGKCNSLLKNSRVVTIDGYEDVPTEDETALKRAVSYQPV SVAIEAGGRVFQHYQSGIFTGKCGTNLDHAVVAVGYGSENGIDYWIVRNSWGTRWGED GYIRMERNLARSKSGKCGIAVEASYPVKYSPNPVRGTSSV" CDS join(16700482..16700980,16701418..16701653, 16701741..16701878,16701981..16702229) /locus_tag="BRARA_H01714" /codon_start=1 /product="hypothetical protein" /protein_id="RID51023.1" /db_xref="Phytozome:Brara.H01714" /translation="MASSTKLLSLLLLFVVVSLASGDESTTTNNHLNLPSHGSWRTDE EVRSIYLQWCAEHGKTSNNNGIINQQDERFNIFKDNLRFIDLHNENNKNATYKLGLTI FADLTNDEYRRLYLGARTESVRRITKAKNVNIKYSAAVNDVEVPETVDWRQKGAVNAI KNQGTCGSCWAFSTAAAVEGINKIVTGELISLSEQELVDCDRSYNQGCNGGLMDYAFQ FIMKNGGLNTEQDYPYRGSNGKCNSLLNSRVVTIDGYEDVPTEDETALKRAVSYQPVS VAIEAGGRVFQHYQSGIFTGKCGTNLDHAVVAVGYGSENGIDYWIVRNSWGTRWGEDG YIRMERNLARSKSGKCGIAVEASYPVKYSPNPVRGTSSV" gene <16714571..>16715488 /locus_tag="BRARA_H01715" /db_xref="Phytozome:Brara.H01715" mRNA <16714571..>16715488 /locus_tag="BRARA_H01715" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01715" CDS 16714571..16715488 /locus_tag="BRARA_H01715" /codon_start=1 /product="hypothetical protein" /protein_id="RID51024.1" /db_xref="Phytozome:Brara.H01715" /translation="MSSSVRKNMERIKGPWSPEEDELLQSLVQKHGPRNWSLISKSIP GRSGKSCRLRWCNQLSPEVEHRGFSPEEDETIIRAHARFGNKWATISRLLSGRTDNAI KNHWNSTLKRKCNGVASDGYDGNIGEEQPLKRTASGGGVSTELYMSPRSPSGSDVSEQ SGGGPHVFRSGAVNEMTASSSGEDPPTYLSLSLPWNNRYETENVRVNESTEQNENTVI DNGYTAELFPVRKEEVEEEKEMSGFGGEFMTAVQEMIRTEVRSYMADLQRGNIGGGGG GSCMPQSINSRRVGFREFIVNQIGIGKIE" gene complement(<16719866..>16720120) /locus_tag="BRARA_H01716" /db_xref="Phytozome:Brara.H01716" mRNA complement(<16719866..>16720120) /locus_tag="BRARA_H01716" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01716" CDS complement(16719866..16720120) /locus_tag="BRARA_H01716" /codon_start=1 /product="hypothetical protein" /protein_id="RID51025.1" /db_xref="Phytozome:Brara.H01716" /translation="MMNKGVLGSILFVMLVGSVLVETRPLDLTKTGEKKLVAGFFDGL SLGSIKGSGPSPGKGHNFVDRSDTFQFDKHSGPSPSGPGH" gene 16723754..16724395 /locus_tag="BRARA_H01717" /db_xref="Phytozome:Brara.H01717" mRNA 16723754..16724395 /locus_tag="BRARA_H01717" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01717" CDS 16723931..16724191 /locus_tag="BRARA_H01717" /codon_start=1 /product="hypothetical protein" /protein_id="RID51026.1" /db_xref="Phytozome:Brara.H01717" /translation="MRPFGLIFTVMFLVSAFSESRTADCRVFLGGSSEEIGPSKNHRV DFQRKELLGVVMRGYKRLRSLSSAGERMHTMASGPSRKGSGH" gene complement(<16725833..>16727843) /locus_tag="BRARA_H01718" /db_xref="Phytozome:Brara.H01718" mRNA complement(join(<16725833..16726453,16726527..16726910, 16727346..>16727843)) /locus_tag="BRARA_H01718" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01718" CDS complement(join(16725833..16726453,16726527..16726910, 16727346..16727843)) /locus_tag="BRARA_H01718" /codon_start=1 /product="hypothetical protein" /protein_id="RID51027.1" /db_xref="Phytozome:Brara.H01718" /translation="MDYLQVLLLSLFVFFSTIFLFSKSKPKQNLPPSLGNSLPVIGHL HLMKRPFHRKLLSFSRSLGNAPIIHLRLGQRQTYVVSSRAIAEECFTKNDIVFANRPV LMINKHLGYNATHMVGASYGDHWRSLRRITAAEIFSSMRLCMFLCIRKDEIRRLLLRL SRDSLHGFVEVEMKSLFTNLAFNNIIRTIAGKRYYGDDAEDEEEAKLARHLVSEAMAG DSGRNPADYLSFLRWFTDSETRIKDVAHRFDAFLQKLVDEKRAEKVKSKTMINHLLSL QETQPDCYTDVIIKGIILDLIIAGTDTVAITLEWALSNLLNHQEILKKARIEIDNKIG LDRLVDEPDIVNLPYLQNIILETLRLYPAAPLLLPHLSSEDCQVGGYDMPRGTMLVMN VWAIHRDPELWEESERFKPERFDKEGEAQKVMPFGLGRRSCPGAGLAHRLMGLTLASL VQCFEWERVSVEQIDMREGKGVTMPKKEPLRAMCRARVLAGSTNMRKDFL" assembly_gap 16746735..16756734 /estimated_length=unknown /gap_type="between scaffolds" gene 16767790..16770064 /locus_tag="BRARA_H01719" /db_xref="Phytozome:Brara.H01719" mRNA join(16767790..16769086,16769297..16770064) /locus_tag="BRARA_H01719" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01719" gene <16767870..>16768106 /locus_tag="BRARA_H01720" /db_xref="Phytozome:Brara.H01720" mRNA <16767870..>16768106 /locus_tag="BRARA_H01720" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01720" CDS 16767870..16768106 /locus_tag="BRARA_H01720" /codon_start=1 /product="hypothetical protein" /protein_id="RID51029.1" /db_xref="Phytozome:Brara.H01720" /translation="MFYYVILPLALLVIAYKFIFSYRTQRFNLPPSPPHSLPIIGHHR LIKPPVHRLFHGLAKTLGPIFYLRLAVPGLTPNP" CDS join(16768382..16769086,16769297..16769929) /locus_tag="BRARA_H01719" /codon_start=1 /product="hypothetical protein" /protein_id="RID51028.1" /db_xref="Phytozome:Brara.H01719" /translation="MEPSKTQDRYCRLGTRRAVVISSSALARECFTGHNDVVVSNRPR FLTSKYIAYNYTTIATTPYGDHWRNLRKICSLEIVSSKRLANFLHIRKEEIHRMLTRL SRDALISKEVELESLFYDLTFNNIVRMVTGKIYYGEDASDKAEADTFKKLIAYITSTS GARHPGEYLPFLKIFGRSFEKKVKAVGEAMDAILQRLLDECRGNKDGNTMVNHLLSLQ QQDPEYYSEVIIKGLMLGIMFAASETSAVTIEWAMASLLNHPELLEKLKLEIDEKIGQ DRLIEETDIPNLPYLQNVVSETLRLYPAAPLLVPRLTVEDIKIGGYDVPRETMVMVNA WSIHRDPELWTEPERFNPDRFNGGGEGEKDDVRMLVTFGSGRRMCPGAGLANKIVTLA LGSLIQCFDWGRVNGKKIDMTEGPEMAMRKVVPLRAMCQLRPVMNKLLTESKV" gene 16778530..16780962 /locus_tag="BRARA_H01721" /db_xref="Phytozome:Brara.H01721" mRNA join(16778530..16778651,16778827..16779224, 16779433..16779737,16779825..16779878,16779951..16780447, 16780526..16780962) /locus_tag="BRARA_H01721" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01721" CDS join(16778842..16779224,16779433..16779737, 16779825..16779878,16779951..16780447,16780526..16780720) /locus_tag="BRARA_H01721" /codon_start=1 /product="hypothetical protein" /protein_id="RID51030.1" /db_xref="Phytozome:Brara.H01721" /translation="MEMKESNGVSVKEEMEALPKDVEEKSMRCTSNFEDHSFDNVEDQ SEDHRDGEIKEGEEEEVDVVEWSGVNDNNRVGVSEFDDGNGTDEYSSSFSGTVSDHES DDKTGFNDQEADSMMCTDTSMPFYARKKKLTDHWRKFIQPIMWRCKWVELKIRQLQNQ AQIYDKEVKESSQAKQLELENLKSEEVGVKALPPLPCHTQKTQLKKRHKRKRVEEAPD APSNHNLFSYHAYRKSYADTALNDNSRKLDKKSKSSKEDAVFSEETPPLEFREGDAFL EQILLKIEAAKLEVRNLKNRVDKVMTENPSRFSLDDTVVMLGSAADVVTASEQQNPEP VIKDEDENPVVSEAEEEPAKSASVSSHHDKVAEDDDGNTDILLSEMIASRKREGKAVV PDKKVEKTEQAAVEEEGPSRPVRKRTPRNLDIEVKEGPNPKKRRVSREKPKPNVTMSS RLKLPNRKRKGGKRRAGGGSSGLRRRS" gene complement(16781842..16783511) /locus_tag="BRARA_H01722" /db_xref="Phytozome:Brara.H01722" mRNA complement(join(16781842..16782529,16783069..16783511)) /locus_tag="BRARA_H01722" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01722" CDS complement(join(16782085..16782529,16783069..16783439)) /locus_tag="BRARA_H01722" /codon_start=1 /product="hypothetical protein" /protein_id="RID51031.1" /db_xref="Phytozome:Brara.H01722" /translation="MGVVEEAHNVKVIGTGTQATIVLGHGFGTDQSVWKHLVPHLLED YRIVLYDNMGAGTTNPDYFDFDRYSTLQGYSFDLIAILEDLHIESCIFVGHSVSAMVS LLASLNRPDLFSKIVMISASPRYVNDVDYQGGFEQDDLNQLFEAMGSNYKAWCLGFAP LAVGGDMDSVAVQEFSRTLFNMRPDIALSVAQTIFQSDMRQILPFVAVPCHILQSVKD LAVPVAVSEYLHTNLGCESVVEVIPSDGHLPQLSSPDSVIPVLLRHIRNDIAV" gene <16785510..>16786983 /locus_tag="BRARA_H01723" /db_xref="Phytozome:Brara.H01723" mRNA join(<16785510..16786343,16786441..16786526, 16786602..16786742,16786824..>16786983) /locus_tag="BRARA_H01723" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01723" CDS join(16785510..16786343,16786441..16786526, 16786602..16786742,16786824..16786983) /locus_tag="BRARA_H01723" /codon_start=1 /product="hypothetical protein" /protein_id="RID51032.1" /db_xref="Phytozome:Brara.H01723" /translation="MMMMQILSDCEKRAHYDRYLLSRRMVVTKKSRQGYMIYRYKAGL TLSEEMEVVEWLKWYREAIHDIVMEKRVASGGTGYLDELEEDFYSAIRAAYFGPDVES VELLPDCFEAEERSVYDTREVLHLVSGRDLFGMVCLVDSFLELSSACSKKLALSSSFM DSGNHDMISHIQSSRKQNDVSDAYKDIQLHVSGRVVATAIRVPPKQNEGDHDQIHVFL NSEEGSSHGNESSPGNESGGGKLLVGTISGLGTSPDEGSCYVYDGNGVKTHVIMKHRT FLVRHLHWYKIGEKVSICECRCSRAKLPPSKFWLFEPRCGLHDVGGWYVETYGKDKKG RTVLAQRFWDGLEVGTTLDGRLHPGIYLLTLAYRTLDLEDERRRKRSIVEIIGARLSK TLDWCKKMVMRRSE" gene 16801866..16803784 /locus_tag="BRARA_H01724" /db_xref="Phytozome:Brara.H01724" mRNA join(16801866..16802137,16802439..16802614, 16802692..16803784) /locus_tag="BRARA_H01724" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01724" CDS join(16801976..16802137,16802439..16802614, 16802692..16803565) /locus_tag="BRARA_H01724" /codon_start=1 /product="hypothetical protein" /protein_id="RID51033.1" /db_xref="Phytozome:Brara.H01724" /translation="MIVVREYDPSRDLAGVEDVERRCEVGPSGKLSLFTDLLGDPLCR IRHSPSFLMLVAEMGTEKKEIVGMIRGCIKTVTCGIKLDLNHKSQNDTVKPLYTKLAY VLGLRVSPSHRREGIGFKLVEMMEEWFTQTGAEYSYIATENDNQASINLFTGKCGYSK FRKPSILVNPVYAHRVNVSRQVTIIKLDPVDAESLYRLRFSTTEFFPRDIDSVLNNKL SLGTFVAVPRVSCYGSGSGSWPGSAKFLEYPPESWAVLSVWNCKDSFRLEVRGASRLR RVVAKTTRVVDKTLPFLKLPSIPSVFKPFGLHFMYGIGGEGPRATKMVKSLCGHAHNM AKKGGCGVVAAEVAGEEPLRQGIPHWKVLSCDEDLWCIKRLGEDYSDGVVGDWTKSLP GTSIFVDPREF" gene complement(16815069..16818504) /locus_tag="BRARA_H01725" /db_xref="Phytozome:Brara.H01725" mRNA complement(join(16815069..16815750,16815838..16816938, 16817017..16817086,16817227..16817647,16818003..16818504)) /locus_tag="BRARA_H01725" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01725" CDS complement(join(16815256..16815750,16815838..16816938, 16817017..16817086,16817227..16817288)) /locus_tag="BRARA_H01725" /codon_start=1 /product="hypothetical protein" /protein_id="RID51034.1" /db_xref="Phytozome:Brara.H01725" /translation="MKFMKLGSKPDSFQSEGENVRYVPSDLATDVIVIIGDFKFYLHK FPLLSKSARLQKLIATTSSSNEDNEIHEEDEIEIAEFPGGPASFEICAKFCYGMTVTL NAYNVVAARCAAEFLEMHETVEKGNLVYKIEVFLNSSILQTWKDSIIVLQTTRALSPY AEELKLTGRCLDSIASKASIDTSKVEWSYTYSKKKNLDNGLRKPHAVPRDWWVEDLCD LHIDLYKRVIITIEARGRVSSDVIGEALHAYATKRVPGFSKSSSSIQITDIAKYKALV GSIIELIPDEKQSVSSSFLAKLLRASIFLGCDDETGLMNRVGERLDEASLGDVMLYDV DLMQSLAEVFLRCHHSEEDDIKAKASVAKLVDGYLAEKSRDSDSLTLQKFLALAEMVS SFPRQSIDGVYRAIDMFLKLHPEISKSEKKRLCKLMDCRKLSAEACAHAVQNERLPMR VVVQVLFFEQVRANSNGSSSTGDSTPEITPASRSTNTEDDRESWDTEDIKALRGELAS LRLAKNQQHENSKGKLVKGGGLGVSRVFSKLWSSKERGGEMMSSSGTSSPGSVNDDSK SSSSTSKKH" gene 16820774..16822277 /locus_tag="BRARA_H01726" /db_xref="Phytozome:Brara.H01726" mRNA join(16820774..16820909,16820981..16821056, 16821472..16821553,16821637..16821709,16821814..16821879, 16821973..16822277) /locus_tag="BRARA_H01726" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01726" mRNA join(16820774..16820909,16821472..16821553, 16821637..16821709,16821814..16821879,16821973..16822277) /locus_tag="BRARA_H01726" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01726" CDS join(16821522..16821553,16821637..16821709, 16821814..16821879,16821973..16822068) /locus_tag="BRARA_H01726" /codon_start=1 /product="hypothetical protein" /protein_id="RID51035.1" /db_xref="Phytozome:Brara.H01726" /translation="MDPQHTGDLFKHLEKQNELLKEAHKTMSQELQKLMVEEQMMMHK LYEITVTHRKNNKEMKKTQNVLEGIETLEDASSLAIVPTGDEEH" CDS join(16821522..16821553,16821637..16821709, 16821814..16821879,16821973..16822068) /locus_tag="BRARA_H01726" /codon_start=1 /product="hypothetical protein" /protein_id="RID51036.1" /db_xref="Phytozome:Brara.H01726" /translation="MDPQHTGDLFKHLEKQNELLKEAHKTMSQELQKLMVEEQMMMHK LYEITVTHRKNNKEMKKTQNVLEGIETLEDASSLAIVPTGDEEH" gene complement(16822371..>16827256) /locus_tag="BRARA_H01727" /db_xref="Phytozome:Brara.H01727" mRNA complement(join(16822371..16822807,16822886..16822992, 16823322..16823652,16824097..16825242,16825408..16826216, 16826948..16827002,16827083..>16827256)) /locus_tag="BRARA_H01727" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01727" CDS complement(join(16822568..16822807,16822886..16822992, 16823322..16823652,16824097..16825242,16825408..16826216, 16826948..16827002,16827083..16827256)) /locus_tag="BRARA_H01727" /codon_start=1 /product="hypothetical protein" /protein_id="RID51037.1" /db_xref="Phytozome:Brara.H01727" /translation="MESYLNQNFDVKAKHSSEEALEKWRNLCGVVKNPKRRFRFTANL SKRYEAAAMRRTNQEKLRIAVLVSKAAFQFISGVAPSDYTVPEEVKAAGFNICADELG SIVESHDVKKLKFHGGVDGLACKLKASPTEGLSTTDPSHLSQRQDLFGINKFAESELK SFWLFVWEALQDMTLMILGVCAFVSLIVGIATEGWPKGSHDGLGIVASILLVVFVTAT SDYRQSLQFRDLDKEKKKITVQVTRNGFRQKMSIYELLPGDVVHLGIGDQVPADGLFL SGFSVVIDESSLTGESEPVTVNAENPFLLSGTKVQDGSCKMMVTTVGMRTQWGKLMAT LTEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLVQGMFMRKLSMKTHWIWSGDE ALELLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSAT TICSDKTGTLTTNHMTVVKSCICMSVQDVANSNDGSLRSEIPESAVKLLVQSIFNNTG GEVVVNKLGKTEILGSPTETAILELGLSLGGQFQEERKSCKAVKVEPFNSTKKRMGVV VELPEGGGRLRAHTKGASEIVLAACDKFVNSSGEVVPLDEESMNYLNVKINEFANEAL RTLCLAYMDLENGFSPDEAIPASGFTCVGIVGIKDPVRPGVKESVELCRRAGITVRMV TGDNINTAKAIARECGILTDDGIAIEGPVFREKSQEELLELIPKIQVMARSSPMDKHT LVKQLRTTFDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFST IVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTVIWFLQAKGKSVFGLDGP DSTLMLNTLIFNCFVFCQVFNEISSREMEEIDVFKGILNNYVFVVVIGATVFFQIIII EFLGTFASTTPLTLVQWIFSIFIGFLGMPIAAGLKTIPV" gene 16832682..16834549 /locus_tag="BRARA_H01728" /db_xref="Phytozome:Brara.H01728" mRNA 16832682..16834549 /locus_tag="BRARA_H01728" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01728" CDS 16832703..16834280 /locus_tag="BRARA_H01728" /codon_start=1 /product="hypothetical protein" /protein_id="RID51038.1" /db_xref="Phytozome:Brara.H01728" /translation="MDTLFTLVSLQQQQSHSIITNKSSQSRTSTTTTGSPQTAYHYNF PQSDAVEECLNFFMDEEDLSSSNSSQHNHHHNNNPSNYYSPFTTSTQYHPATSPTPST TVVLASPYSSGHHHDPSAFSIPQTPPSFDFSANAKWADSILLEAARAFSDKDTTRAQQ ILWTLNELSSPYGDTEQKLASYFLQALFNRMTGSGERCYRDMVTAAATEKTCSFEQTR KTMLKFQEVSPWVTFGHVAANGAILEAIDGEAKIHIVDISTTFCTQWPTLLEALATRS DDTPHLRLTTIVVANKYVNDQTASRRVMKEIGHRMEKFARLMGVPFTFNIIHHAGDLS EFDPNKLDIKSDEVLAVNCVGAMHGVAPCGNPRDALISKLRRLRPRIMTIVEEEADLV GEEGFDDEFLRLFGESLRWFRVCFGSLEESFPRTSTERLTLERAAGRAIVDLVACEPS DSTERRETARKWSMRMRNGGFDAVGYSDEVADDVRALLRRYKEGVWSMVQCSDAAGIF LCWRDQPVVWASAWRPT" gene 16836521..16837402 /locus_tag="BRARA_H01729" /db_xref="Phytozome:Brara.H01729" mRNA 16836521..16837402 /locus_tag="BRARA_H01729" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01729" CDS 16836557..16837066 /locus_tag="BRARA_H01729" /codon_start=1 /product="hypothetical protein" /protein_id="RID51039.1" /db_xref="Phytozome:Brara.H01729" /translation="MRSPISRFLSRSILFLHRATPLTAATRHLCAVASPEARTKNLDR IADELLKLNRIELYDYSILFSHKLGLNRYGSSVSVNAGDLPAGVSASAETKAAEKTAF DVKLEKFEAASKIKVIKEIRAFTELGLKEAKELVEKAPVVVKTGLTKEEAEKIMEKLK AVGAVVALE" gene <16838761..>16839129 /locus_tag="BRARA_H01730" /db_xref="Phytozome:Brara.H01730" mRNA <16838761..>16839129 /locus_tag="BRARA_H01730" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01730" CDS 16838761..16839129 /locus_tag="BRARA_H01730" /codon_start=1 /product="hypothetical protein" /protein_id="RID51040.1" /db_xref="Phytozome:Brara.H01730" /translation="MENTSQAFMSQSYLNSQETATQATKDYLASLHSTRKQPSKPLKR PISSPLNPMHPHVYRVEPVNFKELVQRLTGAPEHEPVANPFKSLDDAAKDSSPSFAFD LSSSSWGDLSLRNPANISRW" gene complement(<16839595..>16839977) /locus_tag="BRARA_H01731" /db_xref="Phytozome:Brara.H01731" mRNA complement(join(<16839595..16839729,16839849..>16839977)) /locus_tag="BRARA_H01731" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01731" CDS complement(join(16839595..16839729,16839849..16839977)) /locus_tag="BRARA_H01731" /codon_start=1 /product="hypothetical protein" /protein_id="RID51041.1" /db_xref="Phytozome:Brara.H01731" /translation="MENLSTLLIITLLLCTATQTCTARPESADFASITISPADIRSLE MIESKLHEAADESCEKDDNEDCLMRRTLTAHLDYIYTQKNNNP" gene 16857230..16860003 /locus_tag="BRARA_H01732" /db_xref="Phytozome:Brara.H01732" mRNA join(16857230..16857746,16857852..16858401, 16858491..16858573,16858706..16858714,16858821..16858909, 16859012..16859136,16859230..16859306,16859388..16860003) /locus_tag="BRARA_H01732" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01732" CDS join(16857413..16857746,16857852..16858401, 16858491..16858573,16858706..16858714,16858821..16858909, 16859012..16859136,16859230..16859306,16859388..16859809) /locus_tag="BRARA_H01732" /codon_start=1 /product="hypothetical protein" /protein_id="RID51042.1" /db_xref="Phytozome:Brara.H01732" /translation="MKSFCDNDDNDHSNTTNLLGFSLSSNMLKIGGSEGEALYSSSSS SVATSSVPPQLVVGDNSSSYGVCYGSNSAAGDIYSQMSVMPLRSDGSLCLMEVLNRSS YSNHHHHTQVSSPKIEDFFGTHHSNINHKETMDLSLDSLFYNTTHEPDNNTNFQEFFS FPQTRNHHEEESRNYETVPGLTHGRGPFNVGVYGEFQQSLSLSMSPGSQSSCITGPHH HQNQNHQGHNQTQNHHQISEALVETNVGFETTTRAAARKKKRGQEEVVVVGQKQQTVH RKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSFKKEGHSRKGRQVYLGGYDMEEKA ARAYDLAALKYWGPSTHTNFSVEIYQKEIEDMKNMTRQEHVAHLRRKSSGFSRGASIY RGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTQEEAGEAYDVAAIKFRGTNAVTNFDI TRYDVDRIMSSNTLLSGELARRNSNSIVVRNNNDEETALNAVVDGGSNKKVSGPERVL GFPGIFSLPQDGPKMFGANVVGNMSSWTTNPNAELKAVSLTLPQMPVFAAWADS" gene complement(<16864811..>16865119) /locus_tag="BRARA_H01733" /db_xref="Phytozome:Brara.H01733" mRNA complement(<16864811..>16865119) /locus_tag="BRARA_H01733" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01733" CDS complement(16864811..>16865119) /locus_tag="BRARA_H01733" /codon_start=1 /product="hypothetical protein" /protein_id="RID51043.1" /db_xref="Phytozome:Brara.H01733" /translation="SRSFFSSALMAEALAIRSVVMYDASSNVKSLMIMSDSQSLVKLL KRESLIPVLFGILFNIYQFSSSFDVVSFWYVPRLSNVMADSVVKLALSLLNFSSSNGV " gene 16872733..16874708 /locus_tag="BRARA_H01734" /db_xref="Phytozome:Brara.H01734" mRNA join(16872733..16873004,16873095..16873226, 16873333..16873493,16873594..16874708) /locus_tag="BRARA_H01734" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01734" CDS join(16872858..16873004,16873095..16873226, 16873333..16873493,16873594..16874563) /locus_tag="BRARA_H01734" /codon_start=1 /product="hypothetical protein" /protein_id="RID51044.1" /db_xref="Phytozome:Brara.H01734" /translation="MGILSRKATCNTHGQDSSYFLGWEEYEKNPYDEVKNPDGIIQMG LAENQLSFDLIESWLAKNPEAANFEREGQSIFRELALFQDYHGLPSFKNAMADFMSEN RGNRVSFDPKKLVLTAGATSANETLMFCLADPGDAFLLPTPYYPGFDRDLKWRTGVEI VPIQCTSANGFRITKSALEEAYKQAQKLNLKVKGVLITNPSNPLGTTTTRTELNRLLD FVSRKNIHLISDEIYSGTVFTSTGFISVLEVLKEKKLENTDVSKRVHIVYSLSKDLGL PGFRVGVIYSNDDIVVAAATKMSSFGLISSQTQYLLSALLSDKNFTKNYLKENQIRLK NRHDKLVSGLEAVGIECLKSNAGLFCWVDMRHLLRSNTFEAEIELWKKIVYEVKLNIS PGSSCHCNEPGWFRVCFANMSEETLKVALIRLKMFVDGPSSTTRSQSEHQRLKTLTKM KVSNWVLRLSFQDREPEER" gene 16894508..16895843 /locus_tag="BRARA_H01735" /db_xref="Phytozome:Brara.H01735" mRNA join(16894508..16895090,16895185..16895264, 16895360..16895843) /locus_tag="BRARA_H01735" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01735" CDS join(16894650..16895090,16895185..16895264, 16895360..16895651) /locus_tag="BRARA_H01735" /codon_start=1 /product="hypothetical protein" /protein_id="RID51045.1" /db_xref="Phytozome:Brara.H01735" /translation="MGLDDSCNTGLVLGLGLSPTHNSYNYAIKKSSATVDHHVNPSLT LSLSGESYKVEKTVSGAGDQIYRQTSSHSGISSFSSGRIKREREVCGDGEEEAEETEK RVVCSSVRDDHEDEEGFSARKKLRLTKQQSVLLEENFKMHSTLNPKQKQALARQLSLR PRQVEVWFQNRRARTKLKQTEVDCEFLKKCCETLTDENRRLQKELQDLKALKMSQPFY MHMPPATLMVCPSCERLGGGVAGGGRGSTVAVDGGTAKGAFSIPFINPSAAC" gene 16907143..16907867 /locus_tag="BRARA_H01736" /db_xref="Phytozome:Brara.H01736" mRNA join(16907143..16907329,16907416..16907450, 16907530..16907867) /locus_tag="BRARA_H01736" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01736" CDS join(16907221..16907329,16907416..16907450, 16907530..16907790) /locus_tag="BRARA_H01736" /codon_start=1 /product="hypothetical protein" /protein_id="RID51046.1" /db_xref="Phytozome:Brara.H01736" /translation="MALSILCLKMALCSKMSSCLLMLLILNSTHFSLMANGRPEPNSR EFIKRGDHDQKMVMRGLIGSSPPRCERVRCHLCGHCEAIQVPTNHQTKLHSPSSFSSS EITNLDYIRGEDTTNYKPMSWKCKCGNSIYNP" gene 16915419..16917365 /locus_tag="BRARA_H01737" /db_xref="Phytozome:Brara.H01737" mRNA join(16915419..16915567,16915732..16917365) /locus_tag="BRARA_H01737" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01737" CDS 16915755..16917257 /locus_tag="BRARA_H01737" /codon_start=1 /product="hypothetical protein" /protein_id="RID51047.1" /db_xref="Phytozome:Brara.H01737" /translation="MGRSSPRRGQRSKGFKVKHCLQFTLLLAVGIWLLYQLKHSHDDD KKAVFDESAAKTEVVKMGRKDLKFNPRVEGTKKNDEVIKSEEILEREEERGEENVVEG GNDKETQGTGNEESESASDDESDEEAREKNYKGDDASSEMEEKGVKVGVEEESKGNNT TETVSFHEDESGPKTEQLVKDSLINNNVSSNTTEETGGDDDKQQETKNESEENASLSG GNNESDETITKSVVLEKGFSDSNGELPESNQSTTSNATEKTTGYQETKNEVEEQEKTQ SSQLSSEVESKSKEEEPQEKKKEDSSSQEESKEEEPHNKEKKEPSAQEEKEKGEASSQ EENENKETENIEKVESLSQEENESKETENKEKVEASSQEENESKETEKREKEESSESQ HTESTNSEKKIKQVKSTDSSDTQEKKDEQETDGSKSHSDNEQSKLASDDVKETENDTS KTESDKEKNNKTGETEEPQNDQEHTKDARTDLKTQPESNNGFTNDKVAAE" gene complement(16917408..16918556) /locus_tag="BRARA_H01738" /db_xref="Phytozome:Brara.H01738" mRNA complement(join(16917408..16917678,16917834..16917876, 16917963..16918069,16918368..16918556)) /locus_tag="BRARA_H01738" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01738" CDS complement(join(16917635..16917678,16917834..16917876, 16917963..16918069,16918368..16918482)) /locus_tag="BRARA_H01738" /codon_start=1 /product="hypothetical protein" /protein_id="RID51048.1" /db_xref="Phytozome:Brara.H01738" /translation="MATAIVRSALSRAAIGAAPKTSLAPKRRFSSSAGHDDAYEAAKW EKITYLGIASCTALAAYVLSKGHHHGEDPPAYPYMHIRNKEFPWGPDGLFEVKHNEGH " gene complement(16919822..16922267) /locus_tag="BRARA_H01739" /db_xref="Phytozome:Brara.H01739" mRNA complement(join(16919822..16920166,16920325..16920406, 16920498..16920628,16920918..16921016,16921109..16921267, 16921339..16921593,16921662..16921812,16921893..16922267)) /locus_tag="BRARA_H01739" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01739" CDS complement(join(16919849..16920166,16920325..16920406, 16920498..16920628,16920918..16921016,16921109..16921267, 16921339..16921593,16921662..16921812,16921893..16922179)) /locus_tag="BRARA_H01739" /codon_start=1 /product="hypothetical protein" /protein_id="RID51049.1" /db_xref="Phytozome:Brara.H01739" /translation="MARKEVVVAVTAATVTAVAAAVIIGQWVRRKEQRRKQTQMILRK FARECATPVSTLWAVADALVADMTASLSATTAAGSCGSLNMLVSFAGALPSGDEEGVH YGVNLRGKELLLLCGALGGNEEPISDVHKQEISIPDDVSNGSFKELCDYISLELVKFI GMNPGEETDEVKNLGFTLTRYVEQIGPISISAIQRKGLGHDDNDTVLKEFVNDMNESL ESHGLKIRMNMALVDDTIGVLAGGRYYHKDTVAAVTLGMGTNAAYIEQAQEVLRWKPT IPNEPQEIVISTEWGDFRSCHLPVTEYDAALDAESLNPRSCIFEKMVAGGYLGEIVRR LLLKMTQESALFGDILPPKLTTPYTLSSPDMAAMHQDISENRDIVNKKLKDVFGIMYS TLAAREVVVEVCDVVAERAARVAGAGIVGMVKKLGRLEKKMSIVIVEGGLYDHYRVFR NYLHSSVWEMLGDELSDHVVIEHSHRGSGAGALFFAACGNVKT" gene complement(<16927528..>16928781) /locus_tag="BRARA_H01740" /db_xref="Phytozome:Brara.H01740" mRNA complement(join(<16927528..16927602,16927684..16928058, 16928142..16928660,16928752..>16928781)) /locus_tag="BRARA_H01740" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01740" CDS complement(join(16927528..16927602,16927684..16928058, 16928142..16928660,16928752..16928781)) /locus_tag="BRARA_H01740" /codon_start=1 /product="hypothetical protein" /protein_id="RID51050.1" /db_xref="Phytozome:Brara.H01740" /translation="MLSTRLFSDQELEENGIIQQYGMNTIMGEIHEAHHTLPHSFPTR MLTTNDPSYDDLIDMKPSKILETTYISPKLQPPPSFPLPPYSKPHFHHQPSSRILSFE NATQNVMDNELSPTYQNSIFSPKVEAEVPPNWMNGKGTKRAQPSYKSQSNAQDHIIAE RKRREKLTQRFVALSALFPGLKKMDKASVLGDAQKHIKYLQEKVGEFEEQKRERRLES MVLVKKSKLILDDNNQSTSSSCCEDDSSTLDLPEIEVKFSDKDVLIKILCEKQKGHVA KIMAEVEKFHFSITNSSVLPFGPTLDITIIAKKESDFDMTLMDVVKSLRSALSKFM" gene 16933619..16935241 /locus_tag="BRARA_H01741" /db_xref="Phytozome:Brara.H01741" mRNA join(16933619..16933749,16933966..16935241) /locus_tag="BRARA_H01741" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01741" CDS 16933988..16935145 /locus_tag="BRARA_H01741" /codon_start=1 /product="hypothetical protein" /protein_id="RID51051.1" /db_xref="Phytozome:Brara.H01741" /translation="MELKSIKDAFDRVVNKQKLSYTKTHEIIQMLSQELDKALSILQE APPPQFDHRSILADVKKTFVENQLEVTEKELNVALTKYPKVLEKQLNSDISKAYRHNV EFDTHVVNQIIANFLYRQGMFDIGDCFLAEIGDESECSTRHSFVEMHQIVDAMEKRDL KPALNWAASNSDKLKQARSDLEMKLHSLRFLEIAKESQNSQAAINYARKHIAGYADSS SLYEIQKLFCSLLWSKNIEHSPYSELLSPSRWNNAARELTRQYCNLLLGEPSEGALST TVTAGTQALPVLLKYMNVTASSKKVDWQSVEQLPVAVELSEEFQFHSVFVCPVSKEQA SDENPPMMMSCGHVLCKQTINKMSKNGAKSSFKCPYCPTDVDISRCRQLHF" gene complement(16935484..16938596) /locus_tag="BRARA_H01742" /db_xref="Phytozome:Brara.H01742" mRNA complement(join(16935484..16936113,16936187..16937725, 16938079..16938199,16938319..16938596)) /locus_tag="BRARA_H01742" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01742" CDS complement(join(16935604..16936113,16936187..16937725, 16938079..16938199,16938319..16938482)) /locus_tag="BRARA_H01742" /codon_start=1 /product="hypothetical protein" /protein_id="RID51052.1" /db_xref="Phytozome:Brara.H01742" /translation="MDQEMDQKLEWLEAQKIEISVDLFAAAKQQLQFLAAVDRNRCLY DGPVLERAIYRYNACWLPLLAKYTESSSICEGPLVPPLDCEWMWHCHRLNPVRYKTDC EELYGRVLDNSSVSSSVNGTSKSQTETLWRRLYPTEPYNLDLINKTSSEKEIKQTTTA YDLVSAVKRQSPFYYQISRPHVDNDVFLQEAVARYKAFLYLIKRNRERSVKLFCVPTY DIDLIWHTHQLQAQAYCNDMTKMIGKVLEHDDTDSDRSKGKKLDTGFSGTTALWEETF GTRYWKAGAMNRGNTPKAVTASPCGFLGKKLITAEEDVMVIQSPEVDVIEVVLEIVGV KNLPDAYKGKVFVVFSKTQPDSLFNAEPKLSVLSESCGEKQVAMFKCEPRGELRFQLM SSKSKALGFVSLSLSEFLFPVSRLSVEKWLELTTPAKRGKDPISLRVAVSFTPPTPSP TVLHMVQTRPSLKDSCFFPLIGKARLAKMFTRVVDETETEVMSLQMRNSIGDARQVVG EKGSGESYVLAEYDGSYWSLLDCKWSLKQIRSCERDGPLFEILGERMVKVYSGRKLEY EPKHCVKLRSEQDFFMTVVEFSKEHPYGKAVGLLDLKTGSIEANERWFVLLGVVSAFI LSDLLKKEGSLDKVKANVIKEETEVLTDQVTLEEETMMNVDVTTPVLEAAEKVNGGAK CYSKELNASGGCGVGCGVKSGNITEEEGGHCGGSGGCGGGGRCGGMTKISGCGGGSCG GGSCGNCSGGCGNMINSNANEDVPVAAQEALNHAVTV" gene complement(16939309..16942027) /locus_tag="BRARA_H01743" /db_xref="Phytozome:Brara.H01743" mRNA complement(join(16939309..16940154,16940224..16941411, 16941474..16941552,16941631..16941683,16941877..16942027)) /locus_tag="BRARA_H01743" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01743" CDS complement(join(16939513..16940154,16940224..16941411, 16941474..16941552,16941631..16941683,16941877..16941951)) /locus_tag="BRARA_H01743" /codon_start=1 /product="hypothetical protein" /protein_id="RID51053.1" /db_xref="Phytozome:Brara.H01743" /translation="MASVALLRALRRRELHTASVSAFKSVSTNGKTSLVGHFARPFCS RPVGNDVIGIDLGTTNSCVAVMEGKTPRVIENAEGTRTTPSVVAINQKGELLVGTPAK RQAVTNPTNTIFGSKRLIGRRFEDSQTQKEMKMVPYKIVKAPNGDAWVEANGQKFSPS QIGANVLTKMKETAEAYLGKSITKAVVTVPAYFNDAQRQATKDAGKIAGLDVQRIINE PTAAALSYGMNNKEGVIAVFDLGGGTFDVSILEISSGVFEVKATNGDTFLGGEDFDNT LLEYLVSEFKRSDNIDLTKDKLALQRLREAAEKAKIELSSTSQTEINLPFITADASGA KHLNITLTRSKFEALVGKLIERTRCPCQNCLKDAGVTVKEVDEVLLVGGMTRVPKVQE IVAEIFGKSPCKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFT RLINRNTTIPTKKSQVFSTAADNQMQVGIKVLQGEREMAADNKSLGEFDLVGIPPAPR GMPQIEVTFDIDANGIVTVSAKDKATGKEQQITIRSSGGLSDDEINRMVKEAELNSHK DQEKKQLIDLRNTADTTIYSVEKSLSEYREKIPAEIASEIETAVSDLRTAMAGEEIED IKAKLEAANKAVSKIGEHMSKGSGSSGSSGGEGSSGTDQQTPEAEFEEASGSKK" gene complement(16942181..16943244) /locus_tag="BRARA_H01744" /db_xref="Phytozome:Brara.H01744" mRNA complement(join(16942181..16942554,16942698..16943244)) /locus_tag="BRARA_H01744" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01744" CDS complement(join(16942354..16942554,16942698..16943159)) /locus_tag="BRARA_H01744" /codon_start=1 /product="hypothetical protein" /protein_id="RID51054.1" /db_xref="Phytozome:Brara.H01744" /translation="MAAAFSYTACPKFSLLQPPMAAQIRPIRTSQKAFVVTNPEQDNT LQEQGIDTIKEEQPAEQQMKKQPTPLRPVEKQTNVKSTGLPREFGGEWLSSVTRHVRI YAAYIDPETCEFDQTQMDKLTLILDPTEEFVWEDESCNKVYSYFQELVDHYEGAPLTE YTLRLIGSDVEHYIRKMLFDGEIQYNMDARVLNFSMGKPRVQFNTSNIEGGGDDQPQE DA" gene complement(<16943593..>16951743) /locus_tag="BRARA_H01745" /db_xref="Phytozome:Brara.H01745" mRNA complement(join(<16943593..16943741,16943836..16943946, 16944020..16944131,16949542..16949607,16949681..16949785, 16949869..16950066,16950151..16950243,16950329..16950421, 16950550..16950674,16950754..16950830,16950910..16951031, 16951113..16951199,16951355..16951405, 16951503..>16951589)) /locus_tag="BRARA_H01745" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01745" CDS complement(join(16943593..16943741,16943836..16943946, 16944020..16944131,16949542..16949607,16949681..16949785, 16949869..16950066,16950151..16950243,16950329..16950421, 16950550..16950674,16950754..16950830,16950910..16951031, 16951113..16951199,16951355..16951405,16951503..16951589)) /locus_tag="BRARA_H01745" /codon_start=1 /product="hypothetical protein" /protein_id="RID51055.1" /db_xref="Phytozome:Brara.H01745" /translation="MAMAMALRRLSSSVDKPIRPLIRSTTCYMSSLPSEAVDEKERSR VTWPKQLNASLEEVDPEIADIIEHEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKY SEGYPGARYYGGNEYIDMAETLCQKRALEAFRLDPEKWGVNVQPLSGSPANFHVYTAL LKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGYIDYDQMEKS ATLFRPKLIVAGASAYARLYDYARIRKVCNKQKAVMLADMAHISGLVAAGVIPSPFDY ADVVTTTTHKSLRGPRGAMIFYRKGVKEINKQGKEVLYDFEDKINQAVFPGLQGGPHN HTITGLAVALKQATTSEYKAYQEQVLSNSAKFAQGIDGSRVEKVLEAVHIASNKNTVP GDVSAMVPGGIRMGTPALTSRGFVEEDFAKVAEYFDKAVTLALKVKSEAQGTKLKDFV SAMEASSTIQSEIAKLRHEVEEFAKQFPTIGFEKETMKYKN" mRNA complement(join(16949393..16949462,16949542..16949607, 16949681..16949785,16949869..16950066,16950151..16950243, 16950329..16950421,16950550..16950674,16950754..16950830, 16950910..16951031,16951113..16951199,16951355..16951405, 16951503..16951743)) /locus_tag="BRARA_H01745" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01745" CDS complement(join(16949418..16949462,16949542..16949607, 16949681..16949785,16949869..16950066,16950151..16950243, 16950329..16950421,16950550..16950674,16950754..16950830, 16950910..16951031,16951113..16951199,16951355..16951405, 16951503..16951589)) /locus_tag="BRARA_H01745" /codon_start=1 /product="hypothetical protein" /protein_id="RID51056.1" /db_xref="Phytozome:Brara.H01745" /translation="MAMAMALRRLSSSVDKPIRPLIRSTTCYMSSLPSEAVDEKERSR VTWPKQLNASLEEVDPEIADIIEHEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKY SEGYPGARYYGGNEYIDMAETLCQKRALEAFRLDPEKWGVNVQPLSGSPANFHVYTAL LKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGYIDYDQMEKS ATLFRPKLIVAGASAYARLYDYARIRKVCNKQKAVMLADMAHISGLVAAGVIPSPFDY ADVVTTTTHKSLRGPRGAMIFYRKGVKEINKQGKEVLYDFEDKINQAVFPGLQGGPHN HTITGLAVALKQATTSEYKAYQEQVLSNSAKFAQTLMEKGYCEDKNTC" gene complement(16952695..16956229) /locus_tag="BRARA_H01746" /db_xref="Phytozome:Brara.H01746" mRNA complement(join(16952695..16952877,16952960..16953106, 16953197..16953280,16953395..16953436,16953548..16953647, 16953740..16953801,16954137..16954215,16956046..16956229)) /locus_tag="BRARA_H01746" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01746" CDS complement(join(16952969..16953106,16953197..16953280, 16953395..16953436,16953548..16953647,16953740..16953801, 16954137..16954215,16956046..16956227)) /locus_tag="BRARA_H01746" /codon_start=1 /product="hypothetical protein" /protein_id="RID51057.1" /db_xref="Phytozome:Brara.H01746" /translation="MGRGKIVIQRIDDSTSRQVTFSKRRKGLIKKAKELAILCDAEVG LIIFSSTGKLYDFASSSMKSVIDRYNKSKIEQQQLLNPASEVKFWQREAAVLRQELHA LQENHRQIMGEQLNGLSVNELNNLENQLEISLRGIRMKKEQMMNHEIQELSQKRNLIH QENLELSRKVQRIHQENVELYKKAYTSSTNGFIQRELAVADDESNTQIRLQLSQPDHS DYETPPRASQ" gene <16973482..>16979403 /locus_tag="BRARA_H01747" /db_xref="Phytozome:Brara.H01747" mRNA join(<16973482..16973494,16974639..16974723, 16974888..16974966,16975170..16975285,16975416..16975466, 16975591..16975710,16975803..16975922,16976039..16976186, 16976359..16976529,16977265..16977411,16977495..16977601, 16977716..16977806,16978272..16978413,16978593..16978761, 16978852..16978968,16979046..>16979403) /locus_tag="BRARA_H01747" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01747" CDS join(16973482..16973494,16974639..16974723, 16974888..16974966,16975170..16975285,16975416..16975466, 16975591..16975710,16975803..16975922,16976039..16976186, 16976359..16976529,16977265..16977411,16977495..16977601, 16977716..16977806,16978272..16978413,16978593..16978761, 16978852..16978968,16979046..16979403) /locus_tag="BRARA_H01747" /codon_start=1 /product="hypothetical protein" /protein_id="RID51058.1" /db_xref="Phytozome:Brara.H01747" /translation="MDIRSIVMKMARVMTQVFVLIFLLQGVHSRTSRNKSNIAKPGQN LASPPVTLKKIGLNQVVVDNGIIQVTFSNPSGLITGIKYNGFNNVLNDKIEDRGYWDV VWYEPEKNPQTDFLKGEKIDIINQTSEHVEISFSRTWTMARRGSIAPLNVDKRYIIRR GVSGVYMYAVLERLKGWPQVEMDQTRIVFKLDTTKFDFMAISDNRQKVMPSDADRNIN NGIAAPLAYKEAVRLMNPQNHTLKGQVDDKYMYSMESKDNKVHGWISSDQRVGFWMIT PSDEFRACGPVKQDLTSHVGPTVLSMFTSVHYVGKEMNTAYASSEPWKKMFGPVFVYL NSPSSSDPLWTDAKRQMAAEVQSWPYDFVKSVDYPLHHRRGTVEGQLFVTDSYKSKSK LYAEFAFVGLALPGQAGSWQTENKGYQFWTRADKRGRFAIANVRPGSYSLYAWVVGFI GDYKYERDIIITPGKEINVGPIVYEPPRNGPTLWEIGVPDRTAAEFNIPDPDPTLLTK LYLSNANPSQDRFRQYGLWNRYSVLYPRKDLVFTAGVSDYKKDWFYAHVNRYSGKEKY QATTWKIVFNLKTMIKTGNYTLRMALAAATNADLFVRVNKANSNPIFTSGLIGRDNAI ARHGIHGLYRLYNIDVQRKLLRVGDNTFFLTQNRNTSLFTGVMYDYLRLEGPY" gene 16988274..16990839 /locus_tag="BRARA_H01748" /db_xref="Phytozome:Brara.H01748" mRNA join(16988274..16988487,16988588..16988701, 16989335..16990002,16990451..16990839) /locus_tag="BRARA_H01748" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01748" CDS join(16988402..16988487,16988588..16988701, 16989335..16990002,16990451..16990656) /locus_tag="BRARA_H01748" /codon_start=1 /product="hypothetical protein" /protein_id="RID51059.1" /db_xref="Phytozome:Brara.H01748" /translation="MGEVLKKEAYGLAVKDESGVISPFRFSRRETGENDVRLKVLFCG ICHTDLSMAINEWGFTSYPLVPGHEIVGVVTEVGAKVTKFNAGDKVGVGYMVSSCGSC ETCIDDQENYCPKMILTSGGKYYDDTITYGGYSDHMVCEEDYIIRIPENLPLDATAPL LCAGTTVYSPMKYHGLDKPGMHIGVVGLGGLGHVAVKFAKAMGTKVTVISTSDRKRDE ALTRLGADLFLVSRDPEQMKDAMGTMDGIIDTVSAPHPVLPILDLLKYKGKLIMVGAP DKPLELPVLPLIFGKKMVVGSMVGGIKETQEMMDLAGKHNITADIELISADYVNTAMK RLEKADVRYRFVIDVANTLKPSP" gene 16992218..16995703 /locus_tag="BRARA_H01749" /db_xref="Phytozome:Brara.H01749" mRNA join(16992218..16992404,16993727..16993840, 16994438..16995105,16995319..16995703) /locus_tag="BRARA_H01749" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01749" CDS join(16992331..16992404,16993727..16993840, 16994438..16995105,16995319..16995524) /locus_tag="BRARA_H01749" /codon_start=1 /product="hypothetical protein" /protein_id="RID51060.1" /db_xref="Phytozome:Brara.H01749" /translation="MGKEAFGLAAKDNSGVLSTFHFSRRETGEKDVRFKVLFCGICHS DLHMIKNEWGISTYPLVPGHEIVGVVTEVGSKVTKFKTGDKVGVGCMVGSCGSCDSCT EGLENYCPKSIQTYGFKYYDDTITYGGYSDNMVCDEGFIIRMPDNLPLDAAAPLLCAG ITVYSPLKYHGLDKPGMHIGVVGLGGLGHVAVKFAKAMGLKVTVISTSDSKRDEAINR LGADAFVVSRDQKQIKDAMRTLDGIINTVSATHSLLPLLGLLKPKGKLVMVGAPEKPL ELPVMPLIFERKMVMGSMIGGIKETQEMVDMAGKHNITADIELISADYVNTAMERLEK ADVRYRFVIDVANTLKPTP" gene complement(<16996132..>16997790) /locus_tag="BRARA_H01750" /db_xref="Phytozome:Brara.H01750" mRNA complement(<16996132..>16997790) /locus_tag="BRARA_H01750" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01750" CDS complement(16996132..16997790) /locus_tag="BRARA_H01750" /codon_start=1 /product="hypothetical protein" /protein_id="RID51061.1" /db_xref="Phytozome:Brara.H01750" /translation="MEKTALLELTSRCTSLRVFKQIQTQLISRHLLRDDSFVEKVVTF FGKSADFASYSCVFLHRILPSSTSFPYNTLLSSYAVCDKPRATILVYRTFLSNGFPPD TYTFPPLFKACGKFSGIGEGKQIHGYVTKMGFLSDVYVQNSLLHFYGTCGDLKSACKV FDEMPVRDVVSWTGVISGFSRIGLYKEALRMFLKMDVEANLATYVCALVASGRVGWLR LGQGIHGLIMKKAYLFRLEIGNALIDMYVKCERLCDAKRVFGELQVKDKVSWNSMISG LVQCKKPNEAIELFFSLMQTSSGVKPDGHILTSVLSACASLGAVEYGRWVHEYVVRAG IKWDTHIGTAVVDMYAKCGCIETALEVFNGIRSKNVYTWNALLGGLAIHGHGYESLRY FEEMVKLGFKPNEVTFLAVLNACCHTGLVDEGRRYFYKMTTGEYNLSPKLEHYGCLID MLCRAGLLEEALELVKSMPVKPDVLICGAILSACKSRETLTELPKEILDSFLEMEFED SGVYVLLSNIFAANRRWNDVAKIRRLMKVKGISKVPGSSAIDVN" gene 17009543..17011727 /locus_tag="BRARA_H01751" /db_xref="Phytozome:Brara.H01751" mRNA join(17009543..17010068,17010308..17010594, 17010885..17011117,17011198..17011355,17011426..17011727) /locus_tag="BRARA_H01751" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01751" CDS join(17009610..17010068,17010308..17010594, 17010885..17011117,17011198..17011355,17011426..17011527) /locus_tag="BRARA_H01751" /codon_start=1 /product="hypothetical protein" /protein_id="RID51062.1" /db_xref="Phytozome:Brara.H01751" /translation="MTITKPPQLSFCAASSPLCSLKGSLLTVAVLTFLSLFYLSLNSL RTPPPSPIVLESTIHVPHPQTKDESYSDVYHSPDSFRLNYAEMERKFKIYIYPDGDPN TFFQTPRKVTGKYASEGFFFKNIRESHFRTLDPEEADLFFVPVSPHKMRGNGTSYEDM TVIVRDYVDGLIAKYPYWNRTLGADHFFVTCHDVGVRAFEGSPVMIKNTIRVVCSPSY NVGFVPHKDVALPQVLQPFALPAGGNDVENRTTLGFWAGHRNSKIRVILARVWENDTE LDISNNRINRATGHLVYQKRFYRTKFCICPGGSQVNSARITDSIHYGCVPVILSDYYD LPFSDILDWRKFAVVLRERDVYDLKQILKNITQSEFVSLHNNLVKVQKHFQWNTPPVK FDAFHMIMYELWLRHHVIKY" gene complement(17011793..17013297) /locus_tag="BRARA_H01752" /db_xref="Phytozome:Brara.H01752" mRNA complement(join(17011793..17012433,17012691..17013297)) /locus_tag="BRARA_H01752" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01752" CDS complement(join(17012384..17012433,17012691..17013063)) /locus_tag="BRARA_H01752" /codon_start=1 /product="hypothetical protein" /protein_id="RID51063.1" /db_xref="Phytozome:Brara.H01752" /translation="MMMRKIPTWPWRLLGGKKEKETEALKFPAKINREKGRVFKRKEM GLESFGSSGSESVALASAAGDGPEWSVGWTEPHGPGFQTDEEGDDGGFLVLVPCYRAV SEGSGNNQFLSAVKNLPNGLSPDGKNYMEQWLSSLQNL" gene 17019553..17022970 /locus_tag="BRARA_H01753" /db_xref="Phytozome:Brara.H01753" mRNA join(17019553..17019641,17019778..17022970) /locus_tag="BRARA_H01753" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01753" CDS 17019811..17022867 /locus_tag="BRARA_H01753" /codon_start=1 /product="hypothetical protein" /protein_id="RID51064.1" /db_xref="Phytozome:Brara.H01753" /translation="MEKVYEELDEVKAANEKLRGDFRAKTELLENLKKVQNKQLIEIQ EARSVIEKQGFESEEKAREISELKRTNEDLQRCLREKDSVLKRLNEVNDKLRADGEEK NSGFEEERRKLVLALDEVGEKNIDLEKKSNVYRAEIEGLKGSLSAAEKKKIEAEKTVR DLKEARGRDDVAVKLEEEKAKVEEKLKWKKEQFKHLEEAYEKLNNTFKSRKKEWEEER STILDEIYSLQTKLDSQIRISEDLETKLQMCNSVLTQEETRRKHLEIEVSELKAKYED TFAECRDARTQLDELVGKRDEEVAELRHSLSTKEAYFKEMKYENGKLEQENRELLASL RELQEATIQGSGSSALSKLKSKFRNLENVHKNCSANLRSRESEWRSQLEKMAEEMNDY KSQLRSKEAAVNELELELENFHSSADKMRLQYEEISVMFLVLSRTVSEAQSRLVNVTD EQTKHERSKEKRCSILIEELEQKSVALAKAREETEAERERVACLLKRVETLDHFEEQN LQMQKEVERYRQTVEESSKLQTQMKEKLKEAEIDFEEKLLQVCDALDNTNSDLVAERE KVMNLTRQIESFGVIKEKNLVMEKELQKHKEMLEESEKRRMVLEELESDSKENIRELY SKVDTAYAKLAEEVEKNVSLIRKIESIDQNEEQRHRELESYKERLEKATKSQTLLQEK VVEVESHSKRKLAEVSEALEAANCELSDKTSEAYQLEFQLWVWKSIAKRLKVELEQNQ NLRKRVEASLLEQVTLGDAMRQERNELVHKLKAAARSDSEKEILIKIMREKDKNLEEV QREVELSQQESLTRELEGAVFAHITAERVLQNERDELESSLKSVSLLLEVKQNEAIMV YKAWEKLAADKIITEVETEAKKLMIIELEEDISSISQKLERSDEYVSCFRVELESKQG ELKEVTTQMQEKLRTSEADKTELVKQVTTLSSERRDLLCFISELENGMSKQCDEDTKL MKALEKTVQHYDGFGKENNNVGSPRLVMKHEDVAVEDRSPFRQLNH" gene <17024451..>17026268 /locus_tag="BRARA_H01754" /db_xref="Phytozome:Brara.H01754" mRNA join(<17024451..17025113,17025539..17025802, 17025876..>17026268) /locus_tag="BRARA_H01754" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01754" CDS join(17024451..17025113,17025539..17025802, 17025876..17026268) /locus_tag="BRARA_H01754" /codon_start=1 /product="hypothetical protein" /protein_id="RID51065.1" /db_xref="Phytozome:Brara.H01754" /translation="MGEVTVGSQCHKLLDMRDFTGARHLVKMNKQLGKDWPKLDQVEA ICDVIIAAENRLPNGFMDYYGMLRATRFEPVVLDDFRRLMKLLDWRCNGLPSSQEAAQ YAYQAWSMLSKPVMKARYDLDISSPMVRGNNHVGVAQLGFPEGSSFVLKEQSPNQDIG RGNDNEVVVISDDDDDDDDDDDGDEDIITMARTAKVFKINGKRVKFIPLKKKKRKDSS TSNLEQGMRPLSQRYNVTAYSTTIGRNFFTNGASSSNLFSSRGYSTNAKPKSKTESKE MAAKKHSDAERRRRLRINCQFEALRTILPNLIKQDKASVLGETVRYFKELKKLVNEIP TTPSLEDSLRLGQCKNRDFARVVFSCSDREGLMSEVAESMKAADAKAVRAEMMTVGGR TKCVLFVQGVNGNEGLVKLKKALKPVVNRKPEATNNNNAGSLLPQQQ" gene complement(17026418..17027796) /locus_tag="BRARA_H01755" /db_xref="Phytozome:Brara.H01755" mRNA complement(join(17026418..17026719,17026821..17026892, 17026970..17027074,17027209..17027393,17027582..17027796)) /locus_tag="BRARA_H01755" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01755" CDS complement(join(17026648..17026719,17026821..17026892, 17026970..17027074,17027209..17027393,17027582..17027723)) /locus_tag="BRARA_H01755" /codon_start=1 /product="hypothetical protein" /protein_id="RID51066.1" /db_xref="Phytozome:Brara.H01755" /translation="MELSTVTTITHLPPTTSRHVYLTGNTSPVSRISLPPQGNVPSLR LQSHTLRCARKFPGETVSEDTSTGVNEFVLEKPGVVADKEDNFTSEAQAEDEQTQASL EFLNDIKLDSDNTYSIVLYGFGGILAIYLTSAIVGSLESVPLLPKLMEVVGLGYTLWF TTRYLLFKSNREELKTKISEIKKQVLGSDSD" gene complement(<17037702..>17040225) /locus_tag="BRARA_H01756" /db_xref="Phytozome:Brara.H01756" mRNA complement(join(<17037702..17037869,17037950..17038078, 17038150..17038230,17038303..17038524,17038617..17038757, 17038847..17038921,17039035..17039136,17039231..17039326, 17039456..17039567,17039690..17039763,17039840..17039882, 17039957..>17040225)) /locus_tag="BRARA_H01756" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01756" CDS complement(join(17037702..17037869,17037950..17038078, 17038150..17038230,17038303..17038524,17038617..17038757, 17038847..17038921,17039035..17039136,17039231..17039326, 17039456..17039567,17039690..17039763,17039840..17039882, 17039957..17040225)) /locus_tag="BRARA_H01756" /codon_start=1 /product="hypothetical protein" /protein_id="RID51067.1" /db_xref="Phytozome:Brara.H01756" /translation="MCISPKNPLLVRSARPGSLILPKPRSPTNSVRFHRVAAVAAARA TAIDLSDPEWKLKYQRDFEERFSIPHITDAFPDAEAIPSTFCVKMRSPTYINTKDRVL LKVINYSSPASAGAVCIDPDCTWIEQWVQRAGPRENIYFRPEDVKAAIVTCGGLCPGL NDVIRLIVITLEIYGVKNIVGIPFGYRGFSDKNLPEIPLSRKVVQNIHLSGGSLLGVS RGGPTVTKIVDSMEERGINMLFVLGGNGTHAGANAIHNECVKRRMKVAVVGVPKTIDN DILHMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGIGVVKLMGRSSGFIAMQASLASG QVDICLIPEVPFNLHGPDGVLKHLNYLIETKGSAVVCVAEGAGQSFLENTNAKDASGN KVLGDIGVHIQQETKKYFKEIGVAADVKYIDPTYMIRAVRANASDGILCTVLGQNAVH GAFAGYSGITVGIINNHYAYLPIPEVIAYDKSVDPNSRMWHRCLTSTGQPDFL" gene 17044324..17045068 /locus_tag="BRARA_H01757" /db_xref="Phytozome:Brara.H01757" mRNA join(17044324..17044400,17044509..17045068) /locus_tag="BRARA_H01757" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01757" CDS join(17044386..17044400,17044509..17044904) /locus_tag="BRARA_H01757" /codon_start=1 /product="hypothetical protein" /protein_id="RID51068.1" /db_xref="Phytozome:Brara.H01757" /translation="MDQHKEDRRLLLSKEEERIRDELEMEIERNLEGEFKDGIYNLAL KLRRLYEQRREREESLDASMRKSKRVLEVNISIKMEGDTKIEITERKKEVDNDKMKKA ENLVTRKKCEAGEDKTRKEKLKNPTRAQELRWKW" gene <17046062..>17046493 /locus_tag="BRARA_H01758" /db_xref="Phytozome:Brara.H01758" mRNA <17046062..>17046493 /locus_tag="BRARA_H01758" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01758" CDS 17046062..17046493 /locus_tag="BRARA_H01758" /codon_start=1 /product="hypothetical protein" /protein_id="RID51069.1" /db_xref="Phytozome:Brara.H01758" /translation="MAALKTLQAMIFLGLLATSCIAQAPAPAPIMPLPPVESPSPIIT PTAEPPSPVPVASPPVMVTEPTPAPATPPTVSSPTKSPKTSPVASPPKPEGMAPSPSV PEPTPTPAPAPEGPIADSAFTNKAFLVSTAIAGALYAVVLA" gene complement(17046894..17048353) /locus_tag="BRARA_H01759" /db_xref="Phytozome:Brara.H01759" mRNA complement(join(17046894..17047691,17047766..17048353)) /locus_tag="BRARA_H01759" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01759" CDS complement(join(17047016..17047691,17047766..17048208)) /locus_tag="BRARA_H01759" /codon_start=1 /product="hypothetical protein" /protein_id="RID51070.1" /db_xref="Phytozome:Brara.H01759" /translation="MGRITSFADLIGILKDKASQGKAALVSSNPKGKSLSFHLSVLRA TTHDPSTPPGNRHLSALLSAGTGSRATAASAVEAVMNRLHTTGDACVALKSLIIVHHI VKHGRFILQDQLSVFPASGGRNYLKLSGFRDEKSPLMWELSSWVRWYGLYLEHLLSTS RVMGFFVASASSTIHKDEYEDMVSSLTNTDLLREVDALVGLLQEACKIPDIPFSGGKP LADKITRLVGEDYVSSVNELYSRLNEFKERSNTLSFGDTVELVCALKRHESSKERLSE IWCGNWKRSWLDGLWSLVSEVKGVIGGLEDGYEQIEKTFAGIGRREKGYESARFSDRL VIGYGDDTVRFSSGRFSNVGRFNYPVEHCVVQTTLDVL" gene complement(17049962..17051291) /locus_tag="BRARA_H01760" /db_xref="Phytozome:Brara.H01760" mRNA complement(17049962..17051291) /locus_tag="BRARA_H01760" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01760" CDS complement(17050277..17051203) /locus_tag="BRARA_H01760" /codon_start=1 /product="hypothetical protein" /protein_id="RID51071.1" /db_xref="Phytozome:Brara.H01760" /translation="MARVQGFISHRWIIFQLITVLHVANAQSLPPPSQDELQPGHAPS KTTVFGVLIAAFFFFGLLSVYIRHCTRAMPGHSYTNSRRRAFEGCSRRGGLEDAVVES FPVFAYSSVKESKIGAGDLECAICLNELEDRETVRLLPVCNHLFHVDCIDAWLYSHAT CPVCRFNLTAKPVKTGSEAPVSDHVVIDIRGDSEADEEEEKSHHRRPSSEIVGKFPRS NSTGHSMGRLSDGTERFTLRLPEDVRRRIMAAKGRRLKRTRSFDADLMDSGYVVGSGG KSDRVSWADRWGLFVSKSNSGSVRSPNGDSLT" gene 17052950..17054433 /locus_tag="BRARA_H01761" /db_xref="Phytozome:Brara.H01761" mRNA join(17052950..17053267,17053412..17054433) /locus_tag="BRARA_H01761" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01761" CDS join(17053213..17053267,17053412..17054217) /locus_tag="BRARA_H01761" /codon_start=1 /product="hypothetical protein" /protein_id="RID51072.1" /db_xref="Phytozome:Brara.H01761" /translation="MKRLSNSDSMCGLISNSTDEQNPRGYGHNFHSMLNGYEEDGTTV EEYSGNHHMGQSEKKRRLRVDQVKALEKNFELENKIEPERKTQLAQELGLEPRQVAVW FQNRRARWKTKQLEKDYGLLKSQYDSLRHNFDSLRRDNDSLVLKISELKAKINGEEDN NNNSKVTAESDISAVKEENVPSSPPEFIEHSTGFDYRRSFTDLCDLLPNSTALDGGSS DSCDSSAETSSENGRLTPPPTVTGGNLLQFVKTEQMEDHDDFLSGEEACCFFSDEQPP SLHWYSASDH" gene 17063272..17065158 /locus_tag="BRARA_H01762" /db_xref="Phytozome:Brara.H01762" mRNA join(17063272..17063805,17063898..17063948, 17064038..17064112,17064190..17064223,17064332..17064387, 17064488..17064547,17064655..17064714,17064804..17064850, 17064945..17065158) /locus_tag="BRARA_H01762" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01762" CDS join(17063314..17063805,17063898..17063948, 17064038..17064112,17064190..17064223,17064332..17064387, 17064488..17064547,17064655..17064714,17064804..17064850, 17064945..17064993) /locus_tag="BRARA_H01762" /codon_start=1 /product="hypothetical protein" /protein_id="RID51073.1" /db_xref="Phytozome:Brara.H01762" /translation="MAVSCSHSSILFPPSTSSVSFNRFPRLQTLRFKSRNVYQKARIS TVSASSSRSLEALIFDCDGVILESENLHRQAYNDAFAHFDVRCPPSSSESLNWSLEFY DKFQNLVGGGKPKMRWYFRENGWPTSTLFESPPESDDDRAKLIDSLQDWKTERYKEII MSGSVEARPGVIRLMDEARAAGKKLAVCSAATKSSVILCLENLIQIERFQGLDCFLAG DDVKEKKPDPSIYITAAEKLGVSVNDCLVIEDSVIGLQAATKAGMSCVITYTSSTSDQ DFKEAIAVYPDLTNVSLKDLETLLQTIVTAA" gene complement(17066044..17068540) /locus_tag="BRARA_H01763" /db_xref="Phytozome:Brara.H01763" mRNA complement(join(17066044..17066867,17067491..17068540)) /locus_tag="BRARA_H01763" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01763" CDS complement(join(17066235..17066867,17067491..17068414)) /locus_tag="BRARA_H01763" /codon_start=1 /product="hypothetical protein" /protein_id="RID51074.1" /db_xref="Phytozome:Brara.H01763" /translation="MYLLTILQAFVTITLVMLLKKLITNRNKKKISLPPGPTGWPIIG MIPAMLKSRPVFRWLHSIMKQLNTEIACVKLGNTHVITVTCPKIAREILKQQDALFAS RPMTYAQNVLSNGYKTCVITPFGEQFKKMRKVVMTELVCPARHRWLHQKRAEENDHLT AWLYNMVKNSGSVDFRFVTRHYCGNAIKKLMFGTRTFSENTAPDGGPTAEDIEHMEAM FEALGFTFAFCISDYLPMLTGLDLNGHEKIMRDSSAIMDKYHDPIIDARIKMWREGKR TQIEDFLDIFISIKDEQGNPLLTADEIKPTIKELVMAAPDNPSNAVEWAMAEMVNKPE ILRKAMEELERVVGKERLVQESDIPKLNYVKAILREAFRLHPVAAFNLPHVALSDATV AGYHIPKGSQVLLSRYGLGRNPKVWADPLSFKPERHLNECSEVTLTENDLRFISFSTG KRGCAAPTLGTALTTMMLARLLQGFTWKLPENETRVELMESSHDMFLCKPLVMVGELR LPEHLYPTVK" gene complement(17072226..17073759) /locus_tag="BRARA_H01764" /db_xref="Phytozome:Brara.H01764" mRNA complement(join(17072226..17072576,17072678..17072724, 17072814..17072898,17072988..17073106,17073191..17073759)) /locus_tag="BRARA_H01764" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01764" CDS complement(join(17072481..17072576,17072678..17072724, 17072814..17072898,17072988..17073106,17073191..17073674)) /locus_tag="BRARA_H01764" /codon_start=1 /product="hypothetical protein" /protein_id="RID51075.1" /db_xref="Phytozome:Brara.H01764" /translation="MEGLAIRASQPSIFCSLPGLGGHSQRQPLSDGFLRLPASSNATV NTNLVASSVSFHPLSAVKVSSQASLTADVPALSDNIVWHESSICRCDRQQLLQQKGCV IWITGLSGSGKSTVACALSKALFERGKLTYTLDGDNVRHGLNRDLTFKAEDRTENIRR IGEVAKLFADVGVICIASLISPYRRDRDACRSLLPEGDFVEVYMDVPLSVCESRDPKG LYKLARAGKIKGFTGIDDPYEAPLNCEVVLKHTDVSCSPRQMAENIISYLQDKGYLEG " gene complement(<17075230..>17075638) /locus_tag="BRARA_H01765" /db_xref="Phytozome:Brara.H01765" mRNA complement(join(<17075230..17075414,17075572..>17075638)) /locus_tag="BRARA_H01765" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01765" CDS complement(join(17075230..17075414,17075572..17075638)) /locus_tag="BRARA_H01765" /codon_start=1 /product="hypothetical protein" /protein_id="RID51076.1" /db_xref="Phytozome:Brara.H01765" /translation="MEQIRFRDVVRTIAVVFLLVIAEQATAGSIDYADCYGLCNPHCE QTCKGLGYTAWLCPLVQTKSFCCCTPKKKKIGHSVQLNN" gene complement(<17077459..>17077839) /locus_tag="BRARA_H01766" /db_xref="Phytozome:Brara.H01766" mRNA complement(join(<17077459..17077640,17077773..>17077839)) /locus_tag="BRARA_H01766" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01766" CDS complement(join(17077459..17077640,17077773..17077839)) /locus_tag="BRARA_H01766" /codon_start=1 /product="hypothetical protein" /protein_id="RID51077.1" /db_xref="Phytozome:Brara.H01766" /translation="MDQIRFKDVVLTIAIIFLLVIAEQANATSVDADCYGPCNNHCEQ TCKSKGYTGWFCSAFRFKSGCCCTPRKKIFGQFVQLNN" gene 17082440..17085006 /locus_tag="BRARA_H01767" /db_xref="Phytozome:Brara.H01767" mRNA join(17082440..17082722,17083192..17083308, 17083655..17083753,17083836..17084033,17084113..17084294, 17084372..17085006) /locus_tag="BRARA_H01767" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01767" CDS join(17082606..17082722,17083192..17083308, 17083655..17083753,17083836..17084033,17084113..17084294, 17084372..17084777) /locus_tag="BRARA_H01767" /codon_start=1 /product="hypothetical protein" /protein_id="RID51078.1" /db_xref="Phytozome:Brara.H01767" /translation="MGAAGSKLEKALGDQFPEGERYFGFENFGNTCYCNSVLQALYFC VPFREQLLEYYTSNKSVADSEENLMTCLADLFSQISSQKKKTGVIAPKRFVQRLKKQN ELFRSYMHQDAHEFLNYLLNEVVDILEKEAKATTKPERETSSSSSPEKIANGPKAPLA NGVHKEPVVTWVHNIFQGILTNETRCLRCETVTARDETFLDLSLDIEQNSSITSCLKN FSSTETLHAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYMEQLGRYKKLSY RVVFPLELKLSNTVEPYTDVEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENV EMIEESAVQTFFGSSQEYSSNTDHGYILFYESLGPTTK" gene 17086122..17088118 /locus_tag="BRARA_H01768" /db_xref="Phytozome:Brara.H01768" mRNA join(17086122..17086316,17086827..17086941, 17087048..17087100,17087207..17087488,17087557..17087599, 17087686..17087794,17087879..17088118) /locus_tag="BRARA_H01768" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01768" CDS join(17086293..17086316,17086827..17086941, 17087048..17087100,17087207..17087488,17087557..17087599, 17087686..17087794,17087879..17087963) /locus_tag="BRARA_H01768" /codon_start=1 /product="hypothetical protein" /protein_id="RID51079.1" /db_xref="Phytozome:Brara.H01768" /translation="MAAATGEGGKQQFSSVADGNGNAPVKYPSFKAPKLSFSDGAKHN SIDVFPLLVKEADRGIKNSLVQDVCTISVLPDEGNTIPQCTSQFTLLSFVKALLPSKS QMLIDAQLNCQKTQNRINVLLGGTDSYQSCVVDINVEKGNGAEAEEVVASLKSESVHM QKVLQRQASLSTDKAISERCHDAPTNRWRRYKRAASFDSRKIVILFSILSSVGTLILI YLTLRVRLINGDNSFNHM" gene <17091137..>17091595 /locus_tag="BRARA_H01769" /db_xref="Phytozome:Brara.H01769" mRNA join(<17091137..17091286,17091374..>17091595) /locus_tag="BRARA_H01769" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01769" CDS join(17091137..17091286,17091374..>17091595) /locus_tag="BRARA_H01769" /codon_start=1 /product="hypothetical protein" /protein_id="RID51080.1" /db_xref="Phytozome:Brara.H01769" /translation="MSNSASSSSRQRAMGGVPTQCWCGKNVATFVSKTEKNPYRRFYR CEIAFQRKTERHLFRWVDEAVIDEIGKVDAKHDELVNDVKDLRESMMERFKFQEKRLE KVEEEAMRVKMNEEMIESYDRI" gene <17094986..>17096373 /locus_tag="BRARA_H01770" /db_xref="Phytozome:Brara.H01770" mRNA join(<17094986..17095886,17095971..17095974, 17096283..>17096373) /locus_tag="BRARA_H01770" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01770" CDS join(17094986..17095886,17095971..17095974, 17096283..17096373) /locus_tag="BRARA_H01770" /codon_start=1 /product="hypothetical protein" /protein_id="RID51081.1" /db_xref="Phytozome:Brara.H01770" /translation="MSKSAANEPPAKETSPSLFPSSSLPDTMDLSCLALVPRSDHAAL SLVSKRFHSVLASQEFYKTRSLLGRTQEFLYVCLSTTPNPTPSWFLLRRETNKNQLIP IPSFPSQPETFSSFVALDWGIYVIGGFKDVRSPDVLLLDCRTNTWRKVPSMSVGRAAA AAGVIDGKIYVFGGCEELSSPNWAEVFDPNTQTWETLVPMDDRNEGDNVIRETLVMDK KVYAVDFWSGSLFYYSPGDGKWGRKKIPEEVQSYYCVMEKVLYGCDEVGNVVWRESEE LEWKRVKGLEALQWKRARKLSIFGRRIEWSEAVATFYRSFTRTKVEVLYAAAVNV" gene complement(<17096918..>17097857) /locus_tag="BRARA_H01771" /db_xref="Phytozome:Brara.H01771" mRNA complement(join(<17096918..17097356,17097436..>17097857)) /locus_tag="BRARA_H01771" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01771" CDS complement(join(17096918..17097356,17097436..>17097857)) /locus_tag="BRARA_H01771" /codon_start=1 /product="hypothetical protein" /protein_id="RID51082.1" /db_xref="Phytozome:Brara.H01771" /translation="RGAESWEPVSGETISVPYLKVPMELLKEIGIIGASHGWVATLKN GAVCLQDDLHLPDTDPKRIPLPPFVTLPHCQTQIVTNISMSSSSPDDDEDCIVAVKFL GPQLSLCRPAQRDCKWSNIRITDPSFFSSHVMYSKRDGICCGFPMNYPIREMTEKKWQ RLESCCTKQHYLVESLHTDETFMVKWYTQSHPITNNTLNLWDHFLVLKIDKEGNAVYT KDIGHLCILLSKSEAICIPSNSNRKVKNSIYMLREHEFAIVMIDTNQKFCQRPFPCSL PYYISKRLLR" gene complement(<17100543..>17101691) /locus_tag="BRARA_H01772" /db_xref="Phytozome:Brara.H01772" mRNA complement(<17100543..>17101691) /locus_tag="BRARA_H01772" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01772" CDS complement(17100543..17101691) /locus_tag="BRARA_H01772" /codon_start=1 /product="hypothetical protein" /protein_id="RID51083.1" /db_xref="Phytozome:Brara.H01772" /translation="MSHLLRRLKPSFGVSHLTASSRSFSNHIFKVTPCGTTVRDGDVG YLAIYSYVDKLIDCTQKKVPMELAKGMGTIGASHGWVATLKNGVVRLQDDLEPHASYT DPKRIPLPPLVTLPHCQTQIVTNIAMSSSSPEDEDCIVAVKFLGPQLSLCRPAQRDCK WSNIRISDPSFFSSHVMYSKRDGMFSMPAAGGNYTASCDLGRHVNEPKIQMLTYPKQR VFEDLYVKSTGTFIEKEFLRKFDWKHSDWSCRMEHYLVESSHTGETFLVKWSKDINPQ DGRSELDLFLVLRIDEEGNAVYTKDFGGECIFISKAESFCLPASCLHDKRPNCIYHLS DTSFGINCMDDVWKERGGDLAFPGPFWFPPKLDSKGKRILSSGIQYQV" gene complement(17101834..17110125) /locus_tag="BRARA_H01773" /db_xref="Phytozome:Brara.H01773" mRNA complement(join(17101834..17102143,17102217..17102295, 17102379..17102431,17102511..17102674,17102792..17103025, 17103099..17103245,17103357..17103515,17103607..17103787, 17104016..17104088,17104171..17104320,17104403..17104527, 17104803..17104893,17105056..17105164,17105244..17105343, 17105535..17105693,17105781..17105892,17105971..17106275, 17106383..17106621,17107145..17107223,17107304..17107439, 17107711..17108165,17108304..17108501,17108577..17108801, 17108928..17109204,17109364..17109505,17109907..17110125)) /locus_tag="BRARA_H01773" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01773" CDS complement(join(17102048..17102143,17102217..17102295, 17102379..17102431,17102511..17102674,17102792..17103025, 17103099..17103245,17103357..17103515,17103607..17103787, 17104016..17104088,17104171..17104320,17104403..17104527, 17104803..17104893,17105056..17105164,17105244..17105343, 17105535..17105693,17105781..17105892,17105971..17106275, 17106383..17106621,17107145..17107223,17107304..17107439, 17107711..17108165,17108304..17108501,17108577..17108801, 17108928..17109204,17109364..17109425)) /locus_tag="BRARA_H01773" /codon_start=1 /product="hypothetical protein" /protein_id="RID51084.1" /db_xref="Phytozome:Brara.H01773" /translation="MPSLQLLQLTERGRGLVASRRKSILLAAGVVAAGGAAVYLKSRI SSRRLGSSRHCNGQSDDDEALEKMTGNDKKTAKKKKGGGLKSLQVLTAILLSQMGKMG ARDLLALVGTVIFRTALSNRLAKVQGFLFRAAFLRRAPLFLRLITENIMLCFMLSTMH STSKYITGALSLRFRKILTKLIHSHYFENMVYYKISHVDGRITHPEQRIASDVPRFSS ELSELIQDDLTAVTDGILYAWRLCSYASPKYIFWILAYVLGAGTAIRNFSPSFGKLMS KEQQLEGEYRQLHSRLRTHSESIAFYGGETREESHIQQKFKNLVSHMSVVLHDHWWFG MIQDFLLKYLGATVAVILIIEPFFSGNLRPDDSTLGRAEMLSNIRYHTSVIISLFQAL GTLSISSRRLSRLSGYADRIHELMAVSRELSGDDKISFQRNRSRNYLSEANYVEFSGV KVVTPTGNVLVEDLTLRVEQGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVG SDLNKEIFYVPQRPYMAVGTLRDQLIYPLTSDQESVPLTETGMVELLKNVDLEYLLDR YQPDREVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFAAKVRAM GTSCITISHRPALVAFHDVILSLDGEGGWNVHYKRDDSVLLTDAGVDSVQISDTNRQN DAMVVQRAFAAARKESAKANSKAQPYSTQLIEKSPVVDKSVVLPRFPQPRTPPRALPL RVAAMLNTLIPTLFDKQGGQLLLVACLVVSRTLISDRIASLNGTTVKYVLEQDKAAFV RLIGLSVLQSGASSVIAPSLKHLTQRLALGWRIRLTQHLLRNYLRNNAFYKVFHMSGN SIDADQRLTRDLEKLTHDLSGLLTGMVKPSVDILWFTWRMKLLTGQRGVAILYTYMLL GLGFLRRVAPDFGDLAGEEQQLEGKFRFMHERLNTHAESIAFFGGGAREKAMVDTKFR ALLDHSLMLLKKKWVYGILDDFVTKQLPNNVTWGLSLLYALEHKGDRALVSTQGELAH ALRYLASVVSQSFMAFGDILELHKKFLELSGGINRIFELDEFLEASQSGATSDNHRSR LDSQDRISFSEVDIITPAQKLMASKLSCEIVSGKSLLVTGPNGSGKTSVFRVLRDIWP TVCGRLSKPSLDIKELGSGNGIFFVPQRPYTCLGTLRDQIIYPLSKEEAEKRAAKLYT NGETSAEAGNILDVHLKTILENVRLVYLLERDESGWDATTNWEDILSLGEQQRLGMAR LFFHRPKFGILDECTNATSVDVEEQLYRVAKDMGVTFITSSQRPALIPFHSLELRLID GEGNWELRSIEQTAE" gene complement(17111348..17112915) /locus_tag="BRARA_H01774" /db_xref="Phytozome:Brara.H01774" mRNA complement(17111348..17112915) /locus_tag="BRARA_H01774" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01774" CDS complement(17111479..17112819) /locus_tag="BRARA_H01774" /codon_start=1 /product="hypothetical protein" /protein_id="RID51085.1" /db_xref="Phytozome:Brara.H01774" /translation="MAPLKYLCFRKLLLLLYFIIFLFSCSSFFATFASSETSVHDELQ QTKSLDPHFRVRRLLVKDLETDDEETNPPPPKKKKLTGSVPSTPSIKKNQTKLIKPIS SSSSTKNQTKLAKTTSSKLNSTKSSSNTTKNGSDIKKSSNSTKPTTSSIKKSSDLSKS TSSKNKTTTKPPSSKLSPPPQDKKKPPSSSKPTTKPKPAEKEIKPIWLDNDEDDFVNE FRDLPTRFQRTLIPDLEKISTTSKSYINKANKEITKKYFKPYFGNKYAPIITSVVSFV FILVPLLLVSLVFNRFKAYFSLQKLLIFIQIYLSIYFSILCISSLVTGIEPLKFLYAT SGSTYVCLQIMQTLGYVFYLLVLLMYLVLVFSTDCGLALKVLGLAQTFVGFAVGLHYY VTVFHRVVLRQPPKTNWKVHGVYATCFLLICVLSSAERRKKEYLEEGGDEGKKN" gene 17116081..17117856 /locus_tag="BRARA_H01775" /db_xref="Phytozome:Brara.H01775" mRNA join(17116081..17117313,17117524..17117856) /locus_tag="BRARA_H01775" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01775" CDS 17116104..17117312 /locus_tag="BRARA_H01775" /codon_start=1 /product="hypothetical protein" /protein_id="RID51086.1" /db_xref="Phytozome:Brara.H01775" /translation="MFSDSSPSMDPASPGSETRPEINPSSPATNPAAVQPIEATPEPT AFESAPSPVADPPPERFNSLDELTHDLSSLHDLSTRGQWEAILDKISQSRALFLLTKP HEHLTYLTYQVIALTKLRRSDEASHELNSLHDFDGEHYRYESFPEIYPGRKGSMVPFS LRWMYALVPTRLGNRQEGLDRLYTLLDFVRERVKEKEEANDSVELWKRREIFVMSCLL GFHLGHKEFGVSLDLIKELIKRDPLDPVLVSKLGSVQMQFGDIEGAKATFDRVEKMSN HNGLVSETQFKNLVGRNKALVHVVAKDYAAAVREYEECIERDSSDVVAVNNKALCLMY SRDLSDAIKVMESALERVPTAALNESLVGNLCSMYELAYVNHTDVKKTLNNWIARVAP DDFDSSCTRV" gene 17118104..17119466 /locus_tag="BRARA_H01776" /db_xref="Phytozome:Brara.H01776" mRNA join(17118104..17118553,17118749..17118795, 17118878..17118941,17119039..17119167,17119267..17119466) /locus_tag="BRARA_H01776" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01776" CDS join(17118116..17118553,17118749..17118795, 17118878..17118941,17119039..17119167,17119267..17119359) /locus_tag="BRARA_H01776" /codon_start=1 /product="hypothetical protein" /protein_id="RID51087.1" /db_xref="Phytozome:Brara.H01776" /translation="MEIQTFPSEIVFFDLETTVPNKTGQHFHILEFGAIIVCPRKLEE LESFTTLIQPKDISVVSLRSSRSDGITRAKVRDAPSFEDVAEKIYGLLHGRIWAGHNI RRFDCVRIKEAFAEIGKAAPEPSGIIDSLGLLSDKFGKRAGNMKMASLAAYFGLGVQK HRSLDDVRMNLEVLKHCATVLFLESTLPNQLEGQWQSSSKIMTRSRSNKQIAPRAMPY SKGGSLGMMTQNVKNLLSKAQGNQALQNLIKHSHSLLR" gene 17122188..17123373 /locus_tag="BRARA_H01777" /db_xref="Phytozome:Brara.H01777" mRNA join(17122188..17122323,17122392..17123373) /locus_tag="BRARA_H01777" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01777" CDS 17122396..17123172 /locus_tag="BRARA_H01777" /codon_start=1 /product="hypothetical protein" /protein_id="RID51088.1" /db_xref="Phytozome:Brara.H01777" /translation="MAAIDMFNSSTNLSDPFKEELMKVLKPFTNSVSSLPPIPNPIFG FNQTRPLGLNQLTPYQIYQIQNQLNQRRNPTTSTLSPKPVAMKNVTAQKLYRGVRQRH WGKWVAEIRLPKNRTRLWLGTFNTAEEAAMAYDRAAYKLRGELARLNFPYIRHEFGDS RFKALHPSVEAKLEAICQSLGKTELLPPKAEYQESECRSSLRADESLFSDESHVGSSS PGTLLDFSDSGFDDESGSFVLEKFPSVEIDWDAISKLSES" gene 17131772..17134209 /locus_tag="BRARA_H01778" /db_xref="Phytozome:Brara.H01778" mRNA join(17131772..17131889,17131978..17132180, 17132267..17132407,17132506..17132577,17132660..17132752, 17132880..17132933,17133027..17133128,17133262..17133339, 17133417..17133486,17133579..17133649,17133770..17133862, 17133985..17134209) /locus_tag="BRARA_H01778" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01778" CDS join(17131886..17131889,17131978..17132180, 17132267..17132407,17132506..17132577,17132660..17132752, 17132880..17132933,17133027..17133128,17133262..17133339, 17133417..17133486,17133579..17133649,17133770..17133862, 17133985..17134041) /locus_tag="BRARA_H01778" /codon_start=1 /product="hypothetical protein" /protein_id="RID51089.1" /db_xref="Phytozome:Brara.H01778" /translation="MVRFVEENTKHMEKETGNISNTDVTTLKKKVLQDLSINNGGGFV NSWVDSMRACSPTHLKSLMKQSSWLTEHPSALDMFEEILHVSEGKQIVMFLDYDGTLS PIVDDPDRAFMSKKMRRTVRKLAICFPTAIVSGRCREKVYNFVKLTELYYAGSHGMDI KGPAQGSKYKEGKSLLCQPATEFLPMIDEVYQKLVEKTKVTPGANVENNKFCASVHFR RVDEKNWSDLANQVGSVIKEYPKLRITQGRKVLEIRPIIKWDKGKALEFLLESLGYAN CTDVFPIYIGDDRTDEDAFKILRDKRQGLGILVSKFPKETNASYSLQEPDEVMDFLQR LVEWEQLRSGA" gene complement(<17140588..>17141718) /locus_tag="BRARA_H01779" /db_xref="Phytozome:Brara.H01779" mRNA complement(join(<17140588..17141309,17141412..>17141718)) /locus_tag="BRARA_H01779" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01779" CDS complement(join(17140588..17141309,17141412..17141718)) /locus_tag="BRARA_H01779" /codon_start=1 /product="hypothetical protein" /protein_id="RID51090.1" /db_xref="Phytozome:Brara.H01779" /translation="MSSDVEQLQKKKKNPSPRSLLSLPEEIVVNCLARIWKSYYPKLS LVCKTFCSLILSMELYVARLELETHENVLHVCLQLPDKTRTSWFSLWLKPDQTLTNNI ELYAISKYNITPSSVMWVRCTSTYSWRQAPSMTVARANAVVCVFDDKIYVMGGCHADE STNWAEVFDPKTQTWEPLPDPGAELRVSLIKKVEVKLGKICVRGIEEMDYVYDPKEGK WDVPEAFLIESECEIDNVRYQCGRQSCLWYDIKHNEWKAVMGLATLNGNRRCYFVEIA NYGGKLLILWGKFAPPRRQNKNIWCAVIALERRNNDEEVWGKVEWASVVLTVPKSYVF LRCEVKPV" gene complement(<17144306..>17145442) /locus_tag="BRARA_H01780" /db_xref="Phytozome:Brara.H01780" mRNA complement(<17144306..>17145442) /locus_tag="BRARA_H01780" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01780" CDS complement(17144306..17145442) /locus_tag="BRARA_H01780" /codon_start=1 /product="hypothetical protein" /protein_id="RID51091.1" /db_xref="Phytozome:Brara.H01780" /translation="MIDSSVQAAASAVVEPRWKKRKPNPSPPSFSSLPDVIILNCLAR ISMSYYPILSLVSKTFRSLILSRNLNHARSYHKTQEKLFYICLQLPDRPLPLWFTLWI KPYQTEEENMKKKKATLVQVPSSYARREPLLVRTVGSDKYALRQRYPPSPFMLVRNKE RDIWRNTPNMTVSRVNPAACVLHGKIYVMGGCNANKSAKSWGEVFDTETRTWEPLPDP GDELRFSSVIREIKIIRGKLLVRSNDEKDSVYDPKTRKWKATAKAREDDSRCMVGNIN YSCRRECCMWYDKEGNEWKHVKGLSSFNRSCRRGLIKTVEYCGKLLILWDKFAHCEEK TICCALVALEKRQNGQVWGKVEWSNVVLTVPSSYVFLRSSVIRI" gene <17146194..>17147357 /locus_tag="BRARA_H01781" /db_xref="Phytozome:Brara.H01781" mRNA <17146194..>17147357 /locus_tag="BRARA_H01781" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01781" CDS 17146194..17147357 /locus_tag="BRARA_H01781" /codon_start=1 /product="hypothetical protein" /protein_id="RID51092.1" /db_xref="Phytozome:Brara.H01781" /translation="MVYSWVQAVASAATSLIVEPPWKKRKPNPPPPQSFLSLPDVIIL NCLARVSRSYYPKLSLVSKTFRSLILSIDLNHARFHHQTQEDIFYICLQLPDRPLPTW FTLWIKPDEEEVKKRSTFVQVPFSYNSREPSSYCTVGSDLYALRQSYPPSPSMFVRNK KSVVWRNAPNMTVPRAYPVACKLDGKIYVMGGCNDDKSKKSCWGEVFDTNTQTWETLP NPKAELRFSSMIRETGIIEGKIYVRSIDEIDSVYDPKTRKWDATEKALVDDSRSMVMV GDLYYSCRAKSCKWYDTNCDKWKLVKGLSSLNKSYCRRGLIETVEYCGKLLIIWDKFA QPRRYCHEKTICCALVAFEKRQNGQVWGKVEWSNAGLTVPSSYVYLRYLVNVL" gene 17148497..17150323 /locus_tag="BRARA_H01782" /db_xref="Phytozome:Brara.H01782" mRNA join(17148497..17148727,17148903..17149032, 17149110..17149185,17149264..17149488,17149571..17149660, 17149730..17149862,17149946..17150323) /locus_tag="BRARA_H01782" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01782" CDS join(17148657..17148727,17148903..17149032, 17149110..17149185,17149264..17149488,17149571..17149660, 17149730..17149862,17149946..17150054) /locus_tag="BRARA_H01782" /codon_start=1 /product="hypothetical protein" /protein_id="RID51093.1" /db_xref="Phytozome:Brara.H01782" /translation="MFAARRLLLSCKQGANQAASFLRGRRIQSANYSQSTRQGTTHGR TDLNPLPVGTAQTFSRSRARYAAPALALGFTGFLAFLHYNDERRAVPKGQPSSSNSSG CGCGSNTTVRGPIIGGPFTLMSTQNKVVTEKDLRDKWVLLYFGYSFSPDVGPEQLKMI SKAVDKLESNHDKKVLPVFVTLDPVRDTPSHLHAYLKEFDDRILGLTGSASAMRQMAQ EYRVYFKKVQEDGDDYLVDTSHNMYLLNPKMEVVRCFGVEYNPGDLSQEVLKEVTSAS Q" gene 17152726..17156471 /locus_tag="BRARA_H01783" /db_xref="Phytozome:Brara.H01783" mRNA join(17152726..17153463,17153674..17154790, 17154894..17155172,17155271..17156471) /locus_tag="BRARA_H01783" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01783" CDS join(17152994..17153463,17153674..17154790, 17154894..17155172,17155271..17156317) /locus_tag="BRARA_H01783" /codon_start=1 /product="hypothetical protein" /protein_id="RID51094.1" /db_xref="Phytozome:Brara.H01783" /translation="MAPASSPPPICKHDVFPSFHGKDVRTNFLSHVLKELRSKGINSF IDDDMERSKLVGPELTEAIRGSRIAIVLLSRNYASSTWCLNELVEIINCREGFGQKVM PLFYDVDPTDVKKQTGDFGKVFRKTCKGKAKEDIQRWKCALTEVAQITGYHSTNWKTE AKMIEDIATEISNMLNLSAPSSDLDDLVGMESQMARMRPLLQLDSDEVRKMGIWGPPG IGKTTIARSLFNRHSQDFQLSVFIDNIKTKYAIPACSDDYSVKLYLQKQLMSQLTNET FVNISHLGVVKDRLKDKKVLVVLDDADHLVQLEAMAKETSWFGPGSRVIITTQDQRVL KASGINHIHEVNLPSHDEALQMFCMYAFDQSYPKDGFEELACEVMSLVGKLPLGLKVM GSYFRGMSEHYWIEALPRLKTHLDRDGEIARILKFSYDVLNDEDKRLFLHIACFFSGE PVDMVESCLEECFEDVRQGLRVLCEKSLISIEYRDIGMKKLLVQLGRQIMRKESVSEP GKHTFLNDASDIGEVLSDDKASNSNVIGINLEQNEEITSCTSERAFQRLSNLQFLRIH GYGINPLSMNYISRKLKVLICPTFPMSCFPSRFNPEFLVVLRMENSNLEKLWKDIKPL KNLKLMDLSYSFRLKELPDLSTATNLHVLNLTYCSSLVKLPSSIGNAINLRELDLNFC SSLVDLPSSMRNLRKLSNLGLMECSKLEVILDNISLESLENLDLSGCSLLKSNHESSI NIQELDPWIGRISNICVLYLMGMKNLVSIPPLPDSILLIDASNCESLERLDWSFRNLC NHLYFPNCFKLNQEARDFIIQSSRYATFPGREVPQCFTYKSYGSFVTVKLNQMPLGKS TKFKACILCADGNEDQLKYLENTSLCCAITSGGNVFIAFNERVIGVMPGHLYTFVVEV ETEEVTSTELLFDFDLLNSNSKTCEIKGCGVLQLLEVPLLSFGDDDEDFEPADKEI" gene complement(17158214..17159127) /locus_tag="BRARA_H01784" /db_xref="Phytozome:Brara.H01784" mRNA complement(join(17158214..17158787,17158864..17159127)) /locus_tag="BRARA_H01784" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01784" CDS complement(join(17158311..17158787,17158864..17158923)) /locus_tag="BRARA_H01784" /codon_start=1 /product="hypothetical protein" /protein_id="RID51095.1" /db_xref="Phytozome:Brara.H01784" /translation="MARLLPLLLLIATVSAVAFADDEPDCVYTFYLRTGSIWKAGTDS IISARIYDKYGDYIGIKNLEAWGGLMGPDYNYFERSNLDIFSGRAPCLPSPICSLNLT SDGSGDHHGWYVNYVEVSTAGVHAQCSTQNFEIEQWLATDTSPYELTAVRNNCPVSLR DGVSRVGSEIRKQLSWVI" gene 17162192..17163269 /locus_tag="BRARA_H01785" /db_xref="Phytozome:Brara.H01785" mRNA 17162192..17163269 /locus_tag="BRARA_H01785" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01785" CDS 17162278..17163165 /locus_tag="BRARA_H01785" /codon_start=1 /product="hypothetical protein" /protein_id="RID51096.1" /db_xref="Phytozome:Brara.H01785" /translation="MESGNSSSMQSSSGGGRGGEEEYDSRAVDQSISAFDHHNHHVPS LPPQQQSHLNLLHFDHNNDNNSLILPNYFNNNTFLPINQQPDPTSQPDLRTFSATSSF PPPNNIGVTKKPKKRSRASRRAPTTVLMTETSNFRAMVQEFTGIPSPPLFNNSVVNTT RLNTFLGLSSSSSPNSYNNNLLLRPFAQQLLPTTSPLLSGSQIHQQYQNPNNGFENIN LQSLLQAQISNITSNPRSNNNDQFGQAMLQSASTTPMTTTAVVNGNITTGENGGYGGS DHDHNNDSTWLCSSSDQRT" gene complement(17165420..17169597) /locus_tag="BRARA_H01786" /db_xref="Phytozome:Brara.H01786" mRNA complement(join(17165420..17165927,17165997..17166098, 17166184..17166363,17166480..17166557,17166936..17167055, 17167131..17167223,17167462..17167539,17167644..17167796, 17167895..17168002,17168423..17169097,17169186..17169240, 17169429..17169597)) /locus_tag="BRARA_H01786" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01786" CDS complement(join(17165694..17165927,17165997..17166098, 17166184..17166363,17166480..17166557,17166936..17167055, 17167131..17167223,17167462..17167539,17167644..17167796, 17167895..17168002,17168423..17169097,17169186..17169240, 17169429..17169439)) /locus_tag="BRARA_H01786" /codon_start=1 /product="hypothetical protein" /protein_id="RID51097.1" /db_xref="Phytozome:Brara.H01786" /translation="MLRKSVLELSIKRFPRNLGAAQRFHLRKASSTSGKNGVPGAKPL GKPDASKVPPPPSDGSKGGSSKVVIGGAAIAGAFLLAYQTGYLDQYLGNETQKLSELV KSDAVTEKLEEVHHSSVSPGVEDSAGIGSSMDGKGETQPEVQSDIEVQPESDPTPDRY TYVSSNQQETPLDNGADKAEKSLPLSSDDSKTKPDASPEIISEAENVRLEAVPKPEDG TVIRAQPSSVLNDSEVESAAHPATEKAPEDGIEREVKTPGSLLKEYNLDGNGSSPAGE QLTNETEALPNSTEGLKDGYMTQDGKLVLDFLDAIHAAEERQSHLEAQVFAEKLRTLK EKYENELRDLRARELMRIEEAAILDKELKREKTKAAAAIKSIQERMEDKLKTEIEQKE SEAQLALSKAEEMAKAELTAAIVKEKAAQIEKMAEADLNIKALHMAFYARSEEARKSH SVHKLALGALALEDSLSKGLPIHKEISMLQSYLEGTHEDSVLDLVLSSLPEEAKSKGT DTTLQLNQKFDTLKGTLRHFSLIPPGGGGILAHSLAHIASWLKFKEVDQANGGIESVI KKVDNYLAEEKLAEAAAALEEGVKGSKAEEVVSEWVRLARNRAITEQAVTVLRSYATC ASLT" gene 17169724..17172621 /locus_tag="BRARA_H01787" /db_xref="Phytozome:Brara.H01787" mRNA join(17169724..17169920,17170066..17170114, 17170295..17171379,17171455..17171649,17171734..17171885, 17171972..17172621) /locus_tag="BRARA_H01787" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01787" CDS join(17170302..17171379,17171455..17171649, 17171734..17171885,17171972..17172370) /locus_tag="BRARA_H01787" /codon_start=1 /product="hypothetical protein" /protein_id="RID51098.1" /db_xref="Phytozome:Brara.H01787" /translation="MSSSPFPILDNRPIDKWKVTELKEELKRRRLTTQGLKVDLVRRL DDALRAEQQESQSLNTAATVAANQQAEITNATGGNVTPDRMQTTPVAFSTDTTPSAAE TTPEPAVVKKTTEASAAIEITPPPVVSKPEVNAGDLDDVREVAGLDSSVVDDAKLQEP EVADVKDGVGSGVTATDAIVADEASNKPQPTDSELEKAATDNQVSVTGYEVKSDCIST DSVPTNEKMDNEIAADDVKLEQNVSKSQEPSTVIGESHPMDVEKVSVGGGDVSDAANA ADMTKGNNNNIDAGDSEKLNLDRSSGDESMEDEPESKQTESVTSREVVDKSEKNDIVD AGKGEALENKSHALVTSDKRKLPGNDQEAVGNNEPVKRQRRWNSGSVKVPEAQATNNV AAPTTTPKSTGLKRGFSRSDSSVSEDGPKERVVPPSSKEPTDSLRIDRFLRPFTLKAV QELLGKTGNVTSFWMDSIKTHCYVSYSSVEEAAATREAVYNLQWPTNGGRLLTAEFVG SEEVKAKLEPPPPQAKPQPQAQAPSRPPATTLPPPPPLAKAPPVIERLPPPPPLVAEE QEAPIVTLDDLFKKTKAIPRIYYLPLSEDQVAAKLAANNNK" gene complement(<17172874..>17173095) /locus_tag="BRARA_H01788" /db_xref="Phytozome:Brara.H01788" mRNA complement(<17172874..>17173095) /locus_tag="BRARA_H01788" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01788" CDS complement(17172874..17173095) /locus_tag="BRARA_H01788" /codon_start=1 /product="hypothetical protein" /protein_id="RID51099.1" /db_xref="Phytozome:Brara.H01788" /translation="MSSIGASYAPLYLMQKQLKEQKKIKREKERADKAQSLAVGETSL DTGRKSNRIYPSRSSYSEHVERPNHKSEI" gene complement(17175812..17176662) /locus_tag="BRARA_H01789" /db_xref="Phytozome:Brara.H01789" mRNA complement(17175812..17176662) /locus_tag="BRARA_H01789" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01789" CDS complement(17175942..17176622) /locus_tag="BRARA_H01789" /codon_start=1 /product="hypothetical protein" /protein_id="RID51100.1" /db_xref="Phytozome:Brara.H01789" /translation="MEEEEDEDDTFEDAVCSKTPARVNTPLSVITEAFENLADLLKPD ISTDEDGLSLDAFCSACTHVSILFSCLGFAFKFAEMEYISKVKDLVEASKTLDTLQNI LDLDVENATVKTPGSRSRNLRRVRQGLDLIRAIFEQFLMTDEYSLKDAATTAYTEVCA PFHTWAVRTAVYAGMYTLPTRDQLLLRLNETDQSVEKNMRRYMEASQPIIEYIDKLYI ERNIKLDW" gene complement(17176953..17179646) /locus_tag="BRARA_H01790" /db_xref="Phytozome:Brara.H01790" mRNA complement(join(17176953..17177201,17177307..17177393, 17177469..17177582,17177667..17177892,17178014..17178193, 17178291..17178434,17178771..17178941,17179013..17179243, 17179374..17179646)) /locus_tag="BRARA_H01790" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01790" CDS complement(join(17177158..17177201,17177307..17177393, 17177469..17177582,17177667..17177892,17178014..17178193, 17178291..17178434,17178771..17178941,17179013..17179243, 17179374..17179607)) /locus_tag="BRARA_H01790" /codon_start=1 /product="hypothetical protein" /protein_id="RID51101.1" /db_xref="Phytozome:Brara.H01790" /translation="MALQRQLLKRVVDRASTSSRIHSPAITFLRSDYSTSSPPQLPPF DYQPRPYNGPSADEVFQKRKKFLGPSLFHFYQKPLNIVEGKMQYLFDETGRRYLDAFA GIVTVSCGHCHPDILNAINEQSKLLQHATTIYLHHAIGDFAEALAAKMPGNLKVVYFV NSGSEANELAMMMARLYTGSLEMISLRNAYHGGSSNTIGLTALNTWKYPLPQGEIHHV VNPDPYRGVFGSDGSMYAKDVQDHIDYGTSGKVAGFIAETIQGVGGAVELAPGYLKSV YDIVRKAGGVCIADEVQTGFGRTGSHYWGFQTQDVVPDIVTMAKGIGNGLPLGAVVTT PEIASVLATKIQFNTFGGNPVCSAGGLAVLNVIDKERRQTHCAEVGSHLIQRLKDLQK RHDIIGDVRGRGLMVGIELVTDRKDKTPAKAETAVLFEQLRELGILVGKGGLHGNVFR IKPPMCFTKDDADFLVDALDYSISRL" gene complement(<17183379..>17186561) /locus_tag="BRARA_H01791" /db_xref="Phytozome:Brara.H01791" mRNA complement(join(<17183379..17183612,17183698..17183835, 17183921..17184185,17184258..17184493,17184584..17185105, 17186379..>17186561)) /locus_tag="BRARA_H01791" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01791" CDS complement(join(17183379..17183612,17183698..17183835, 17183921..17184185,17184258..17184493,17184584..17185105, 17186379..17186561)) /locus_tag="BRARA_H01791" /codon_start=1 /product="hypothetical protein" /protein_id="RID51102.1" /db_xref="Phytozome:Brara.H01791" /translation="MNVLRQGGNAMDASVAVALCLGVVNPGSSGLGGGSFAVVKMASG EETAYDFREVAPLRATEDMYGGNLELKKKGPLSVGVPGEVAGLFTAWKQLGKLPWKQL VYPAEKLAAEGYMISKYLYMQMNATRDDILADKGGLSELFASNGELKKPGTIVRNPKL AFTLKQIAEHGPKVFYNGTVGVNLVNDIQKLGGIVTLKDLNSYKVKVKKPLSNDILGY RLLGMPPPSSGGPSMVLILNILSQYGIPKGVAGPLGVHRLVEALKHAFAVRMNLGDPD FVDVTKVVSDMLSPKFAQELKKKINDDKTFDPKYYGGKWNEIHDHGTSHFSIIDKERN VVAMTTTINGYFGATKLSPSTGIVLNNQMDDFSIPMKPQPDVPPPAPANFIRPGKRPL SSMSPTIVLKDGKVKAAVGASGGLYIIPGATQVFLNHFFLNMDPLSSVMAPRIFHQLI PNKISYEDWKTVYDDHFELPKETREVLEKKGHVLTPIADGAISQLIVVESGGNSNGTS RLVAVSDPRKGGFPSGY" gene 17189998..17191103 /locus_tag="BRARA_H01792" /db_xref="Phytozome:Brara.H01792" mRNA 17189998..17191103 /locus_tag="BRARA_H01792" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01792" CDS 17190155..17190949 /locus_tag="BRARA_H01792" /codon_start=1 /product="hypothetical protein" /protein_id="RID51103.1" /db_xref="Phytozome:Brara.H01792" /translation="MKESPKPMATSSSSSSSFSSIPPSSPSSSPATTTTPPRQNNLLE PPSSKKKKNKSNVFRVLRTVFRSFPIFTTSSIACKIPVINPGLGVADPHHNTSRVTGT LFGYRKGRVSLSIQETPKCLPSLVVELAMQTTTFQKELSMGMVRIALETEKQPNNNNN KTEKNTNILDEPLWTMYCKGDKTGYGVRREANDEDLSVMELLRPVSMGAGVLPGNSES EGPDGEMAYMRAYFERVVGSKDSETFYMLSPEGNNGPELSFFFVRV" gene complement(17191150..17191826) /locus_tag="BRARA_H01793" /db_xref="Phytozome:Brara.H01793" mRNA complement(join(17191150..17191446,17191662..17191826)) /locus_tag="BRARA_H01793" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01793" CDS complement(join(17191325..17191446,17191662..17191764)) /locus_tag="BRARA_H01793" /codon_start=1 /product="hypothetical protein" /protein_id="RID51104.1" /db_xref="Phytozome:Brara.H01793" /translation="MYHRLVCLVTLIILMFTGSSTTAFARIPYQSSMTKHGERVWDQK MIGDIKIDVGSSNSRPARQKDSPSPPGANM" gene complement(17193169..17198057) /locus_tag="BRARA_H01794" /db_xref="Phytozome:Brara.H01794" mRNA complement(join(17193169..17193457,17193555..17196555, 17196683..17197177,17197516..17197759,17197866..17198057)) /locus_tag="BRARA_H01794" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01794" CDS complement(join(17193590..17196555,17196683..17197177, 17197516..17197723)) /locus_tag="BRARA_H01794" /codon_start=1 /product="hypothetical protein" /protein_id="RID51105.1" /db_xref="Phytozome:Brara.H01794" /translation="MLTKFETKSNRVKGLTFHPKRPWILASLHSGVIQLWDYRMGTLI DRFDEHEGPVRGVHFHNSQPLFVSGGDDYKIKVWNHKTHRCLFTLLGHLDYIRTVQFH HENPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVR VWDIGSLKKKSVSPADDLMRFTQMNSDLFGGVDAIVKYVLEGHDRGVNWASFHPTLPL IVSGADDRQVKLWRMNETKAWEVDTLRGHMNNVSSVMFHAKQDIIVSNSEDKSIRVWD ATKRTGIQTFRREHDRFWILAVHPEINLLAAGHDNGMIVFKLERERPAFAVSGDSLFY VKDRFLRYYECSTQKESQVIPIRRPGTPSLNQSPRTLSYSPTENAVLICSDLDGGSYE LYIIPKESVGRSDVVQDAKRGTGGSAVFIARNRFAVLEKSTSQVLVKNLKNEVVKKSA LPIPTDAIFYAGTGNLLCRSEDKVVIFDLQQRLVLGELQTPFVRYVVWSNDMESVALL SKHTIIIASKKLVLQCTLHETIRVKSGAWDENGVFIYTTLNHIKYCLPNGDSGIIRTL DVPIYITKVSGNTIFCLDRDGKNRAITINATEYIFKLALLRKKYDHVMGMIKNSQLCG QAMIAYLQQKGFPEVALHFVEDERVRFNLALESGNISVAVASATEINEKEQWYKLGVE ALRQGNAGIVEFAYQQTKNFERLSFLYLITGKLDKLSKLMKIAEVKNNVMGQFHNALY LGDVKERVKILENAGHLPLAYITASVHGLNDIAERLATELGDNVPSLPEGKTPSLLMP PSPVMCGGDWPLLRVMKGIFEGGLESAARGGAVDEDEEDVGGDWGEGLDMVDVVGMED TDIKAILEEAERGGDEEENDEEGGWLGIDDLELPPELDTPKASANARSSVFVAPTQGM PVSHIWSQKSSLAAEQAAAGSFDAAMRLLHRQLGIKNFAPLKSMFIDLFNGSHSYLRA FTSSPVVPLAIERGWSESSSPNVRGPPSLVYDFSQQEEKLKAGYKATTSGKLTEALRL FLSILHTIPLVVVESRSEVDEVKELVTIVKEYVLGLKMELKRRETRDDPVRQQELAAY FTHCNLQLPHLRLALFSAMGVCYKARNLATAYNFAKRLLETNPMESQAKTARQIVQAA ERNMTDATELNYDFRNPFVICGSTYVPIYRGQKDVSCPYCTARFVPSQEGNICGVCDL AVIGADASGLVCSPSQVR" gene 17199678..17201528 /locus_tag="BRARA_H01795" /db_xref="Phytozome:Brara.H01795" mRNA 17199678..17201528 /locus_tag="BRARA_H01795" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01795" CDS 17199729..17201300 /locus_tag="BRARA_H01795" /codon_start=1 /product="hypothetical protein" /protein_id="RID51106.1" /db_xref="Phytozome:Brara.H01795" /translation="MASISLLEASLSLFCFLIIFCYFLNKKHSGYLVNKRSPWNWPVL GMLPALVLWSRRIDVLIRVLEKSNLTFLFKGPWFARMDALITVDPANIHHILSSNFSN YIKGPEFKEMVDVFGDPLFSADGDLWKNIRMSSQVILSRQGFQNLSMSVTTSKIKDVL LPIFSRFSEEGTVVDLQDVFRRFMFDISLLLVSGSDPQSLSIEMPEVELAEAFEDAGE AIVSRLIIPRFLWKLQNRLGLGKEKKLIEAGATFDRVCAKYIAAKREEIRSQGIHHHD HVHSDGESHEDFLTYYIKLDTSKYELLDPSDDKFLRDTILAIIMAIRDTTSSALTWFF WLLSKNPHVEAKIRQEINTNLPKPASSQWSAIDRREFLNKLVYLHGALYETMRLYPPL PFQRKTSIESDVLPSGHIVDANSNIIFLIIALGRMRSVWGEDALEFKPARWISETGEL RHEPSSKFLVFNTGPRTCSGKHLAMTSMKTIVAEILQHYDIKLVEGHKIKPKAHLNLL MKHGLRVTLTKRCSA" gene 17209005..17210750 /locus_tag="BRARA_H01796" /db_xref="Phytozome:Brara.H01796" mRNA 17209005..17210750 /locus_tag="BRARA_H01796" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01796" CDS 17209017..17210591 /locus_tag="BRARA_H01796" /codon_start=1 /product="hypothetical protein" /protein_id="RID51107.1" /db_xref="Phytozome:Brara.H01796" /translation="MASFGLFKTSISLFCFLIFFYFLIKKHSGYIKKTLCYPWNWPVL GMLPTIILWYKRIDVLIWVLEKSNLTFLFKGPWLTRMDLLFTVDPANIQHILSSNFTN YIKGTEMKEIFDVYGDAIFTADGELWRNIRMSSMVMFNHQGFQNFSMSITTSKLKDVL VPLFNHYSEEGTVVDLQDVFGRFMLDTTLVAITGSDPQSLSIEMPEVEFAKALENAGD SVVYRHVIPRFLWKLQNRMGLGQEKKRIEAGATFDRICAKYIFAKREEIRSQGIHHDH DHSDGECEDFLTYFMKLDTSKYEHLKTSDDKFLRDSIVSLIVAMRDTTSTALTWFFAL LLENPNVETKIRHEINTNLPKTATSQESPWSAIDHKEFLNTLVYLHAALYEAMRLYPP IPLERKTSVNSDVLPSGHKIDANSTIIYPIYALGRMRSVWGEDALEFKPERWISETGG LRHEPSSKFLVFNSGPRSCSGKHLAMTAMKIIVVEILQNFDIKLVKGHTIEPKPRLSL HMKHGLRVTLTKRCSA" gene <17212553..>17214130 /locus_tag="BRARA_H01797" /db_xref="Phytozome:Brara.H01797" mRNA <17212553..>17214130 /locus_tag="BRARA_H01797" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01797" CDS 17212553..17214130 /locus_tag="BRARA_H01797" /codon_start=1 /product="hypothetical protein" /protein_id="RID51108.1" /db_xref="Phytozome:Brara.H01797" /translation="MASIGLFEASISLFCFLIFYYILINKHYGNLLIEKYLQSCPWNW PVLGMLPAVLMRFNRIDDAIYIIEKTNLTFLFKGPWFSRMDALITVDPANIHHILTSN FSNYVKGPEFKEIFDVYGDAIFNTDGELWKNLRMSFQVILHQQGFQNLSLSVTTSKLK DVLLPLFSHYSEEGTVVDLQDVFRRFMFDISLISITGSDPQSLSIEMPEVEFAKAFDN AGEAILFRHVIPKFLWKFQRWMGLGQEKMLLEAGATFDRICAKYIDAKREEIRSQGID HDHDHSNGESEDVLTSYIKLDTSKYELLDPSNDKFIGETLLSFIFAGRDTTATAITWF FGLLTKNPNVEAKIRQEIITNLPEASQERSWSASDHKEYLNKLVYLHASLYEAMRLYP PIPFERKSPIKSDVLPSGHKIDANSNIIIPIYALGRMRSVWGEDAWEFKPERWISETG GLRHEPSSKFLAFNSGPRTCPGKHLAMVTMKTVVVEILQNYDINLVKGYKIEPKPRLA LQMNHGLRVTLSKRSSA" gene <17217590..>17219119 /locus_tag="BRARA_H01798" /db_xref="Phytozome:Brara.H01798" mRNA <17217590..>17219119 /locus_tag="BRARA_H01798" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01798" CDS 17217590..17219119 /locus_tag="BRARA_H01798" /codon_start=1 /product="hypothetical protein" /protein_id="RID51109.1" /db_xref="Phytozome:Brara.H01798" /translation="MASIGLFEAFLALFCFLIVNYFLNKKPFDFLHIKKTLQSYPWNW PFYGMTPGLLVRIHRINDSVEVLENSNMTFPFKGPWFAGKNVLITVDPANIQHIVSSN FSNYIKGAEFQEIFEVYGDGIINSDAERASKLKKCYQALLHHQGFQKDSMRVTTSKLK DVLLPLFNHFSEAGTVVDLQDVFRRFTFDTTLVTITGSDPRSLSIDMHENEFVKALDD VAEAIVYRHFTLRFMWNLQKWIGFGPEKKMVEADAIIDRVCAKYISAKRDEIQQGTSS HHEDVLTFFIKLDTTKYELLNPIDDKFLRDVFVGFIVAGRDTMASALTWFFWLLSENP QVATIIREEINKNLPRTGCDQENLDKLVYLHATLYETMRLYPPAPFQRKTSIKPDMLP SGHKVDANSTVIFFLYAMGRMRAIWGEDAWEFKPERWVSETGGLRHEPSYKFFSFNAG PRTCLGKHTAMIHLKIIVVEILRSYDIEVVKGHKIEPLPGFILHMKHGLKVTFKKRCS T" gene 17220314..17222894 /locus_tag="BRARA_H01799" /db_xref="Phytozome:Brara.H01799" mRNA join(17220314..17220443,17220517..17220587, 17220707..17220820,17220939..17221025,17221133..17221205, 17221321..17221411,17221506..17221559,17221631..17221726, 17221816..17221905,17222008..17222059,17222295..17222356, 17222440..17222520,17222621..17222894) /locus_tag="BRARA_H01799" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01799" CDS join(17220533..17220587,17220707..17220820, 17220939..17221025,17221133..17221205,17221321..17221411, 17221506..17221559,17221631..17221726,17221816..17221905, 17222008..17222059,17222295..17222356,17222440..17222520, 17222621..17222746) /locus_tag="BRARA_H01799" /codon_start=1 /product="hypothetical protein" /protein_id="RID51110.1" /db_xref="Phytozome:Brara.H01799" /translation="MAPLTLSVDVKSSSASAPDVSSRVIQIPQIKKSKGFASVSTQNE NENPFDFFRTLFEGFIAGGTAGVVVETALYPIDTIKTRLQAPRGGGKIVLKGLYSGLA GNIAGVLPASALFVGVYEPTKQKLLKTFPDHLSAVAHLTAGAIGGLAASLIRVPTEVV KQRMQTGQFASAPNAVRVIASQEGFKGLYAGYRSFLLRDLPFDAIQFCIYEQLCLGYK KAARRELNDPENALIGAFAGALTGAVTTPLDVIKTRLMVQGSAKEYQGIVDCVQTIVK EEGASALLKGIGPRVLWIGIGGSIFFGVLESTKRTLAQRRPKTVKESKED" gene <17230957..17233736 /locus_tag="BRARA_H01800" /db_xref="Phytozome:Brara.H01800" mRNA join(<17230957..17231471,17231963..17232295, 17233232..17233736) /locus_tag="BRARA_H01800" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01800" CDS join(17230957..17231471,17231963..17232295, 17233232..17233415) /locus_tag="BRARA_H01800" /codon_start=1 /product="hypothetical protein" /protein_id="RID51111.1" /db_xref="Phytozome:Brara.H01800" /translation="MDWLVTTQNRTKYPPNQKKSLSSLLHTISSPSNFCVSFKLLRER KREMGTINQGVSLFDEPQNIINTNNTNTLGFFFSFPNHTLSSSSSPSSLVSPFLGHHS FNSFIHNNSPSFVTHPRDPINPMANLPETLISVSSLASSKQRHDHDGIVNLDQHRLTG EISSQRPSLNPWAWSSQAGYEYNKKNNHTSEIDVDHNIDDDGCDGDDGNDDDDHQHHE TRRHKINTSPLGIMSTLKMKKPKTRKKVREPRFCFKTLSDVDVLDDGYRWRKYGQKVV KNTQHPRSYYRCTQEKCRVKKRVERLADDPRMVITTYEGRHLHSPSNHLDDDPLSSSH HSPLSNFFW" gene complement(17248705..17252985) /locus_tag="BRARA_H01801" /db_xref="Phytozome:Brara.H01801" mRNA complement(join(17248705..17248999,17249189..17251966, 17252063..17252985)) /locus_tag="BRARA_H01801" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01801" CDS complement(join(17249239..17251966,17252063..17252859)) /locus_tag="BRARA_H01801" /codon_start=1 /product="hypothetical protein" /protein_id="RID51112.1" /db_xref="Phytozome:Brara.H01801" /translation="MRMKTLPTFFLFLLTLSFSSQASTSQTHQLISFKNALPDKNLLP DWSPDKNPCTFEGVTCKADKISSIDLSSKPLNLGFSAVASSLLSLTGLESLFLSNTNI NGSLSGTKCSASLTTLDLSRNSISGPVSTLSSLGSCIGLKSLNMSSNSLDFPGKISGG GLKLSSSSLEVLDLSKNLLSGANLVGWIVSGGCGELKHLDISGNKISGDADVSPCVNL EFLDLSSNNFSTVIPYLGDCSALQHLDISGNKLSGDFSTAISSCTNLRSLNISSNLFT GPISSSLPLKSLEYLSLTDNKFTGEIPELLSGACGTLTGLDLSRNDFHGTVPPFFASC SLLESLVLSTNNFSGELPMDTLLKMRGLKVLDLSFNKLSGELPESLANLSLITLDLSS NNFSGQILPSLCRNGENTLQELYLQNNAFTGKIPPTLSNCSDLVSLHLSFNYLSGTIP SSLGSLTKLRDLKLWMNMLQGEIPKELMYLTTLETLILDFNDLTGEIPFGLSNCTNLN WISLSNNRLTGQIPRWIGRLENLAILKLSNNTFNGNIPAELGDCRSLIWLDLNTNYFN GTIPPEMFKQSGKIAANFIAGKRYVYIKNDGMNKQCHGAGNLLEFQGIRPEQLNRVST RNPCNFTRVYGGHTQPTFDNNGSMMFLDMSYNMLSGYIPKEIGSMPYLFILNLGHNFI SGSIPEEVGDLRGLNILDLSSNKLDGRIPQSMSALTMLTEIDLSNNLLTGPIPEMGQF ETFPPGKFLNNSGLCGYPLPRCGDAKSDAFAHPSHGRKQPSLAGSVAMGLLFSFVCIC GLILFGREMRRRRRMREAAMEDPGDGMTANNNTDWRLTGAREALSINLAAFEKPLRKL TFADLLKATNGFHENSMIGSGGFGDVYKAVLKDGTAVAIKKLIQISGQGDREFMAEME TIGKIKHRNLVPLLGYCKVGEERLLVYEFMKYGSLEDVLHDPKKKAGLKLNWFTRQKI AIGAARGLAFLHHNCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLMSAMDTHLSVS TLAGTPGYVPPEYYQSFRCSTKGDVYSYGVVLLELLTGRRPTDSPDFGDNNIVGWVRQ HAKLQIRDVFDPQLIKEDPAREIELLQHLKIAVACLDDRAWRRPSMLDVMAMFKEIQT GLGIDSQSTIGSLEMVNMSIKEVPEGKF" gene complement(17259506..17261491) /locus_tag="BRARA_H01802" /db_xref="Phytozome:Brara.H01802" mRNA complement(join(17259506..17260088,17260163..17260324, 17260407..17260792,17260879..17261045,17261307..17261491)) /locus_tag="BRARA_H01802" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01802" CDS complement(join(17259759..17260088,17260163..17260324, 17260407..17260792,17260879..17261008)) /locus_tag="BRARA_H01802" /codon_start=1 /product="hypothetical protein" /protein_id="RID51113.1" /db_xref="Phytozome:Brara.H01802" /translation="MAATSKTDQKAALDIASWLFNVVTSVGIILVNKALMATYGFSFA TTLTGLHFGTTTLLTTFLTWLGYIQPSQLPWPDLLKFVLFANFSIVGMNVSLMWNSVG FYQIAKLSMIPVSCLLEVVLDNVRYSRDTKLSILLVLAGVAVCTVTDVSVNLNGFLAA AIAVWSTALQQYYVHYLQRKYSLGSFNLLAHTAPVQAASLLLVGPFLDYWLTNQRVDA FNFSFISLFFLILSCSIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLVLGFTFFGK EGLNMQVVLGMLIAILGMIWYGNASSKPGGKERRSLSIPVTKAQKLSGLSETSESDGK V" gene 17263115..17266284 /locus_tag="BRARA_H01803" /db_xref="Phytozome:Brara.H01803" mRNA join(17263115..17263264,17263773..17263891, 17263980..17264149,17264249..17264481,17264550..17264766, 17264866..17265396,17265506..17265622,17265764..17265938, 17266087..17266284) /locus_tag="BRARA_H01803" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01803" CDS join(17263260..17263264,17263773..17263891, 17263980..17264149,17264249..17264481,17264550..17264766, 17264866..17265396,17265506..17265622,17265764..17265907) /locus_tag="BRARA_H01803" /codon_start=1 /product="hypothetical protein" /protein_id="RID51114.1" /db_xref="Phytozome:Brara.H01803" /translation="MRMARKKTESSTESSKEIKARGKFTRANKCMKTTTKKVNESGKH NSKREKRKSPSDSPDGAQQVPVLALHSDKEVRRCGKMKLQLFPVDAHTRQGLEKDGFH PYLELTLSSRKKVSSVLQHIHSKWGSSEIARGDPTLYPYDQSRHTSGPKWTPDSSITI RDIYVAIGAPSLFRLRYGWSSETCNKTDESPSPSTPGITCFPDVEPQNIFSNKRENGK KMFGLDNLTQVTDPPLSEHTPPDGSVESAAEKKMNDGSGPTFFQWDDGLTSLSIGGLL SEVSLKGNFGNGSKNSNANPTLWDENLTNISIGGLFSEASLQGRRCKQDSTQNNQQSV GIGALLSETSSRGVGRFSDGNKTWETRGATSQQPLPLISDSLDAFFVNQTTDQPRAPP PEVSHSSILDAEDTCHAFSFRKRTTTSPKVLEQVSEENKEQQQKDESKPAKGLFGSGV FNQDSSLGFSGIKWADSRGPFDFGLSLSSRKFTNGDSVGFGAAVKDLHEVEPSEEKIK QHH" gene complement(17266713..17268926) /locus_tag="BRARA_H01804" /db_xref="Phytozome:Brara.H01804" mRNA complement(join(17266713..17267211,17267292..17267354, 17267428..17267694,17267801..17267872,17267944..17268180, 17268252..17268352,17268429..17268540,17268606..17268926)) /locus_tag="BRARA_H01804" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01804" CDS complement(join(17266873..17267211,17267292..17267354, 17267428..17267694,17267801..17267872,17267944..17268180, 17268252..17268352,17268429..17268540,17268606..17268872)) /locus_tag="BRARA_H01804" /codon_start=1 /product="hypothetical protein" /protein_id="RID51115.1" /db_xref="Phytozome:Brara.H01804" /translation="MVPRRVSDTKAIVRILTQTFRASQLSVASLLGVAGFLYALKSGR FRNLNLLFSGTRQDDEDDDDDDDDAMLVPGLQNLGNNCFLNVILQALASCKDFRSYLQ WVIDDASETDEQFPLTLALSDLLQELCTVGRSHSVSSPRQVMLALAHYVRNFNLTCQQ DAAEALLHLISSLQEEIVVCYRPTKTSNLSDIMFSRNLRMVAAPSEEGLNELKRWHKH LRGPFDGILGSTLMCRTCSSQISLEFQFFHTLPLSPLLYSGSSNIVLGCTLENCLKKF LGAEKVENYFCHRCWHVAAVKYSSAMRAAETDIEKIKNCGGDDKCDCKASHHLQRMPW SNSYSFILKQLTIARFPKLLCVQVQRASLNMFGESVKLSGHVAFPLVLDLSLFSQSSI GLNIEKNEVSQYLNPEASSRNHGGMYRLVTVVEHFGMTGSGHYTVYRSVRVASQEDAD CEESRWFSISDSEVGRVSESDVLGAEASLLFYEKL" gene <17273501..>17274112 /locus_tag="BRARA_H01805" /db_xref="Phytozome:Brara.H01805" mRNA join(<17273501..17273648,17273928..>17274112) /locus_tag="BRARA_H01805" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01805" CDS join(17273501..17273648,17273928..17274112) /locus_tag="BRARA_H01805" /codon_start=1 /product="hypothetical protein" /protein_id="RID51116.1" /db_xref="Phytozome:Brara.H01805" /translation="MVNPHQPITKNNKNKTKKGSSSLRHPRKDNNIISSQARRKAKAL GGHCEHIQGRIEAALTLKPGERRKLLGGHCECFEKQAFGFIITNQSQKSDYMITQHKT TTTREHTR" gene complement(17274799..17279088) /locus_tag="BRARA_H01806" /db_xref="Phytozome:Brara.H01806" mRNA complement(join(17274799..17275135,17275203..17275286, 17275403..17275550,17275634..17275783,17275877..17276079, 17276189..17276308,17276391..17276555,17276643..17276729, 17276814..17276963,17277049..17277141,17277258..17277428, 17277526..17277602,17277693..17277933,17278020..17278283, 17278365..17278452,17278528..17278589,17278730..17279088)) /locus_tag="BRARA_H01806" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01806" CDS complement(join(17275019..17275135,17275203..17275286, 17275403..17275550,17275634..17275783,17275877..17276079, 17276189..17276308,17276391..17276555,17276643..17276729, 17276814..17276963,17277049..17277141,17277258..17277428, 17277526..17277602,17277693..17277933,17278020..17278283, 17278365..17278452,17278528..17278589,17278730..17278957)) /locus_tag="BRARA_H01806" /codon_start=1 /product="hypothetical protein" /protein_id="RID51117.1" /db_xref="Phytozome:Brara.H01806" /translation="MYVVKRNGRQETVHFDKITARLKKLSYGLSVDHCDPVLVSQKVC AGVYKGVTTTQLDELAAETAAAMTANHPDYASLAARIAVSNLHKNTKKSFSETIKDMY NHVNERSGLASPLIADDVFEIIMKNATRLDSEIIYDRDFEYDYFGFKTLERSYLLKVH GKVVERPQHMLMRVSVGIHKEDIDSAIKTYHLMSQRWFTHASPTLFNSGTPRAQLSSC FLICMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGILPML RVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLELRKNHGKEEHRARDLFYGLWI PDLFMERVQSDGQWSLFCPNEAPGLADCWGTDFERLYTQYEKEGKAKKVVQAQQLWYE ILTSQVETGTPYMLFKDSCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIA LPRFVREKDVPLDSHPSKIVGSLGSKNRYFDFDKLAEVTATVTVNLNKIIDVNHYPVE TAKTSNMRHRPIGIGVQGLADAFILLGMPFDSPEAQQLNKDIFETIYYHALKSSSEIA TKEGTYETYQGSPVSKGILQPDMWNVTPSDRWDWAALRDMISKNGIRNSLLVAPMPTA STSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTDMGLWSPTLKNKIIYEN GSVINVPEIPDELKAIYRTVWEIKQRTVVDMAVDRGCFIDQSQSLNIHMDKPNFAKLT SLHFHTWKKGLKTGMYYLRSRAAADAIKFTVDTAMLKEKPDVSKEDEGTEEDNETKMA QMVCSLTNREDCLSCGS" gene <17281305..>17282311 /locus_tag="BRARA_H01807" /db_xref="Phytozome:Brara.H01807" mRNA join(<17281305..17282029,17282308..>17282311) /locus_tag="BRARA_H01807" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01807" CDS join(17281305..17282029,17282308..17282311) /locus_tag="BRARA_H01807" /codon_start=1 /product="hypothetical protein" /protein_id="RID51118.1" /db_xref="Phytozome:Brara.H01807" /translation="MANNLRRALQDIDLGANDAPFVLPQEVVRQAAEENRFILVGRPV MPRRQNLRAVVATMPRNWGLEGIVRGRTIEARRFQFVFPSEEAMETVIRRGPWAYADR MLVLQRWTPLMDMALLNFIPFWIQIRGIPLQYMNREVILNIARTIGQYIQMDYNEESG ARMEFVRVRINWDVSHPLKFQRNYQFQLGVNTLLKFQYERLRGFCETCGMLTHDTGAC VIQNGGPGDGDEDDDSGDDNENVE" gene complement(<17287288..>17287649) /locus_tag="BRARA_H01808" /db_xref="Phytozome:Brara.H01808" mRNA complement(join(<17287288..17287537,17287570..>17287649)) /locus_tag="BRARA_H01808" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01808" CDS complement(join(17287288..17287537,17287570..17287649)) /locus_tag="BRARA_H01808" /codon_start=1 /product="hypothetical protein" /protein_id="RID51119.1" /db_xref="Phytozome:Brara.H01808" /translation="MEVIGFTRETEVAFSETEMEAAEQLVHGTSWSISEGGSNAKRHE NVVNSESYDMVGKKKNNGVRMMHKNVTDGQSFVTAIKETNIIIRRNKKKKFRFLASIY RATNEMR" gene complement(17293605..17295780) /locus_tag="BRARA_H01809" /db_xref="Phytozome:Brara.H01809" mRNA complement(join(17293605..17293820,17293898..17294952, 17295063..17295146,17295232..17295582,17295684..17295780)) /locus_tag="BRARA_H01809" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01809" CDS complement(join(17294864..17294952,17295063..17295146, 17295232..17295517)) /locus_tag="BRARA_H01809" /codon_start=1 /product="hypothetical protein" /protein_id="RID51120.1" /db_xref="Phytozome:Brara.H01809" /translation="MEPGQRTSSTPPKEQMPQAAEKKGNPSQSPATTTNVYVRRKVET DASKDTVTKQQPKASSSSIVPPAPEWEERYHHLQMLLNKLNDSDQTDHHLHTLWSFSS AELSKHAVDLEKRSIQLSLEEAREMQRVAALNVLGRSVNTLKSTSNEERY" gene 17296692..17297670 /locus_tag="BRARA_H01810" /db_xref="Phytozome:Brara.H01810" mRNA join(17296692..17296842,17297095..17297670) /locus_tag="BRARA_H01810" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01810" CDS join(17296732..17296842,17297095..17297481) /locus_tag="BRARA_H01810" /codon_start=1 /product="hypothetical protein" /protein_id="RID51121.1" /db_xref="Phytozome:Brara.H01810" /translation="MSGEAEYRCFVGGLAWATADADLERTFSQFGEVIDSKIINDRET GRSRGFGFVTFKDEKSMRDAIEEMNGKELDGRTITVNEAQSRGSGGGGGGRGGGGGYR GGGGGGYGGGGGYGGGGGGYGRRDGGGYGSGGGGGYGGRRDGGGYGGGDGGYGGNSGG GGGGW" gene 17298450..17300053 /locus_tag="BRARA_H01811" /db_xref="Phytozome:Brara.H01811" mRNA join(17298450..17298789,17298880..17299014, 17299077..17299314,17299424..17299627,17299709..17300053) /locus_tag="BRARA_H01811" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01811" CDS join(17298607..17298789,17298880..17299014, 17299077..17299314,17299424..17299627,17299709..17299875) /locus_tag="BRARA_H01811" /codon_start=1 /product="hypothetical protein" /protein_id="RID51122.1" /db_xref="Phytozome:Brara.H01811" /translation="MASKSSILFIGGTGYIGKYIVEASARSGHPTLVLVRNSTLTSPS RSTTIDNFKNLGVRFLLGDLNDHTSLVNSIKQADVVISTVGHSLLGQQDKILSAIKEA GNVKRFFPSEFGNDVDRAQSVEPAKSAYATKAMFRRKIEEEGIPYTIVSCNFFAGYFL PTLAQPGATSPPRDKVIIMGDGTPKAVFNKEEDIGTYTIKAVDDPRTLNKILYVRPPM NTYSFNDLVSLWEKKIGKTLERIHVPEEQILKQITEASPPLNVLLSLCHCVFVKGGQT NFVIEPSFGVEASELYPDVKYTTVDEILDNYV" gene complement(17300208..17301909) /locus_tag="BRARA_H01812" /db_xref="Phytozome:Brara.H01812" mRNA complement(join(17300208..17300448,17300705..17300885, 17301055..17301407,17301735..17301909)) /locus_tag="BRARA_H01812" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01812" CDS complement(join(17300407..17300448,17300705..17300885, 17301055..17301407)) /locus_tag="BRARA_H01812" /codon_start=1 /product="hypothetical protein" /protein_id="RID51123.1" /db_xref="Phytozome:Brara.H01812" /translation="MDGGGGDSGSVATPVQQKAHEAWRIYQHYLDKTTPHATYRWIGT LVVALAYCLRVYYIQGFYIIAYGLGIYLLNLLIGFLSPLVDPEAAGGSDGPSLPTRGS DEFKPFIRRLPEFKFWYSMTKAFCIAFVMTFFSVFDVPVFWPILLCYWIVLFVLTMRR QISHMIKYKYIPFSFGKQKYGGRSGSGPRAD" gene complement(17303850..17305096) /locus_tag="BRARA_H01813" /db_xref="Phytozome:Brara.H01813" mRNA complement(join(17303850..17304151,17304277..17304411, 17304637..17304711,17304806..17305096)) /locus_tag="BRARA_H01813" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01813" CDS complement(join(17304038..17304151,17304277..17304411, 17304637..17304711,17304806..17304808)) /locus_tag="BRARA_H01813" /codon_start=1 /product="hypothetical protein" /protein_id="RID51124.1" /db_xref="Phytozome:Brara.H01813" /translation="MAPKKDKVPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFD QTTYDKLLTEAPKFKLITPSILSDRMRINGSLARRAIRELMAKGVIRMVAAHSSQQIY TRATNT" gene 17309305..17310306 /locus_tag="BRARA_H01814" /db_xref="Phytozome:Brara.H01814" mRNA 17309305..17310306 /locus_tag="BRARA_H01814" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01814" CDS 17309456..17310151 /locus_tag="BRARA_H01814" /codon_start=1 /product="hypothetical protein" /protein_id="RID51125.1" /db_xref="Phytozome:Brara.H01814" /translation="MDSFSSQKTKPRKTKRLAKKSRVQIILDLFYRAIEIVLVLVTVA KLCYQLVLTLHDSGVAAVVIANRNLAFVVANAIVIALIAKSGLLLNQEVGPKSKRNAL YEEFVQESSRRDEGKQSEAEKETLNITETIAKQSIPEKVTKQRDSVKREKQSIVEHQE IAVEKMGKQKRQSQSYQRSRSENLEGLEKSSCGRLRRSETDASSERFDSEDELRFKIE SFIARQRRNQNDD" gene <17310700..>17312310 /locus_tag="BRARA_H01815" /db_xref="Phytozome:Brara.H01815" mRNA join(<17310700..17310948,17311221..17311277, 17311365..17311592,17311658..17311751,17311825..17312024, 17312215..>17312310) /locus_tag="BRARA_H01815" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01815" CDS join(17310700..17310948,17311221..17311277, 17311365..17311592,17311658..17311751,17311825..17312024, 17312215..17312310) /locus_tag="BRARA_H01815" /codon_start=1 /product="hypothetical protein" /protein_id="RID51126.1" /db_xref="Phytozome:Brara.H01815" /translation="MDSTHQGSSRLIRDLREELKNMSREREEAEKTEIDKKESEKEVD TVEYWKKKASRLEKSLEESRGSKLRLVESLKTMETLSRQDQDLRYMFIFNQFPNLKEE DILGKTDSEIFNGNGVKEFEGFKREVLEKGKAPKREITFDTDLFGSKTFMIFVETVYS KTGKKVGINYIGMEVTDQVRKREKMANLGEDNAVRKAMESELGKTIHITEEMMNAKKI LAKMSGELRSPLLGIVSMAERFSSITKLDEEQRRLLIEMISSAGLALERINEFLDLSE VEILGGQFPLTSQVSARSVLHYYLYKVDHTT" gene 17313875..17316901 /locus_tag="BRARA_H01816" /db_xref="Phytozome:Brara.H01816" mRNA join(17313875..17314006,17314208..17314581, 17314652..17314918,17315019..17315096,17315195..17315296, 17315388..17315437,17315542..17315641,17315735..17315827, 17315906..17316047,17316136..17316458,17316541..17316615, 17316704..17316901) /locus_tag="BRARA_H01816" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01816" CDS join(17314219..17314581,17314652..17314918, 17315019..17315096,17315195..17315296,17315388..17315437, 17315542..17315641,17315735..17315827,17315906..17316047, 17316136..17316458,17316541..17316615,17316704..17316718) /locus_tag="BRARA_H01816" /codon_start=1 /product="hypothetical protein" /protein_id="RID51127.1" /db_xref="Phytozome:Brara.H01816" /translation="MTETMAEISEDEKRLTKLCSLKKKAINATNKFKHSMTKRGRRHS RVRCVSIVDEIDTEELRQVDAFRQALILEELLPSKHDDHHMMLRFLRARKFDIEKAKQ MWADMLNWRKDYGADTIMEDFDFGEIDEVVKYYPQGYHGVDKEGRPVYIERLGQVDAV KLMKVTTIDRYVKYHVKEFEKTFTVKFPACSIAAKRHIDQSTTILDVQGVGLNNFNKA AKDLLQSIQKIDSDNYPETLNRMFIINAGYGFRLVWSGVKSFLDPKTTAKIHVLSNKY QSKLLEIIDANELPEFLGGKCTCADKGGCMRSDKGPWNDPEIFKLVQNGEGRCPRKSS SGIEEKTISVCKNVTEEKREVFEPEETYKKAAAAMEKFIDKTVDTGAYPTQEHHKAKN IVPDPKDLLLSPAVESKGYLYGSMMALLMGIVGVMRLTKNMPRKLTQGNVYPDGVTVM PTQEYRAMVKKMTDLEEKCKSMEAAQVAISMEREKVLDAALRRVDQLELQLSETKKAL DETMTRQHEIMAYIEKKKKKKRKFLFF" gene complement(17316704..17319785) /locus_tag="BRARA_H01817" /db_xref="Phytozome:Brara.H01817" mRNA complement(join(17316704..17317129,17317240..17317314, 17317401..17317759,17317856..17317994,17318080..17318395, 17318481..17318530,17318607..17319454,17319557..17319785)) /locus_tag="BRARA_H01817" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01817" CDS complement(join(17317094..17317129,17317240..17317314, 17317401..17317759,17317856..17317994,17318080..17318395, 17318481..17318530,17318607..17319454,17319557..17319590)) /locus_tag="BRARA_H01817" /codon_start=1 /product="hypothetical protein" /protein_id="RID51128.1" /db_xref="Phytozome:Brara.H01817" /translation="MSGPVDRFAIPCFEGLLSSEEKKERKSDFEISEDERRTRIGSLK KKAINASTKFKHSLKKKKRRKNEGRVSSVSIEDVRDVEELQAVDEFRQALVMDELLPS KHDDYHMMLRFLKARKFDVEKAKQMWGDMIQWRKEFGTDTIIQDFEFEEIDDVLKYYP QCYHSVDKEGRPVYIERLGKVDPNKLMQVTTIDRYLRYHVKEFERSFMIKFPACTIAA KKHIDSSTTILDVQGVGLKNFSKYARELVTRLQKIDGDNYPETLHQMFIINAGTGFRV IWSTLKSFLDPKTTSKIHMLGNKYQSKLLEVIDSSELPEFLGGTCTCADQGGCMLSDK GPWKNPDILKMVLHGGAHRAKQVVKVLNSEGKVIAYAKPSYPWIKGSDTSTAESGSEA EDVVVSPKAIKNYSHLRLTPVREEAKIGSGETSFASSLAGYDEYVPMVDKAVDATWKV KPTTTINRVQSKGALVTPNVPKEHESISARVLVFFMAIVMAILAFFRTLSNRAVTKQL PPPTRQPQIEGNTAAKEEEAELLNSVLKKLTELEEKIGALQSKPNEMPYEKEELLNAA VCRVDALEAELIATKKALYEALMRQEELLAYIDRQEFAQSQKKKKRKHMFCF" gene complement(17321690..17325044) /locus_tag="BRARA_H01818" /db_xref="Phytozome:Brara.H01818" mRNA complement(join(17321690..17321908,17321995..17322128, 17322223..17322367,17322458..17322650,17322746..17322792, 17322878..17322972,17323073..17323304,17323391..17323602, 17323685..17323760,17323854..17324051,17324142..17324218, 17324298..17324450,17324541..17324633,17324820..17325044)) /locus_tag="BRARA_H01818" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01818" mRNA complement(join(17321690..17321908,17321995..17322128, 17322223..17322367,17322458..17322650,17322746..17322792, 17322878..17322972,17323073..17323304,17323391..17323602, 17323685..17323760,17323854..17324051,17324142..17324218, 17324298..17324450,17324541..17324635,17324820..17325044)) /locus_tag="BRARA_H01818" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01818" CDS complement(join(17321738..17321908,17321995..17322128, 17322223..17322367,17322458..17322650,17322746..17322792, 17322878..17322972,17323073..17323304,17323391..17323602, 17323685..17323760,17323854..17324051,17324142..17324218, 17324298..17324450,17324541..17324580)) /locus_tag="BRARA_H01818" /codon_start=1 /product="hypothetical protein" /protein_id="RID51129.1" /db_xref="Phytozome:Brara.H01818" /translation="MDLDFDDESAAPAARAGAKFKPRGRPQPKKKQAQTTLSTDVAKE KLLTQSEHPVSLDASSAYPSNVSASETTVPDSGTISQATMGTISKESVSGGASVLRAC TNLNSEGKRCVDDTETAPAYPDDPRRQDSAAFGDFVTSETDEVIDDQTQRMQTGEEEE ECDWNMETLDIVQEECVTSAYEQHTGKFQPKPRLLDTVIEEEPESHYSVDDDTTGANP SEFMVNEESRNDEFNINTTFHGDHQEDEHNIPEQAPNVLEQEHHVVSPSNNDTVMGEG ATQSNEAETGADKKGKKKRGRKKKTTTSEEEPNKPPPETTKKFKHSSRRQKNTLDKEL LETPDDEIKNLPIRDMLRLVAYRESLEKKEAKGAPVVPPTQESNTHASEDNHYYSQGF DAEDEFGMEEGENHEAPVVKPDSPVNYQTYMNKTPRTRWSKQDTQLFYEGIQEFGSNL SMVQQLFPERTRQQIKLKYKLEERKNPLKLNDALSTRSKKLTHYHNVIKKLQEEAAAA KEGEEEEEEGEEAGEEAETTTDVPESVEPTKTEETERDGGVAGVKESDGGDVENGVIS DGGDEIDDNEGDDDDFWNSYKSEM" CDS complement(join(17321738..17321908,17321995..17322128, 17322223..17322367,17322458..17322650,17322746..17322792, 17322878..17322972,17323073..17323304,17323391..17323602, 17323685..17323760,17323854..17324051,17324142..17324218, 17324298..17324450,17324541..17324580)) /locus_tag="BRARA_H01818" /codon_start=1 /product="hypothetical protein" /protein_id="RID51130.1" /db_xref="Phytozome:Brara.H01818" /translation="MDLDFDDESAAPAARAGAKFKPRGRPQPKKKQAQTTLSTDVAKE KLLTQSEHPVSLDASSAYPSNVSASETTVPDSGTISQATMGTISKESVSGGASVLRAC TNLNSEGKRCVDDTETAPAYPDDPRRQDSAAFGDFVTSETDEVIDDQTQRMQTGEEEE ECDWNMETLDIVQEECVTSAYEQHTGKFQPKPRLLDTVIEEEPESHYSVDDDTTGANP SEFMVNEESRNDEFNINTTFHGDHQEDEHNIPEQAPNVLEQEHHVVSPSNNDTVMGEG ATQSNEAETGADKKGKKKRGRKKKTTTSEEEPNKPPPETTKKFKHSSRRQKNTLDKEL LETPDDEIKNLPIRDMLRLVAYRESLEKKEAKGAPVVPPTQESNTHASEDNHYYSQGF DAEDEFGMEEGENHEAPVVKPDSPVNYQTYMNKTPRTRWSKQDTQLFYEGIQEFGSNL SMVQQLFPERTRQQIKLKYKLEERKNPLKLNDALSTRSKKLTHYHNVIKKLQEEAAAA KEGEEEEEEGEEAGEEAETTTDVPESVEPTKTEETERDGGVAGVKESDGGDVENGVIS DGGDEIDDNEGDDDDFWNSYKSEM" gene 17329440..17331929 /locus_tag="BRARA_H01819" /db_xref="Phytozome:Brara.H01819" mRNA join(17329440..17329611,17329913..17330240, 17330454..17330500,17330582..17331503,17331595..17331929) /locus_tag="BRARA_H01819" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01819" CDS join(17329964..17330240,17330454..17330500, 17330582..17331499) /locus_tag="BRARA_H01819" /codon_start=1 /product="hypothetical protein" /protein_id="RID51131.1" /db_xref="Phytozome:Brara.H01819" /translation="MGNACCVAPRDKMVLLPNSSSATAERRHSPTWSFRWDNNHNRGR VAGEEASLSWLSDGISRNDVLSDFKSDFLSSQGSPLHSFRTLQKSPASDLSFPRDSSM DTVFEQKEKDSIESAEPSYPSPAQLSLSLASQPSSFPTSPLPSQIYYHPASSSTLNPT QQVSDGKICGMNSLSRSSITERQGTPLRCDSSESGPSEAWSLQAFSEMMSSSRSNEPL SYDNDRFGLERDKIGHHGNRMSNHQQQSCGACSRPLSEKSLWSSQKMFMTNELSVSAI LACGHVYHGECLEKMTPEIDKFDPSCPICTLGEKKTAKLSEKALRVEMDLKARHNKRL RNRVLDSGFDCEDFVMFDDSHRGEAAAGKSPKLVSSSSAKSYSAKPFLARHFSFGSSK STKENLPVKKKGFFWTNSSKI" gene 17332397..17334874 /locus_tag="BRARA_H01820" /db_xref="Phytozome:Brara.H01820" mRNA join(17332397..17332768,17333061..17333139, 17333338..17333432,17333505..17333554,17333790..17333914, 17334089..17334155,17334387..17334476,17334572..17334683, 17334791..17334874) /locus_tag="BRARA_H01820" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01820" CDS join(17332420..17332768,17333061..17333139, 17333338..17333432,17333505..17333554,17333790..17333914, 17334089..17334155,17334387..17334476,17334572..17334683, 17334791..17334861) /locus_tag="BRARA_H01820" /codon_start=1 /product="hypothetical protein" /protein_id="RID51132.1" /db_xref="Phytozome:Brara.H01820" /translation="MLAQSHFLCKSFSLIPPPSPVLRSATRSLHLSSSQSGRLSFLSS SLSAVSVSRGHISLAMASNSKRPDLSEDSSSELIVTDLDRFAAVANELADASGEVIRK YFRKKFDIVEKDDMSPVTIADQMAEEAMVSIIFHNFPSHAVYGEEKGWRCKEESSDYV WVLDPIDGTKSFITGKPVFGTLIALLYKGKPVLGLIDQPILKERWIGMSGRRTKLNGE DISTRSCPKLSQAYLYTTSPHLFSEEAEKAFARVRDKVKMPLYGCDCYAYALLASGFV DLVIESGLKPYDFLALLPVIEGAGGTITDWNGNPPLWEASSSAVATSFNVVAAGDSDI HQQALESLEWN" gene <17335297..>17337936 /locus_tag="BRARA_H01821" /db_xref="Phytozome:Brara.H01821" mRNA <17335297..>17337936 /locus_tag="BRARA_H01821" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01821" CDS 17335297..17337936 /locus_tag="BRARA_H01821" /codon_start=1 /product="hypothetical protein" /protein_id="RID51133.1" /db_xref="Phytozome:Brara.H01821" /translation="MKIRKKLNTCTIPIFDFSPKLSMCLLLAILLFLLGLDVSTVNAA VGPATGFKPADDILIDCGSKSSSKTPDGRVFKSDQETVQYIEAKDDIQVSAPPSDKVA SPIYLTARIFRDEATYKFHLTRPGWHWVRLHFLTFTNDKFDLQQATFSVMTEKYVLLH NFKISNNNNDSQAVLQKEYLVNITDAQFSLRFRPMKSSAAFINAIEVVSAPDELISDS GTALFPVNGFSGLSDYAYQSVYRVNVGGPLIVPQNDTLGRTWIPDKEFLKEENMAKDV KTTPSAIKYPPGVTPLIAPQTVYATAVEMADSHTIAPNFNVSWNFPSNPSFNYLIRLH FCDIVSKSLNDLYFNVYINGKTAISGLDLSTVAGDLATPYYKDIVVNATLMTPELQVQ IGPMGEDTGSQNAILNGVEVLKMSNSVNSLDGEFGVDGRTTGMGKHGMVATAGFVMMF GAFIGLGAMVYRWKKRPQDWQKRNSFSSWLLPIHAGDTTFMTSKGGSQKSNFYNSTMG LGRYFSLSELQEATKNFEASQIIGVGGFGNVYIATLDDGTKVAVKRGNPQSEQGITEF ETEIQMLSKLRHRHLVSLIGYCDENSEMILVYEFMSNGPFRDHLYGKNLAPLTWKQRL EICIGSARGLHYLHTGTAQGIIHRDVKSTNILLDDALVAKVADFGLSKDVAFGQNHVS TAVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLLEALCARPAINPQLPREQVNLAEWA MQWKRKGLLEKIIDPHLAGTINPESMKKFAEAAEKCLEDYGVDRPTMGDVLWNLEYAL QLQEAFTQGKAEETEIDKPVTPGSVLPTATTPITPSATTNAEASVPVVSKVEETDGSV HSQTVDEHSGTAMFTQFANLNGR" gene <17339000..>17339436 /locus_tag="BRARA_H01822" /db_xref="Phytozome:Brara.H01822" mRNA join(<17339000..17339064,17339169..>17339436) /locus_tag="BRARA_H01822" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01822" CDS join(17339000..17339064,17339169..17339436) /locus_tag="BRARA_H01822" /codon_start=1 /product="hypothetical protein" /protein_id="RID51134.1" /db_xref="Phytozome:Brara.H01822" /translation="MENISGLMAFDMGELRNNLPKKRGLSRFYSGKARSYVCIFDVKC LEDLKKPTQLLDIDDDDVAYTKRKKKNKQSSSSSFSAAVNSNVNYQNYPCRRVSSSTH CSSPCVSA" gene 17340855..17342778 /locus_tag="BRARA_H01823" /db_xref="Phytozome:Brara.H01823" mRNA join(17340855..17341075,17341158..17341506, 17341585..17341653,17341963..17342095,17342378..17342778) /locus_tag="BRARA_H01823" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01823" CDS join(17340871..17341075,17341158..17341506, 17341585..17341653,17341963..17342095,17342378..17342443) /locus_tag="BRARA_H01823" /codon_start=1 /product="hypothetical protein" /protein_id="RID51135.1" /db_xref="Phytozome:Brara.H01823" /translation="MVVRSTRLVLTVLRVSSDYCLNKIATFSRKFSLRFFLAPLIFPQ MPKQKAQKKQLKSYKLKHINRTIQEGDAVLMRSSEPGKPSYVARVEAIEATDARGSNA RVRVRWYYRPEESMGGRRQFHGAKEVFLSDHFDLQSADTIEGKCKVHSFSSYTKLSSV GNDDFFCRFEYNSATGAFIPDRVAVFCKCEMPYNPDDLMVQCEECSEWFHPSCIGTTI EAAKKLDHFYCEECSPEQQDLDNSNSTSKNTDAKVNTKRSLEVSKTRNKHAKRSG" gene 17343402..17345285 /locus_tag="BRARA_H01824" /db_xref="Phytozome:Brara.H01824" mRNA join(17343402..17343888,17343987..17344106, 17344538..17345285) /locus_tag="BRARA_H01824" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01824" CDS join(17343427..17343888,17343987..17344106, 17344538..17345065) /locus_tag="BRARA_H01824" /codon_start=1 /product="hypothetical protein" /protein_id="RID51136.1" /db_xref="Phytozome:Brara.H01824" /translation="MDRLKLSLSVFVLFFLVLSASSDGNEGGDDLVIKQVVDGGAEPN VLSSEDHFSLFKKKFGKVYASSEEHDYRFSVFKANLRRARRHQKLDPSARHGVTQFSD LTRSEFKKKHLGVRGGFKLPKDANKAPILPTENLPEEFDWRDRGAVTPVKNQGSCGSC WSFSATGALEGANFLATGKLVSLSEQQLVDCDHECDPEEAGSCDSGCNGGLMNSAFEY TLKTGGLMREEDYPYTGKDGPTCKLDKSKIVASVSNFSVISIDEEQIAANLVKNGPLA VAINAAYMQTYIGGVSCPYICMRRLNHGVLLVGYGSAGYAPARFKEKPYWIIKNSWGE TWGENGFYKICRGRNVCGVDSLVSTVTATVSPAAH" gene <17346315..>17347652 /locus_tag="BRARA_H01825" /db_xref="Phytozome:Brara.H01825" mRNA <17346315..>17347652 /locus_tag="BRARA_H01825" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01825" CDS 17346315..17347652 /locus_tag="BRARA_H01825" /codon_start=1 /product="hypothetical protein" /protein_id="RID51137.1" /db_xref="Phytozome:Brara.H01825" /translation="MALNVIKTSPVRPATPSLSPLILPLTFFDLLWLHLVPTNRVIFY KLTESSSSRDSFYSTILPKLERSLSLVLAHFLPLSGHLNWDPQAPKPHILISPHDAVS LTVAETDADFSQNSTKGIRPQKELRALVPELPVSSYSSPVMTLQITLFPSQGFCMGLS LHHAVADGKTVVKFLRSWAHICKHGAVPQDFDLPMVIDRTVVNVPAELEAKFLQDKAR SVSLHPAAEETEDLVKLTLELSHEDVEKLRERARRESTRSDLPHLSTFVVTYAYVLAC VVKTRGGEEEVEDRVVPFMYVADFRQRLDPPVPANYFGNCVLPINFYGYKAATFLGRD GFVNGVEILSDSIRGLSSRGAEESLWELYEEGLKWAGPGTPKVIVAGSNRFGIYGSDF GWGRPVSTENISLSRNILFTMSERRDEIGGVEIGMCLKRCETDVFVSLFRNGL" gene complement(17347934..17353309) /locus_tag="BRARA_H01826" /db_xref="Phytozome:Brara.H01826" mRNA complement(join(17347934..17348427,17348526..17348585, 17348676..17348869,17348967..17349050,17349152..17349335, 17349428..17349634,17349751..17349911,17350170..17350323, 17350463..17350611,17350731..17350838,17350942..17351049, 17351426..17351563,17351766..17351939,17352028..17352135, 17352381..17352488,17352575..17352697,17352787..17352831, 17353031..17353309)) /locus_tag="BRARA_H01826" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01826" CDS complement(join(17348223..17348427,17348526..17348585, 17348676..17348869,17348967..17349050,17349152..17349335, 17349428..17349634,17349751..17349911,17350170..17350323, 17350463..17350611,17350731..17350838,17350942..17351049, 17351426..17351563,17351766..17351939,17352028..17352135, 17352381..17352488,17352575..17352697,17352787..17352831, 17353031..17353180)) /locus_tag="BRARA_H01826" /codon_start=1 /product="hypothetical protein" /protein_id="RID51138.1" /db_xref="Phytozome:Brara.H01826" /translation="MAENGGGGCCPPMDLMRSEPMQLVQLIVPMESAHLTVSYLGDLG LVQFKDLNSEKSPFQRTYAAQIKRCGEMARKIRFFKDQMSKAGVLPKEMLGKENDIDL DDVEVKLGELEAELVEINANNDKLQRSYNELMEYKLVLEKAGEFFSSAHRSATAQQRE TESPRASEDLLESPLLQEEKSIDASKQVKLGFLTGLVPREKSMVFERILFRATRGNVY IRQTVVEEPVIDPNSGEKAEKNVFVVFYSGERAKSKILKICEAFGANRYPFSEDLGKQ AQMITEVSGRLTELKTTIDAGLGHRNILLQTIGDKFELWSLKVRKEKAIYHTLNMLSL DVTKKCLVAEGWSPVFASKEIQEALERAAVDSNSQVGSIFQVLRTKELPPTYFRTNKF TSAIQEIVDAYGVAKYQEANPGVFTIVTFPFLFAVMFGDWGHGICLLLATMYLIVREK KLASQKLGDIMEMAFGGRYVIMMMSLFSIYTGLIYNEFFSIPYPLFAPSAYDCRDASC SEATTIGLIKVRDTYPFGLDPVWHGTRSELPFLNSLKMKMSILLGVSQMNLGIIMSYF NARFFKSSVNIWFQFIPQMIFLNSLFGYLSVLIIIKWCTGSQADLYHVMIYMFLSPTD ELGENQLFPHQKTVQLVLLFLALVSVPCMLLPKPFILKKQHEARHQGQSYAPLEETDE SLHVETSGGSHGHEEFEFSEIFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSS VFYEKVLLLAWGYNNWLILIVGIIVFVFATVGVLLVMETLSAFLHALRLHWVEFQNKF YEGDGYKFAPFSFILTASEDE" gene 17358057..17359690 /locus_tag="BRARA_H01827" /db_xref="Phytozome:Brara.H01827" mRNA join(17358057..17358377,17358989..17359690) /locus_tag="BRARA_H01827" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01827" CDS join(17358192..17358377,17358989..17359531) /locus_tag="BRARA_H01827" /codon_start=1 /product="hypothetical protein" /protein_id="RID51139.1" /db_xref="Phytozome:Brara.H01827" /translation="MKIWCDVCDKEEASVFCCADEAALCNGCDRHVHFANKLAGKHQR FSLTSPTFKDAPLCDICGERRALLFCQEDRAILCRECDIPIHQANEHTKKHNRFLLTG VKISASPSTYPKASHSNSTAAMSRAKTRPKSVSGEVPSSASSEVFASSPSTTTSNYYY GLDENNYHQVSDSGSGSGGTGSISEYLMETLPGWRVEDLLEHPSCVSHEDNIISTNNN ESYMVYDGSLQYHHQGFWEQKHFS" gene complement(17359824..>17366489) /locus_tag="BRARA_H01828" /db_xref="Phytozome:Brara.H01828" mRNA complement(join(17359824..17360017,17360410..17360509, 17360597..17360726,17360822..17360859,17364645..17364723, 17365229..17365282,17365373..17365438,17365649..17365701, 17365777..17365866,17365977..17366074, 17366179..>17366489)) /locus_tag="BRARA_H01828" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01828" mRNA complement(join(17359824..17360017,17360410..17360509, 17360597..17360726,17360822..17360865)) /locus_tag="BRARA_H01828" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01828" CDS complement(join(17360424..17360509,17360597..17360726, 17360822..17360859,17364645..17364723,17365229..17365282, 17365373..17365438,17365649..17365701,17365777..17365866, 17365977..17366074,17366179..17366489)) /locus_tag="BRARA_H01828" /codon_start=1 /product="hypothetical protein" /protein_id="RID51141.1" /db_xref="Phytozome:Brara.H01828" /translation="MASSFSNRRPPSPASSTSSSHLSSRLIPRSSSTSASSFTNSGSR SMTTLTRTFSDSGLIGGSGSFGTASPVPYPSEELLGEPVEETVSSERDSISVTVRFRP LSDREYQRGDEVAWYPDGDTMVRHEYNPLTAYAFDKVFGPQANTMDVYDVAARPVVKA AMEGVNGTVFAYGVTSSGKTHTMHGDQESPGIIPLAIKDVFSIIQDTPGREFLLRVSY LEIYNEGTYVEGIKEEVVLSPGHALSFIAAGEETPKEEPLVARLKARMQEMKEKEMKS QANGDANSHICKVCFESPTAAILLPCRHFCLCRSCSLACLECPICRTKISDRLFAFPS " CDS complement(join(17360424..17360509,17360597..17360720)) /locus_tag="BRARA_H01828" /codon_start=1 /product="hypothetical protein" /protein_id="RID51140.1" /db_xref="Phytozome:Brara.H01828" /translation="MQEMKEKEMKSQANGDANSHICKVCFESPTAAILLPCRHFCLCR SCSLACLECPICRTKISDRLFAFPS" gene complement(17369856..17372174) /locus_tag="BRARA_H01829" /db_xref="Phytozome:Brara.H01829" mRNA complement(join(17369856..17370176,17370269..17370343, 17370422..17370583,17370654..17370716,17370797..17370997, 17371085..17371186,17371262..17371413,17371505..17371574, 17371660..17371782,17371979..17372174)) /locus_tag="BRARA_H01829" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01829" CDS complement(join(17370060..17370176,17370269..17370343, 17370422..17370583,17370654..17370716,17370797..17370997, 17371085..17371186,17371262..17371413,17371505..17371574, 17371660..17371782,17371979..17372038)) /locus_tag="BRARA_H01829" /codon_start=1 /product="hypothetical protein" /protein_id="RID51142.1" /db_xref="Phytozome:Brara.H01829" /translation="MEAIRKQASKLREQVARQQQAVLRQFGGGGYGGSDTVITDEEEL HRHQKLEKLYISTRSAKHFQRDIVRGVEGSIVTGSKQVEIGTKLCEDSRRYGSGNTCT SGNTLTRASLSFANARDQMEKERGNLLKALGTQVAEPLRAMVTGAPLVDARHLAQRYE RMRQETESQTIEVSKRQAKVRENPGNPELVMKLEYADAKLQDLKSNMTILGKEAASAM AAVEEQQQNQTLQRLITLVEAERSYHQRILEIIERLEGEMRVEQQRIEAPQTPQVENI TSSPPPPSYEEVSNGVSASQMHNGTSDAMGYFLGEVMFPYQSDSEFELSLSVGDYVVI REVTSSGWAEGECKGKAGWFPYDYIERRDRVLATKVIEVI" gene complement(17404546..17405954) /locus_tag="BRARA_H01830" /db_xref="Phytozome:Brara.H01830" mRNA complement(join(17404546..17405132,17405234..17405296, 17405379..17405507,17405588..17405668,17405746..17405954)) /locus_tag="BRARA_H01830" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01830" CDS complement(join(17404818..17405132,17405234..17405296, 17405379..17405507,17405588..17405668,17405746..17405844)) /locus_tag="BRARA_H01830" /codon_start=1 /product="hypothetical protein" /protein_id="RID51143.1" /db_xref="Phytozome:Brara.H01830" /translation="MEDSSASFVGNRYWVLRHGKSIPNERGLIVSSMENGVLPEYQLA PDGVAQAQLAGQSFLKQLEESKISLDKVRICYSPFSRTTHTARVVAQVLNIPFDSPQC KMMETLRERYFGPTFELKSHDKYPEIWDLDEKDPFMGPEGGESADDVVSRLATAMLST EAEFQRCAILVVSHGDPLQMLQNIMHSAKQQSGGDGGLAERLQKSRVASVLSQHRKFA LLTGELRPLL" gene 17418008..17418885 /locus_tag="BRARA_H01831" /db_xref="Phytozome:Brara.H01831" mRNA 17418008..17418885 /locus_tag="BRARA_H01831" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01831" CDS 17418430..17418720 /locus_tag="BRARA_H01831" /codon_start=1 /product="hypothetical protein" /protein_id="RID51144.1" /db_xref="Phytozome:Brara.H01831" /translation="MKGDLEQVSWEMTRSESIQLSQYLIPRNRLVKERNRREFKGEHH GVRRHASLERSHNHNRSIKADYESENMQTDLEQRKQNQGKRETLICKRIEHS" gene 17439778..17441174 /locus_tag="BRARA_H01832" /db_xref="Phytozome:Brara.H01832" mRNA join(17439778..17439878,17440107..17441174) /locus_tag="BRARA_H01832" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01832" CDS 17440109..17440906 /locus_tag="BRARA_H01832" /codon_start=1 /product="hypothetical protein" /protein_id="RID51145.1" /db_xref="Phytozome:Brara.H01832" /translation="MSERQDRGDVSLSVKHEEDVSPESLAWADSCIISFPDDSDNNDW GTFRDALTEIIDIHPQIFVPTETTTSVRSRDEVMTEAESVHMQSFEPQAADRSDQVSE IVSLLNFESDPSKNSLPDHYFPAENRTTNGPVDKHTIGNESIEEDGSVSNGEADEEPE SETPQVVKDDFMSSSYVEDNNAGEVDVLEDPGNLTPQEIFKVWDLKIVGDDDEDDGLG LQVKKALDESSTVQPPNDDHDHVAVEKSYIDDLIAGITDLSLTETFE" gene 17441917..17444088 /locus_tag="BRARA_H01833" /db_xref="Phytozome:Brara.H01833" mRNA join(17441917..17442187,17442271..17442540, 17442633..17442742,17443092..17443182,17443273..17443542, 17443639..17444088) /locus_tag="BRARA_H01833" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01833" CDS join(17442011..17442187,17442271..17442540, 17442633..17442742,17443092..17443182,17443273..17443542, 17443639..17443917) /locus_tag="BRARA_H01833" /codon_start=1 /product="hypothetical protein" /protein_id="RID51146.1" /db_xref="Phytozome:Brara.H01833" /translation="MASTSLLKASPVLDKSEWVKGQSVLFRQPSSASVVLPNRATSLT VRAASSYADELVKTAKTIASPGRGILAMDESNATCGKRLDSIGLENTEANRQAYRTLL VSAPGLGQYISGAILFEETLYQSTTEGKKMVDVLVEQNIVPGIKVDKGLVPLVGSNNE SWCQGLDGLSSRTAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDS GLVPIVEPEILLDGEHDIDRTYEVAEKVWAEVFFYLAQNNVMFEGILLKPSMVTPGAE SKDRATPEQVAAYTLKLLRNRIPPAVPGIMFLSGGQSELEATLNLNAMNQAPNPWHVS FSYARALQNTCLKTWGGRAENVNAAQTTLLARAKANSLAQLGKYSGEGESEEAKEGMF VKGYTY" gene complement(17444445..17446373) /locus_tag="BRARA_H01834" /db_xref="Phytozome:Brara.H01834" mRNA complement(join(17444445..17444674,17444764..17444842, 17444938..17445092,17445173..17445288,17445381..17445477, 17446016..17446373)) /locus_tag="BRARA_H01834" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01834" mRNA complement(join(17444445..17444674,17444764..17444842, 17444938..17445099,17445173..17445288,17445381..17445477, 17446016..17446373)) /locus_tag="BRARA_H01834" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01834" CDS complement(join(17444768..17444842,17444938..17445099, 17445173..17445288,17445381..17445477,17446016..17446108)) /locus_tag="BRARA_H01834" /codon_start=1 /product="hypothetical protein" /protein_id="RID51147.1" /db_xref="Phytozome:Brara.H01834" /translation="MRILCDACENAAAIVFCAADEAALCRPCDEKVHMCNKLASRHVR VGLAEPSNAPCCDICENAPAFFYCEIDGSSLCLQCDMVVHVGGKRTHGRFLLLRQRIE FPGDKPKQNNTRDNMQNQGVSASANGEANGKTDDEMIDLNANPQRVHEPSSNNQGIDV NNTNNHEAARVGPFKRESEK" CDS complement(join(17444991..17445092,17445173..17445288, 17445381..17445477,17446016..17446108)) /locus_tag="BRARA_H01834" /codon_start=1 /product="hypothetical protein" /protein_id="RID51148.1" /db_xref="Phytozome:Brara.H01834" /translation="MRILCDACENAAAIVFCAADEAALCRPCDEKVHMCNKLASRHVR VGLAEPSNAPCCDICENAPAFFYCEIDGSSLCLQCDMVVHVGGKRTHGRFLLLRQRIE GTSLNKTIRETICKTKESLQVQTVKLMARLTMK" gene complement(17447629..17448692) /locus_tag="BRARA_H01835" /db_xref="Phytozome:Brara.H01835" mRNA complement(join(17447629..17448013,17448085..17448370, 17448508..17448692)) /locus_tag="BRARA_H01835" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01835" CDS complement(join(17447881..17448013,17448085..17448370, 17448508..17448583)) /locus_tag="BRARA_H01835" /codon_start=1 /product="hypothetical protein" /protein_id="RID51149.1" /db_xref="Phytozome:Brara.H01835" /translation="MSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMG VMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACG LAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQ SRAE" gene 17448922..17450704 /locus_tag="BRARA_H01836" /db_xref="Phytozome:Brara.H01836" mRNA join(17448922..17449036,17449516..17449590, 17449683..17450704) /locus_tag="BRARA_H01836" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01836" CDS join(17449519..17449590,17449683..17450468) /locus_tag="BRARA_H01836" /codon_start=1 /product="hypothetical protein" /protein_id="RID51150.1" /db_xref="Phytozome:Brara.H01836" /translation="MESGGQYDNGRYKPDYYKGTPPSVWNMMPQHQIKEQHNALVMNK KIMSILAERDAALKERNEALAAKKEALAARDEALEQRDKALSERDNAIMERETALNAL HYPEKNNLNYILSCAKRGGTEGRSHPPRPPPVSPIPADKNPTKRKKETKQGKKVGDDL NRLAASPGKKCRKDWDVNEVGLNLVAFDETTMPVPMCTCTGTARQCYKWGSGGWQSSC CTTTLSQHPLPQMPNKRHSRMGGRKMSGNVFSRLLSRLAGEGQDLSSPVDLKDYWARH GTNRYITIK" gene <17450813..>17451358 /locus_tag="BRARA_H01837" /db_xref="Phytozome:Brara.H01837" mRNA <17450813..>17451358 /locus_tag="BRARA_H01837" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01837" CDS 17450813..17451358 /locus_tag="BRARA_H01837" /codon_start=1 /product="hypothetical protein" /protein_id="RID51151.1" /db_xref="Phytozome:Brara.H01837" /translation="MVQKPNTGAPSPLQLQQQPPKQWLNRSKTFFDVPNPKIVTIFLI SIFATFFSGIAFVFEWIFHGKNHAGFQWIIYYGLSLIFLPVLILLGLGIVIAVTTRHE SKQVASSIVEVEEQQHVDHSAGKGGNEEKDYDKNCQSLAVVVDGYDKKSAGKTMEHKT LKLKRAVSFPLRSQARSCRTR" gene 17457435..17459715 /locus_tag="BRARA_H01838" /db_xref="Phytozome:Brara.H01838" mRNA join(17457435..17457687,17457767..17458701, 17458776..17458908,17459004..17459088,17459174..17459715) /locus_tag="BRARA_H01838" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01838" CDS join(17457818..17458701,17458776..17458908, 17459004..17459088,17459174..17459382) /locus_tag="BRARA_H01838" /codon_start=1 /product="hypothetical protein" /protein_id="RID51152.1" /db_xref="Phytozome:Brara.H01838" /translation="MGDTDTDMIHRLHSPFGKQPQQLQFSSDSAKRVGVPPTSPYSQI PTTRPQPQGASHSRSISQPSSFFSFDSLPPLSPSPSNNNNNNNNQPSSLLPPPPFTRC NSDSSRVVLPPRKSHRRSNSDIPTSIPPRPLERGESPDWSNHTPPFVKKESGEDMDDL FSAYMNLENIDALNSSEADMESSRASGTKTNGSDDTEGESSSVNYESGGDRNNSLKRR AGGEGDIAPTTRHYRSVSVDSCFMEKMSFALKPPPTNSVDGGIEFKNGEFNAAEMKKI MANDKLAEMAVSDPKRVKRILANRQSAARSKERKMRYIVELEHKVQTLQTEATTLSAQ FTLLQRDMMGLTNQNNELKFRLQSMEHQAQLRDALSEALNGEVQRLKLAIGETSHNES DRSKMQSLNAEMFQQLHISQLTQQPQSQQNRNGTMSAKPESNEL" gene <17460291..>17461467 /locus_tag="BRARA_H01839" /db_xref="Phytozome:Brara.H01839" mRNA join(<17460291..17460330,17460368..>17461467) /locus_tag="BRARA_H01839" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01839" CDS join(17460291..17460330,17460368..17461467) /locus_tag="BRARA_H01839" /codon_start=1 /product="hypothetical protein" /protein_id="RID51153.1" /db_xref="Phytozome:Brara.H01839" /translation="MEISRKKLTNGSVQIFKRLPLKSLIRSLCVAKQWASIIRGRSFM KLFLNESLTRPKSVVFVFRKRYDGLSYSEVSLKIAHELVPSSSDAASSLATYHVTVHT LQRTKISPSVHGLICYGPPSELIVYNPCTRRSATLPKVNAGRRAINHYLGYDPINNDY KVLCIIRGMPKLSNRRGLAEEILVLTLGSSTHQDSWMMMNIQDNIIPHHSPLSEELCI NGVFYYRAFTGIKLNASAIMSFDVRSEKLALIKGPCTFPTFSKLTRYEEKLAVIFYKK KISGIIALWSLQDPSKEEWSKKTFVLPAAVTAKHFHRFQTTATDTGEIIDTPIHEHAS QTSFVFFDLKNDSVRNFNIEGITDEYMFCQSDFVSAGQVENLMFL" gene complement(<17473404..>17474308) /locus_tag="BRARA_H01840" /db_xref="Phytozome:Brara.H01840" mRNA complement(join(<17473404..17473519,17473873..17474018, 17474109..>17474308)) /locus_tag="BRARA_H01840" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01840" CDS complement(join(17473404..17473519,17473873..17474018, 17474109..>17474308)) /locus_tag="BRARA_H01840" /codon_start=1 /product="hypothetical protein" /protein_id="RID51154.1" /db_xref="Phytozome:Brara.H01840" /translation="EKVVDHECYFESEDADFKNRRTIFVLGFDCSFPRDEIKRTLIKH FSSCGEVSRVYIPFHCDTGSPMGFAFISMGNPDKALTLNGSYLDGMRLEVTMATKRSE YYGYTNHRGCQRCAFSAWQYLARSRSYCFTSYQKKKRSYCFTRPATSSFVF" gene 17482226..17484102 /locus_tag="BRARA_H01841" /db_xref="Phytozome:Brara.H01841" mRNA join(17482226..17482405,17482497..17482560, 17482637..17482728,17482902..17483008,17483258..17483332, 17483433..17483507,17483577..17483669,17483758..17484102) /locus_tag="BRARA_H01841" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01841" CDS join(17482321..17482405,17482497..17482560, 17482637..17482728,17482902..17483008,17483258..17483332, 17483433..17483507,17483577..17483669,17483758..17483955) /locus_tag="BRARA_H01841" /codon_start=1 /product="hypothetical protein" /protein_id="RID51155.1" /db_xref="Phytozome:Brara.H01841" /translation="MGPHGDGDIEKVESESRRRPISTVVFVIAMQAEAQPLVNKFGLS ETSDSPLGKGLPWVLYHGLHKDLRIYVVCPGKDAASGIDSVGTVPASLITFASIQALQ PDILINAGTCGAFKVKGANIGDVFLVSDVVFHDRRIPIPMFDLYGVGLRQAFSTPNLL KELNLKIGRLSTGDSLDMSTQDESLIIANDATLKDMEGAAVAYVAELLKVPVIFLKAV TDLVDGDKPTAEEFLQNLAVVTSALEETATKVINFIHGKTLSDL" gene complement(17484103..17485774) /locus_tag="BRARA_H01842" /db_xref="Phytozome:Brara.H01842" mRNA complement(join(17484103..17484362,17484447..17484571, 17484671..17484751,17484852..17484992,17485090..17485289, 17485490..17485774)) /locus_tag="BRARA_H01842" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01842" CDS complement(join(17484314..17484362,17484447..17484571, 17484671..17484751,17484852..17484992,17485090..17485289, 17485490..17485703)) /locus_tag="BRARA_H01842" /codon_start=1 /product="hypothetical protein" /protein_id="RID51156.1" /db_xref="Phytozome:Brara.H01842" /translation="MKSPIHTVWSWVRRQPPKVKAFLAVITGMAALVLLRFIVHDHDN LFVAAEAVHSIGICVLIYKLMKEKTCAGLSLKSQELTAIFLAVRLYCSFVMEYDIHTV LDLATLGTTLWVIFMIRFKLRASYMEDKDNFALYYVLVPCVVLAVLIHPSTSHNILNR ISWALCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVVDTRG RLLVALGYGLWPSMVLISEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV" gene 17490417..17492236 /locus_tag="BRARA_H01843" /db_xref="Phytozome:Brara.H01843" mRNA join(17490417..17490672,17490762..17492236) /locus_tag="BRARA_H01843" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01843" CDS join(17490525..17490672,17490762..17492047) /locus_tag="BRARA_H01843" /codon_start=1 /product="hypothetical protein" /protein_id="RID51157.1" /db_xref="Phytozome:Brara.H01843" /translation="MRILPEPRGSVPCLLLLLSVVFSATLSLARVVEVVGNAESKIKT PHAFSGLRVTIDCKVNKGHFVTKASGNIDEEGKFSLKVPTHDIVSEDGALKEECYAQL HSAVGTPCPAHDGLDSNKIVFLYKSGDKHVLGLKQNLKFSPELCVSKFFWPMPKFPPF KGFDHPFPLPPPLELPPFPKPCPPPPVPVYEPPPKVELPPPVPVHEPPPKVEVPPPVP VHDPPPKVEHPPPVPVYKPPPKVEHPPPVPVYKPPPKVEHPPPVPVYKPPPKVEHPPP VPVHKPPTIPKKPCPPKSPKIELPPPVPVHKPPTKKPCPPMPPKKVDPPPVPVHKPPP KIVLPPPVPIHKPPKKPCPPKPPKVELPPPVPVYKPPPKIEHPPIYVPPVIPKKPCPP KAPKIDPPPVPVYKPPPKIEHPPIYVPPVIPKKPCPPPVPIYVPPVVIPKKPCPPLPP LPKFPPLPPKYIHHPKFGKWPPLPTHP" gene <17495149..17496069 /locus_tag="BRARA_H01844" /db_xref="Phytozome:Brara.H01844" mRNA join(<17495149..17495206,17495386..17495564, 17495596..17495643,17495727..17495796,17495900..17496069) /locus_tag="BRARA_H01844" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01844" CDS join(17495149..17495206,17495386..17495564, 17495596..17495643,17495727..17495796,17495900..17495982) /locus_tag="BRARA_H01844" /codon_start=1 /product="hypothetical protein" /protein_id="RID51158.1" /db_xref="Phytozome:Brara.H01844" /translation="MQQSSVFLVPPVLYFSTAYGHTTEQPGSVPQSHFTDSAALQIYR VASLLLNFQILLGLTGMITKRTRTVCFCFCLSPQLDQATAIVAELCDICREVCRINPV FGRVDNFSKDFKMLVKASGVHAYMETSMDSLKKIALFLYPRLL" gene 17496778..17497568 /locus_tag="BRARA_H01845" /db_xref="Phytozome:Brara.H01845" mRNA 17496778..17497568 /locus_tag="BRARA_H01845" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01845" CDS 17496820..17497338 /locus_tag="BRARA_H01845" /codon_start=1 /product="hypothetical protein" /protein_id="RID51159.1" /db_xref="Phytozome:Brara.H01845" /translation="MAFPKVFFDMTVDGKPAGRIVMELYTDKTPKTAENFRALCTGEK GVGSKGKPLHFKGSSFHRVIPNFMCQGGDFTAGNGTGGESIYGEKFADENFERKHTGP GILSMANAGPNTNGSQFFICTVKTDWLDGKHVVFGQVVEGLDVVKAIEKVGSASGKPS KPVVVADCGQLS" gene 17498530..17500958 /locus_tag="BRARA_H01846" /db_xref="Phytozome:Brara.H01846" mRNA join(17498530..17499032,17499329..17499385, 17499474..17499564,17499657..17499817,17499901..17500028, 17500111..17500248,17500346..17500438,17500503..17500958) /locus_tag="BRARA_H01846" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01846" CDS join(17498912..17499032,17499329..17499385, 17499474..17499564,17499657..17499817,17499901..17500028, 17500111..17500248,17500346..17500438,17500503..17500721) /locus_tag="BRARA_H01846" /codon_start=1 /product="hypothetical protein" /protein_id="RID51160.1" /db_xref="Phytozome:Brara.H01846" /translation="MVSDNEKGLVLAVASSVFIGSSFILKKKGLKRAAANGTRAGIGS YTYLLEPLWWVGLVTMTFGEIANFVAYVYAPAVLVTPLGALSIIISAVLAHFLLNEKL KKMGVWGCVCCIVGSVMIVIHAPQEQTPNSVQAIWKLAMQPAFLIYVAASMSIVLALI LYCEPLCGQTNVLVYIGICSLMGSLTVMSIKAVGIAVKLTLEGINQVWYPETWFFVMV AAICVVTQMIYLNKALDTFNAAIVSPIYYVMFTTLTIVASAIMFKDWYGQDTESIASE ICGFITVLTGTVILHATREEEQASLRTMRWQESGKSFNEEHLLSLYRPTEYQESKTPL C" gene 17502074..17504026 /locus_tag="BRARA_H01847" /db_xref="Phytozome:Brara.H01847" mRNA join(17502074..17503256,17503614..17504026) /locus_tag="BRARA_H01847" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01847" CDS join(17502124..17503256,17503614..17503713) /locus_tag="BRARA_H01847" /codon_start=1 /product="hypothetical protein" /protein_id="RID51161.1" /db_xref="Phytozome:Brara.H01847" /translation="MAAAVSSVWAKPGAWALEAEEQDAELQQQQQSSLASNQTVPSSD FPSLAAAATTKTKKKKGQTLSLAEFSTYKAAAAAPQTERLTQAELVSLPTGPRERSAE ELERSKGFRSYGPREDSTSRWGSSRASEEGERRGGGGFGRDRESMGPSRADETDDWAA GKKAFGGRGPSDGFERRERGPGGGFFDSQSKADEVDSWVSSKPRFVPSSNNGGGGGGG DRFEKRGSFESLSRTRDSQFGGGSESDTWGRRREESGAPPAPGGGGGGSSRPRLVLQP RTLPVAAPVVVDVKPESPVAVAVERPVIVERPKGANPFGNARPREEVLAEKGQDWKEI DEKLEAVKLKEVAEKPDERSPGKMGFGLGNGRKDDRTDGSWRKSSDQTEETPAVEEAN KEEPAKEEAGKKEAEDEN" gene <17505079..>17506035 /locus_tag="BRARA_H01848" /db_xref="Phytozome:Brara.H01848" mRNA <17505079..>17506035 /locus_tag="BRARA_H01848" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01848" CDS 17505079..17506035 /locus_tag="BRARA_H01848" /codon_start=1 /product="hypothetical protein" /protein_id="RID51162.1" /db_xref="Phytozome:Brara.H01848" /translation="MGTHLSKQLERRKAISTEKKALADLQQSCGCEFPGCDYTPSDRK TWMAGVGPDKLHINKIVWPGTHDSATNKIGIRFVSRPFAQCQSLSIYNQLVAGTRVLD IRVQEDRRVCHGILKTYSVDVVLTDLKRFLSETESEIVILEIRTEFGHEDPPEFDKYL VEQLGDHLIHQDDGVFGKTVAELLPKRVICVWKPRKSPQPKRGDPLWSAGYLKDNWID TDLPSTKFESNLKHLSQQQPAASRKFFYRVENTVTPQPDNPILCVKPVTNRIHCYAKV FIIECVKRGCADKLQIFSTDFIDKDFVDACVGLTFARAEGKA" gene 17512870..17514805 /locus_tag="BRARA_H01849" /db_xref="Phytozome:Brara.H01849" mRNA join(17512870..17513105,17513215..17513884, 17514276..17514805) /locus_tag="BRARA_H01849" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01849" CDS join(17513033..17513105,17513215..17513884, 17514276..17514600) /locus_tag="BRARA_H01849" /codon_start=1 /product="hypothetical protein" /protein_id="RID51163.1" /db_xref="Phytozome:Brara.H01849" /translation="MDLSSLRGSSSLTLSFIVLLLASTGSYGSTFTFANRCGFTVWPG ILANAGSPTLSTTGFELPKGTSRSLQAPTGWSGRFWARTGCSFDGSGSGTCKTGDCGS NQVECVGLGAAPPVTLAEFTLGTGGDDFYDVSLVDGYNLPMIVEVAGGSGQCASTGCT SDLNLQCPAELRSGDGDACKSACEAFRSPEYCCSGAYATPSTCRPSVYSEMFKAACPR SYSYAYDDATSTFTCAGGDYTVTFCPSSPSQKSTSYPTPVQDSSATSQGSDPVPGSDT GYSGQGQQQSQGQGQQQAQDQGYGYGSQGTGSQVGSGETMLQDGSWMAGLAMGDSSRL TGASLAMLLAGFTFVFPFILS" gene complement(17515102..17518125) /locus_tag="BRARA_H01850" /db_xref="Phytozome:Brara.H01850" mRNA complement(join(17515102..17515643,17515962..17516609, 17516722..17516868,17516949..17517053,17517149..17517327, 17517420..17517579,17517689..17517723,17517792..17518125)) /locus_tag="BRARA_H01850" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01850" CDS complement(join(17515308..17515643,17515962..17516609, 17516722..17516868,17516949..17517053,17517149..17517327, 17517420..17517579,17517689..17517723,17517792..17517876)) /locus_tag="BRARA_H01850" /codon_start=1 /product="hypothetical protein" /protein_id="RID51164.1" /db_xref="Phytozome:Brara.H01850" /translation="MKHSSIIGFIFCAIYSLLAAFILVSSSNDGPFYDSTAYTECRAE PEKPLYNGGMLKGEEASVSGRDTLRSIGASYTPAYILHNLTQNTIYCFSIWVKIEAGS ASTARVRAKLRSDNATLNCVGSVSAKQGCWSFLKGGFLLDSPSQLSILFFETTNDDGK TQLEVASASLQPFTQDQWKNNQDYFINTARKRAVTIHVAGENGESVEGALVNVEQISK DFPIGSAISKTILGNIPYQEWFVKRFDATVFENELKWYATEPHQGKLNYTFADKMMSF VRANRIIARGHNIFWEDPKYTPDWVRNLTGEDLRSAVNQRIRSLMTRYRGEFVHWDVS NEMLHFDFYESRLGKNASYELFAAARELDSLATLFLNDFNVVETCSDERSTVDEYIAR VRELERYDGGGMRMDGVGLEGHFTRPNVALMRANLDKLATLELPVWLTEIDISSTLDH RTQAIYLEQVLREGFSHPSVNGIILWTALHPNGCYQMCLTDDKFINLPTGDVVDKKLL EWTTGEVKAKTDDHGTFSFLGFLGEYRVSIIYEGKTVNSSFSLSRDPETKHVRLRI" gene 17518691..17520855 /locus_tag="BRARA_H01851" /db_xref="Phytozome:Brara.H01851" mRNA join(17518691..17519902,17519986..17520331, 17520413..17520855) /locus_tag="BRARA_H01851" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01851" CDS join(17518881..17519902,17519986..17520331, 17520413..17520673) /locus_tag="BRARA_H01851" /codon_start=1 /product="hypothetical protein" /protein_id="RID51165.1" /db_xref="Phytozome:Brara.H01851" /translation="MAADDGDPTKFAAISSSSSPLLSKPSTSALDSPRRSSDPESDPT QFLQISYNFGPRPFKDVAFLLLFDLFVFSTFGFGVFSVFHRNTDYGDSSSFSYDLAST SCLKVSTFTRFSNSYWSDPVFEKDLIWTLVVTLILSAPFCFVVLLLLKHYTKQIVYAC LPLFVLFPVFFNVYWFVACTLSSSCSDALPLAYRVLVLVFIFLIVGVIVWIIVANWHR IELTIQIIGVASDALSKNLKLFVVLPLLILGLVVYYAPVVVFLVFARFNGEFVPREVD GDEYVCEWKEDSWVPAYYTLAIITMIWSLAVMVEMQVYVISGAIAQWYFSKEDSMPKK CIRSSLRNAFGQSFGTICLSGILVGVVRVVRAIVDNAREENPQGIVNFALRCCANALL GAFDYLNKFTINFAAITGESYCTSAKMTYELLRRNLLSAVFVETVSTRILTGIVFVLS AAYAVATWAVLRGVSSLGVDSYLVAILAWLLLTVVLAFFVHVLDNVIDTIYVCYAIDR DKGDVCKQEVHEVYVHLPISRSTRSALIPNALNA" gene <17521643..>17523604 /locus_tag="BRARA_H01852" /db_xref="Phytozome:Brara.H01852" mRNA join(<17521643..17521654,17521742..17521855, 17521945..17522050,17522121..17522235,17522317..17522347, 17523557..>17523604) /locus_tag="BRARA_H01852" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01852" CDS join(17521643..17521654,17521742..17521855, 17521945..17522050,17522121..17522235,17522317..17522347, 17523557..17523604) /locus_tag="BRARA_H01852" /codon_start=1 /product="hypothetical protein" /protein_id="RID51166.1" /db_xref="Phytozome:Brara.H01852" /translation="MVLEATMICIDNSEWMRNGDYSPSRLQAQTEAVNLLCGAKTQSN PENTVGILTMAGKGVRVLTTPTSDLGKILACMHGLEVGGEINLTAAIQIAQLALKHRQ NKNQRQRIIVFAGSPIKYEKKALERNEEESNSKGEDEKK" gene complement(17525623..17527076) /locus_tag="BRARA_H01853" /db_xref="Phytozome:Brara.H01853" mRNA complement(join(17525623..17526308,17526455..17527076)) /locus_tag="BRARA_H01853" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01853" CDS complement(join(17525720..17526308,17526455..17526717)) /locus_tag="BRARA_H01853" /codon_start=1 /product="hypothetical protein" /protein_id="RID51167.1" /db_xref="Phytozome:Brara.H01853" /translation="MGRSPCCEKAHTNKGAWTKEEDERLTAYIKAHGEGCWRSLPKAA GLLRCGKSCRLRWINYLRPDLKRGNFTEDEDELIIKLHSLLGNKWSLIAGRLPGRTDN EIKNYWNTHIRRKLINRGIDPTTHRPVQESSSASQDSKPTQLVEAITSNNTINISFTS TPKMESTSCFQVKPEKISMLTFKEEKDEFLTEEKLPDLNLELRISLPDVVEGKSTRAR CFRCSLGMINGMECRCGSIRCDVVGVSSGSTGKGGDSSHGFDFLGLATKETTTTSLLG FRSLEMI" gene 17534423..17542848 /locus_tag="BRARA_H01854" /db_xref="Phytozome:Brara.H01854" mRNA join(17534423..17535558,17535710..17535820, 17535898..17536014,17536108..17536278,17536351..17536512, 17537024..17537260,17537343..17537464,17537532..17538936, 17539048..17539146,17539371..17540918,17541030..17541254, 17541351..17541455,17541657..17541737,17541835..17541903, 17542016..17542144,17542374..17542493,17542580..17542848) /locus_tag="BRARA_H01854" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01854" CDS join(17534662..17535558,17535710..17535820, 17535898..17536014,17536108..17536278,17536351..17536512, 17537024..17537260,17537343..17537464,17537532..17538936, 17539048..17539146,17539371..17540918,17541030..17541254, 17541351..17541455,17541657..17541737,17541835..17541903, 17542016..17542144,17542374..17542493,17542580..17542645) /locus_tag="BRARA_H01854" /codon_start=1 /product="hypothetical protein" /protein_id="RID51168.1" /db_xref="Phytozome:Brara.H01854" /translation="METRSRKRAEATSTAPSSSSSSPPPPPSSGPTTRSKRARLSSPS SSSAAATAPSSSTRSRSSRSTTATAAVTPMDTSTESSGFRRGGGRGNRGNDNTNSDKG KEKEHEVRIRDRERDRARQQLNMDAAAAAAAAADEDDDNDSEDGNGGFMHPNMSSASS ALQGLLRKLGAGLDDLLPSSGIGSGSSSHLNGRMKKVLAGLRSEGEEGKQVEALTQLC EMLSIGTEDSLSTFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCAAVV HYGAVSCFVARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTG VQRVAVSTAANMCKKLPSDASDYVMEAVPVLTNLLQYHDAKVLEYASICLTRIAEAFA SSPDKLDELCNHGLVTQAATLISASNSGGGQASLGVSTYTGLIRLLSTCASGSPLGCR TLLLLGISSILKDILSGSGVSANASISPALSRPADQIFEIVNLANELLPPLPEGSISL PTSANALVKGSGQKNSSPSTSGKQEDSPKVSPREKLLSDQPELLQQFGLDLLPVLVQI YGSSVNGTIRHKCLSVIAKLMYFSTPEMIQSLIGDTNISSFLASVLAWKDPQVLVPAL QVAEILMEKLPETFSKVFVREGVVHAVDQLVLVGKPSSHASTDQENDCVPGSARSRRY RRRSSNANSDGNQSEELKNSVSASIGANHNSMESPTASFMLRETVSSCAKAFKDKHFP SDGGEFDVGVTDDLLHLKNLCTKLTAGTNDHKVKGKGKSKASGPCLGDFSASKEEYLI GIISEILGELSKGDGVSTFEFIGSGVVAALLNYFSYGYFSKEKISEVDLPKLRQDGLR RFKAFLEIALPSDGNEGKIPPMTVLIQKLQDALSSLERFPVVLSHPSRSLSGSARLSS GLSALAHPLKLRLCRAPGEKALRDYSSNIVLIDPLASIAAVEEFLWPRVQRSESGVKP AAPAGNTEPGTLPSGAGVSSPSSSTPASTTRHSSRSRSAIKIGDASKKEPVHEKGTSS SKGKGVMKPAQPDKGPQTRSSAQRKAVLDKDTLMKPASGDSSSEDEEMDISPVDMDDA LVIEEEDISDDDEDDDDEDVLDDNLPMCTPDKVHDVKLGDAVDDEGAGLAPSGRQMNS ALAGSSGTATARGSNSTDAGIGNLYGSRGALSFAAAAMAGLGAASGRGIRGSRDLHGR TLNRSSDESSKLMFTAGGKQLSRHMTIYQAVQRQLMLDEDDDDRLGGSDFISSDGSRL NDIYTIMYQMPDSQANRLSAGGASSTTPSKSTKSATTNASVEAQSYRASLLDSIVQGK LPCDLEKSNSTYNVLALLRVLEGLNQLGPRLRAQTVSDRFAEGKITSLDDLTTAAKVS HEEFINSKLTPKLARQIQDALALCSGSLPSWCYQLTTACPFLFPFQTRRQYFYSTAFG LSRALNRLQQQQGADGSGSTNEREMRIGRLQRQKVRVSRNRILDSAAKVMEMYSSQKA VLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVSLGMWRSNSGDKLSMQTDRDEIQDGKS AAARDRDIVQAPLGLFPRPWPSTADVSEGSRFHKVVEYFRLLGRVMAKALQDGRLMDV PLSTAFYKLILGQELDLHDVILFDAELGKTLQELRVLVGRKHYLEAEGGDNSSVISDL CLRGSRIEDLCLDFTLPGYPEYILRPGDDIVDINSLEDYISLVVDATVKRGVARQIEA FRSGFNQVFDIKSLQIFTPSELDYLLCGRRELWEAETLVEHIKFDHGYTAKSPAIIFL LEIMGELTADQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKLSSTSNAAANGTGASE TADDDLPSVMTCANYLKLPPYSTKEIMYKKLLYAINEGQGSFDLS" gene complement(17543185..17544669) /locus_tag="BRARA_H01855" /db_xref="Phytozome:Brara.H01855" mRNA complement(join(17543185..17543719,17544456..17544669)) /locus_tag="BRARA_H01855" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01855" CDS complement(join(17543336..17543719,17544456..17544533)) /locus_tag="BRARA_H01855" /codon_start=1 /product="hypothetical protein" /protein_id="RID51169.1" /db_xref="Phytozome:Brara.H01855" /translation="MGVLDHVSEMFDCSHGHKIKKRRQLQTVEIKVKMDCEGCERKVR RSVEGMKGVSSVSLEPKAHKVTVVGYVEPNKVVSRMAHRTGKKVELWPYVPYDVVAHP YASGVYDKKAPSGYVRRADDPGVSQLARASSTEVRYTTAFSDENPAACVVM" gene 17546182..17548025 /locus_tag="BRARA_H01856" /db_xref="Phytozome:Brara.H01856" mRNA join(17546182..17546348,17546434..17546510, 17546597..17546681,17546760..17546809,17547002..17547041, 17547130..17547174,17547255..17547320,17547396..17547567, 17547648..17547746,17547854..17548025) /locus_tag="BRARA_H01856" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01856" mRNA join(17546182..17546260,17546434..17546510, 17546597..17546681,17546760..17546809,17547002..17547041, 17547130..17547174,17547255..17547320,17547396..17547567, 17547648..17547746,17547854..17548025) /locus_tag="BRARA_H01856" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01856" CDS join(17546447..17546510,17546597..17546681, 17546760..17546809,17547002..17547041,17547130..17547174, 17547255..17547320,17547396..17547567,17547648..17547746, 17547854..17547913) /locus_tag="BRARA_H01856" /codon_start=1 /product="hypothetical protein" /protein_id="RID51170.1" /db_xref="Phytozome:Brara.H01856" /translation="MASNKQRPAKLSVYLYIPNIVGYMRVVLNCIAFAVCFSNKTLFS LLYFFSFCCDAVDGWCARKFNQVSTFGAVLDMVTDRVSTACLLVILSQIYRPSLVFLS LLALDIASHWLQMYSTFLSGKTSHKDVKDSTSWLFRLYYGNRMFMGYCCVSCEVLYII LFLIAKNHTENLMNVVVESLTQFSPLSLLLALSIFGWSIKQIINVIQMKTAADVCVLY DIEKQHKP" CDS join(17546447..17546510,17546597..17546681, 17546760..17546809,17547002..17547041,17547130..17547174, 17547255..17547320,17547396..17547567,17547648..17547746, 17547854..17547913) /locus_tag="BRARA_H01856" /codon_start=1 /product="hypothetical protein" /protein_id="RID51171.1" /db_xref="Phytozome:Brara.H01856" /translation="MASNKQRPAKLSVYLYIPNIVGYMRVVLNCIAFAVCFSNKTLFS LLYFFSFCCDAVDGWCARKFNQVSTFGAVLDMVTDRVSTACLLVILSQIYRPSLVFLS LLALDIASHWLQMYSTFLSGKTSHKDVKDSTSWLFRLYYGNRMFMGYCCVSCEVLYII LFLIAKNHTENLMNVVVESLTQFSPLSLLLALSIFGWSIKQIINVIQMKTAADVCVLY DIEKQHKP" gene complement(17548026..17550033) /locus_tag="BRARA_H01857" /db_xref="Phytozome:Brara.H01857" mRNA complement(join(17548026..17549244,17549335..17549612, 17549710..17550033)) /locus_tag="BRARA_H01857" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01857" CDS complement(join(17548138..17549244,17549335..17549612, 17549710..17549830)) /locus_tag="BRARA_H01857" /codon_start=1 /product="hypothetical protein" /protein_id="RID51172.1" /db_xref="Phytozome:Brara.H01857" /translation="MSGKVYHGCPNAGNPYHECNYRCLEKINSRDVPKKEKKPFGFGK APWRKDSPPISPVRVAAENRWPLPSYYAKRMVESDESPSFSSSDDTFNANLLSRPPSP LHGNKPESVINWLPMSPSLSVYCKKDSFASNFDHPEMTPRTRPKTPEHSLRTNQHMPR TPDSRPRTPERRSSTTDNSTALETRPRTPEHRSNINDNGPRTPEHARVSLGPRPKTAE RRARSPEHRERSSRQRSKTPEPQGKYLEPRIPQTQPISHSTGLLKSSPETPETRPKAE EYRARNSRPGSRIPQTQPTSFIECKSLAGEETQSMMSESYVSVGSYKVRASVSDTLQQ ILDKHGDIASGSKLESLPTRSYFLETLATVVLQLQSTPLKQLKETHILEMLAVVEDVE SVKIRAGWLREALNEILEAARCYDRHNKTAVEKGMCEREALLGRQEMEKILKEVRWKE DEVKSFRQVLMETAGRLGDLEMKRAGLEKRLAFLSSKVDKFDGESVLEKLF" gene complement(17550309..17553670) /locus_tag="BRARA_H01858" /db_xref="Phytozome:Brara.H01858" mRNA complement(join(17550309..17551180,17551266..17551475, 17551568..17551714,17551802..17551930,17552020..17552145, 17552226..17552363,17552452..17552580,17552703..17552792, 17552892..17553109,17553329..17553410,17553484..17553670)) /locus_tag="BRARA_H01858" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01858" CDS complement(join(17550461..17551180,17551266..17551475, 17551568..17551714,17551802..17551930,17552020..17552145, 17552226..17552363,17552452..17552580,17552703..17552792, 17552892..17553109,17553329..17553368)) /locus_tag="BRARA_H01858" /codon_start=1 /product="hypothetical protein" /protein_id="RID51173.1" /db_xref="Phytozome:Brara.H01858" /translation="MDFFSSKKDGKRSGLFGKKSASKSNPATPPHPAAGRSPPPSYLS NKRAETEYDFPISREQRTYPKQPASERVPNSHPRPPVYGYGTPERRERKERMSYEPET NAPSSPFHPSGNRTPERRRKSSEYSREQQDRTYEADTRSNASPFHPFKSPSPSPYHTP DRHREHDADHYEAMYEPEANNMFQNRAPGSPFRQAGNRSPSPYRTPDRQQSEDLYERD GDVTPRNSSPPSPFHPAANRSPPPQSHRTPDRRRNHPDDEQDGEVTPRTSSPPSPFHP AARRSPPPPQPYRTPDRRRNHPDNQQSEEVYERDGEVTPRNSSPPSPFHPAATRSPPP QQYRTPDRRSNNHNDEQMEAMYEPDGYVMRQDSPPRSPLHGGAYYSSSDDDNHSTYLF PEIGTPTRSIPVSANTTPVHHNYQIIAAETYEQEKQYEPPELADESQSFSIQEIAKMR GLKEESQSMISESYVSVANYRVKSSVAETLQAIISKHGDIAASSKLQSNVTRSYYLES LAAVVMELRTSGLKDLTKTRVAEMAAVVKDMESVKIEVTWLKKAVAELGEAVECAGEY EAAKAEREACERDMKARKGEMEEMREELGKREKEIRECRERVTAVAGKLGQLEMKGSR LSKNLDMFHSKVDKFQGEVVLLHV" gene 17557021..17559443 /locus_tag="BRARA_H01859" /db_xref="Phytozome:Brara.H01859" mRNA join(17557021..17557407,17557509..17557905, 17558253..17558620,17558692..17558928,17559007..17559443) /locus_tag="BRARA_H01859" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01859" CDS join(17557587..17557905,17558253..17558620, 17558692..17558928,17559007..17559225) /locus_tag="BRARA_H01859" /codon_start=1 /product="hypothetical protein" /protein_id="RID51174.1" /db_xref="Phytozome:Brara.H01859" /translation="MLSALMNFLNACLWPHSDSASVSSGGGGRQEGLLWYRDSGHHVF GDFSMAVVQANNLLEDQSQLESGPLSTRGSAGPYGTFLGVYDGHGGPETSRFINDHMF NHLKRFAAEEQCMSPEVIKKAFQATEEGFLSIVTNQFQTRPQIATVGSCCLVTVICDG TLYVANAGDSRAVLGQVMKATGDVHATQLSDEHNASKESVRRELQALHPDHPDIVVLK HNVWRVKGIIQVSRSIGDVYLKRSEFNREPLYAKFRLRAPFSRPLLSAEPAVTVHRLK EQDKFIICASDGLWEHMSNQEAVEMVQNHPRNGIAKRLVKVALQEAAKKREMRYSDLK KIERGVRRHFHDDITVIVVFLDAKPGELRGPVVSVRGAGVNIPKKT" gene complement(17559457..17560544) /locus_tag="BRARA_H01860" /db_xref="Phytozome:Brara.H01860" mRNA complement(join(17559457..17559800,17559911..17559992, 17560091..17560230,17560316..17560395,17560471..17560544)) /locus_tag="BRARA_H01860" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01860" CDS complement(join(17559687..17559800,17559911..17559992, 17560091..17560230,17560316..17560395,17560471..17560501)) /locus_tag="BRARA_H01860" /codon_start=1 /product="hypothetical protein" /protein_id="RID51175.1" /db_xref="Phytozome:Brara.H01860" /translation="MVVVSLTNASGLIRLAHPSHRRIQRFGPSSSKFTLDSSLNGRVS YKPIVGGYPTVSVCFARQSTNLHDEPEPPLWLSLVRDIVGSTGSLFSFMAEQPSQLKF IEWPSFTTTLKTATLSLFLVAVFIVAVSSVDSALCYVLALILRKSL" gene complement(17560649..17563125) /locus_tag="BRARA_H01861" /db_xref="Phytozome:Brara.H01861" mRNA complement(join(17560649..17561107,17561197..17561907, 17561986..17562129,17562391..17562642,17562824..17563125)) /locus_tag="BRARA_H01861" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01861" CDS complement(join(17560859..17561107,17561197..17561907, 17561986..17562129,17562391..17562642,17562824..17562943)) /locus_tag="BRARA_H01861" /codon_start=1 /product="hypothetical protein" /protein_id="RID51176.1" /db_xref="Phytozome:Brara.H01861" /translation="MSRRPNKRQRSSDHSVVRIWERELGQLPNRSFSNRFSASEHLLN RLGLHKKLQEHTGCVNTVSFNADGDILVSGSDDRQVILWDWDTATVKLSFDSGHCNNI FQAKFMPFSDDRSIVTSSADGQVRYSKILESGQVETCMLGIHQGQVHKLAIEPGSPFS FYTCGEDGVVKHFDLRTRVATNLFTCKEAKYNLVVYLNAIAVNPRNPGLLAVAGMDEY ARVYDIRSYRSETWYNYSEPVDHFCPAHLIGSDHVGITGLAYSDQSELLASYTDEFIY LFSPGMGMGPNPPSSSETDTETETETETETEVWKTIQPQVYKEHSNRETVKGVGFFGP KCEYVVSGSDCGRIFIWRKKDGELLRAMEADKHVVNCIESHPHMPLMASSGIETDIKI WTPGGTEELGSPRTAEQASVSGNSRWFMFYDEEGDDHARGYYVADCDDDDEEEEEEDE DDSDDESSDDDESAEEEGDSDVDVEIRKDNDDDDDDDEDQG" gene complement(17563797..17567633) /locus_tag="BRARA_H01862" /db_xref="Phytozome:Brara.H01862" mRNA complement(join(17563797..17564192,17564276..17564410, 17564492..17564545,17564639..17564815,17565018..17565193, 17565282..17565355,17565436..17565543,17565639..17565802, 17565988..17566038,17566230..17566340,17566411..17566465, 17566559..17566672,17566760..17566851,17566952..17567066, 17567155..17567633)) /locus_tag="BRARA_H01862" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01862" CDS complement(join(17564100..17564192,17564276..17564410, 17564492..17564545,17564639..17564815,17565018..17565193, 17565282..17565355,17565436..17565543,17565639..17565802, 17565988..17566038,17566230..17566340,17566411..17566465, 17566559..17566672,17566760..17566851,17566952..17567066, 17567155..17567366)) /locus_tag="BRARA_H01862" /codon_start=1 /product="hypothetical protein" /protein_id="RID51177.1" /db_xref="Phytozome:Brara.H01862" /translation="MVVMEDNESCGSRGISDILPTSQAAIDRRERMKTEVFDEVISRL RQSDTADTHLPGFVDDLWAHFNRLPARYALDVNVERAEDVLMHQRLLHSALDPHNRPV IQVRLVQVQPPTGNISADSISLDSLRTNEPDQASTRKSIHPPPAFGSSPNLEALALAS TISHDEEEGDSSVPNNSRPLHEITFSTIDKPKLLFQLTALLAELGLNIQEAHAFSTTD GFSLDVFVVDGWPYEEVDRLRIALEKEAAKIEDQSWPMQQSFSPEKENGQTGARTVAI PTDGTDVWEINLQQLKFGHKIASGSYGDLYKGTYCSQEVAIKVLKPERLDSELEKEFA QEVFIMRKVRHKNVVQFIGACTKPPHLCIVTEFMPGGSVYDYLHKQKGVFKLPALLKV AIDICKGMNYLHQNNIIHRDLKAANLLMDEHEVVKVADFGVARVKAQTGVMTAETGTY RWMAPEVIEHKPYDHKADVFSYGIVLWELLTGKIPYEYMTPLQAAVGVVQKGLRPTIP KKTHPKMRELMERLWEKDPTLRPDFSEIIEQLQVIAKEVEEEEEEKKRSPTGGGGGIF AALRRSATHH" gene 17572502..17576149 /locus_tag="BRARA_H01863" /db_xref="Phytozome:Brara.H01863" mRNA join(17572502..17572630,17572785..17573049, 17573158..17573300,17573392..17573535,17573620..17573780, 17573863..17573998,17574064..17574160,17574239..17574339, 17574622..17574785,17574898..17574969,17575158..17575274, 17575360..17575577,17575668..17575716,17575801..17576149) /locus_tag="BRARA_H01863" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01863" mRNA join(17572502..17572576,17572785..17573049, 17573158..17573300,17573392..17573535,17573620..17573780, 17573863..17573998,17574064..17574160,17574239..17574339, 17574622..17574785,17574898..17574969,17575158..17575274, 17575360..17575577,17575668..17575716,17575801..17576149) /locus_tag="BRARA_H01863" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01863" CDS join(17572793..17573049,17573158..17573300, 17573392..17573535,17573620..17573780,17573863..17573998, 17574064..17574160,17574239..17574339,17574622..17574785, 17574898..17574969,17575158..17575274,17575360..17575577, 17575668..17575716,17575801..17575812) /locus_tag="BRARA_H01863" /codon_start=1 /product="hypothetical protein" /protein_id="RID51178.1" /db_xref="Phytozome:Brara.H01863" /translation="MESTGSARLVGGNQVSLPLTTTTHHRFAKVKRQGNFQPINDVFA PQAYSLHANPHSVSPFVTRRKSQINSDCGVFKLEEEEDDSLPEVNGVHSGNSRPVDVK RELVMLSLPAIAGQAIDPLTLLMETAYIGRLGSVELGSAAVSMSIFNTISKLFNIPLL SVSTSFVAEDIAKVAAQDLAEEDMHGDRPSQGLPERRQLSSVSTALVLAIGIGIFEAL ALSLLSGPFLRLMGVQSTSEMFNPARQFLVLRALGAPAYVVSLALQGIFRGFKDTKTP VYCLGIGNLLAVFLFPLFIYQFKMGVAGAAISSVISQYTVTILMIVLLNKRVILLPPK MGSLKFGDYLKSGGFVLGRTLSVLMTMTVATSMAARQGAFAMAAHQICMQVWLAVSML TDALASSGQALIASSASKRDFEGVKEVTTFVLKIGVVTGIALAIVLGMSFSSIAGLFS KDPEVLQIVRKGVLFVAATQPITALAFIFDGLHYGMSDFPYAAWSMMVVGGISSAFML YAPAGLGLSGVWVGLSMFMGFRMVAGFGRLMWKKGPWWFMHTSHKRLA" CDS join(17572793..17573049,17573158..17573300, 17573392..17573535,17573620..17573780,17573863..17573998, 17574064..17574160,17574239..17574339,17574622..17574785, 17574898..17574969,17575158..17575274,17575360..17575577, 17575668..17575716,17575801..17575812) /locus_tag="BRARA_H01863" /codon_start=1 /product="hypothetical protein" /protein_id="RID51179.1" /db_xref="Phytozome:Brara.H01863" /translation="MESTGSARLVGGNQVSLPLTTTTHHRFAKVKRQGNFQPINDVFA PQAYSLHANPHSVSPFVTRRKSQINSDCGVFKLEEEEDDSLPEVNGVHSGNSRPVDVK RELVMLSLPAIAGQAIDPLTLLMETAYIGRLGSVELGSAAVSMSIFNTISKLFNIPLL SVSTSFVAEDIAKVAAQDLAEEDMHGDRPSQGLPERRQLSSVSTALVLAIGIGIFEAL ALSLLSGPFLRLMGVQSTSEMFNPARQFLVLRALGAPAYVVSLALQGIFRGFKDTKTP VYCLGIGNLLAVFLFPLFIYQFKMGVAGAAISSVISQYTVTILMIVLLNKRVILLPPK MGSLKFGDYLKSGGFVLGRTLSVLMTMTVATSMAARQGAFAMAAHQICMQVWLAVSML TDALASSGQALIASSASKRDFEGVKEVTTFVLKIGVVTGIALAIVLGMSFSSIAGLFS KDPEVLQIVRKGVLFVAATQPITALAFIFDGLHYGMSDFPYAAWSMMVVGGISSAFML YAPAGLGLSGVWVGLSMFMGFRMVAGFGRLMWKKGPWWFMHTSHKRLA" gene <17581032..>17586856 /locus_tag="BRARA_H01864" /db_xref="Phytozome:Brara.H01864" mRNA join(<17581032..17581076,17581169..17581264, 17581374..17581475,17581624..17581692,17581785..17581880, 17581955..17582062,17582139..17582252,17582344..17582427, 17582654..17582782,17582874..17583072,17583142..17583285, 17583371..17583408,17583548..17583631,17583829..17583897, 17583992..17584068,17584160..17584229,17584435..17584584, 17584780..17584845,17584910..17584930,17586337..17586341, 17586411..17586477,17586563..17586631,17586761..>17586856) /locus_tag="BRARA_H01864" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01864" CDS join(<17581032..17581076,17581169..17581264, 17581374..17581475,17581624..17581692,17581785..17581880, 17581955..17582062,17582139..17582252,17582344..17582427, 17582654..17582782,17582874..17583072,17583142..17583285, 17583371..17583408,17583548..17583631,17583829..17583897, 17583992..17584068,17584160..17584229,17584435..17584584, 17584780..17584845,17584910..17584930,17586337..17586341, 17586411..17586477,17586563..17586631,17586761..17586856) /locus_tag="BRARA_H01864" /codon_start=1 /product="hypothetical protein" /protein_id="RID51180.1" /db_xref="Phytozome:Brara.H01864" /translation="KFFDSHLSPFYRIEQLILATVPDPKTGKAPSIVTEENILLLFDI QEKVDQIRGNYSGSEVPLTDICLKPLGEDCATQSILQYFKMDVGNYDEYGGVEHAEYC FQHYTSSELCLSAFQAPVDPSAVLGGFSGSNYSEATAFVITYPVNNIVGDSSNENARA IAWEKSFIQLAKEELLYMVQSNNLTLSFSSESSIEEELKRESTADVITIAASYLVMFV YISVTLGDAPQLCTFFISSKVLLGLSGVVLVLLSVLGSVGFFSALGVKSTLIIMEVIP FLVLAVGVDNMCILVRAVKRQPRDISLEDRISSALVEVGPSITLASLSEVLAFAVGAF VPMPACRIFSMFAALAILLDFFLQITAFVALIVFDCKRAADNRIDCFPCVKVSSSSQE SVEGGSEPGFLERYMKEVHAPVLGLWVVKMVVVAVFLAFALASIALSPRLETGLEQKI VLPRDSYLQDYFDSLAEYLRVGPPLYFVVKDYNYSLESRHTNQLCSISQCNSNSLLNE ISRASQTPETSYIAKPAASWLDDFLVWLSPEAFGCCRKFTNGSYCPPDDQPPCCTADD DICSLDGICKDCTTCFRHSDLQSGITLTKLVGVMVLCFARSEIFVVYYFQMYLALVII GFLHGLVFLPVILSLAGPPQIYLDTEEEEQGRDGASSSLLN" gene complement(<17587200..>17587656) /locus_tag="BRARA_H01865" /db_xref="Phytozome:Brara.H01865" mRNA complement(join(<17587200..17587259,17587354..>17587656)) /locus_tag="BRARA_H01865" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01865" CDS complement(join(17587200..17587259,17587354..17587656)) /locus_tag="BRARA_H01865" /codon_start=1 /product="hypothetical protein" /protein_id="RID51181.1" /db_xref="Phytozome:Brara.H01865" /translation="MTTIQTGPKTQKPSPSTLKQPSSSIKRWGRRHPFVRYGLPMISL TVFGALGLSQLLQGSKDIAKVKDDQEWEIIETRKALSRTGPLDAYQPKHTSIQDELKA MQGKVDISTYEYKKIPKP" gene 17589786..17594221 /locus_tag="BRARA_H01866" /db_xref="Phytozome:Brara.H01866" mRNA join(17589786..17590130,17590196..17590369, 17590468..17590629,17590809..17590951,17591149..17591224, 17591313..17591482,17591605..17591686,17592361..17592438, 17592775..17592936,17593021..17593284,17593563..17593667, 17593782..17594221) /locus_tag="BRARA_H01866" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01866" CDS join(17589807..17590130,17590196..17590369, 17590468..17590629,17590809..17590951,17591149..17591224, 17591313..17591482,17591605..17591686,17592361..17592438, 17592775..17592936,17593021..17593284,17593563..17593667, 17593782..17593928) /locus_tag="BRARA_H01866" /codon_start=1 /product="hypothetical protein" /protein_id="RID51182.1" /db_xref="Phytozome:Brara.H01866" /translation="MIEEELGFAKSALKQAIGLLPENALVGFVSFGTQAHVHELGFSE MSKVFVFRGNKEVSKDQVLDQLGLSSRRAPTSGFPKGAQNGFQSAAGVNRFLLPASDC EYTLDLLLDELQSDQWPVQPGHRSQRCTGVALSVAAGLLGACLPGTGARIVALVGGPC TEGPGTIISKDLSEPVRSHKDLDKDAAPYYKKAVKFYDSIAKQLVAQGHVLDLFASAL DQVGVAEMKVAVESTGGLVVLSESFGHSVFKDSFKRVFEDGEQSLGLCFNGSLEINCS KDIKIQGVIGPCSSLEKKGPSVADTVIGEGNTNAWKLCGLDKSTCLTVFFDLSSTGSN APGTVNPQFYLQFVTSYQNPEGQTLIRVTTITRQWVDTAVSTEELVQGFDQETAAVVM ARLASLKMETEEGFDATRWLDRTLIRLCSKFGDYRKDDPSSFTLNPYFSLFPQFIFNL RRSQFVQVFNNSPDETAYFRMLLNRENISNATVMIQPSLTSYTFSSPPQPALLDVASI AADRILLLDAYFSVVVFHGMTIAQWRNMGYHHQAEHEAFAQLLQAPQEDSQMIVRERF PVPRLVVCDQHGSQARFLLAKLNPSATYNNANEMSAGSDVIFTDDVSLQVFFEHLQKL AVQS" gene complement(17594677..17597163) /locus_tag="BRARA_H01867" /db_xref="Phytozome:Brara.H01867" mRNA complement(join(17594677..17595108,17595215..17595343, 17595430..17595572,17595654..17595771,17595854..17595928, 17596072..17596164,17596258..17596387,17596482..17596570, 17596661..17596756,17596831..17597163)) /locus_tag="BRARA_H01867" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01867" CDS complement(join(17594806..17595108,17595215..17595343, 17595430..17595572,17595654..17595771,17595854..17595928, 17596072..17596164,17596258..17596387,17596482..17596570, 17596661..17596756,17596831..17597118)) /locus_tag="BRARA_H01867" /codon_start=1 /product="hypothetical protein" /protein_id="RID51183.1" /db_xref="Phytozome:Brara.H01867" /translation="MRAIQIVTTRLSSCLRPIRFEFVSSSSSPRLLSNSRRLICTAAA TKSDGGRSGSIVAPLVENEEVQKIDVNPPKGTRDFAPEDMRLRNWLFNHFKEVSRLFG YEEVDYPVLETEALFIRKAGEEIRDQLYCFEDRGKRRVALRPELTPSLARLVIQKGKS VSLPLKWFAIGQCWRYERMTRGRRREHYQWNMDIIGVPHVTAEAELISAIVTFFKRIG ITASDVGFKVSSRKVLQEMLRKYGVPENLFGRVCIIIDKIEKIPIDEIKKELGFTGIS EDAIEQLLQVLSVKSLDDLEDVLGGAGEAIADLKQLFSLAEKFGYSEWIQFDASVVRG LAYYTGIVFEGFDRKGNLRAICGGGRYDRLLPTYGGDDIPACGFGFGDAVIVELLKEK NLLPELGQEVENIVCALEKDLQGAAATVATALRSKGQTVDLVLESKPLKWVFKRAARI NARRLILVGKTEWEDGSVSVKVLSTGEQFQVKLNDLE" gene complement(17599455..17602542) /locus_tag="BRARA_H01868" /db_xref="Phytozome:Brara.H01868" mRNA complement(join(17599455..17599940,17600022..17601236, 17601465..17601629,17601739..17601927,17602387..17602542)) /locus_tag="BRARA_H01868" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01868" CDS complement(join(17599600..17599940,17600022..17601236, 17601465..17601629,17601739..17601927,17602387..17602426)) /locus_tag="BRARA_H01868" /codon_start=1 /product="hypothetical protein" /protein_id="RID51184.1" /db_xref="Phytozome:Brara.H01868" /translation="MDSQEHNITQHSPGGGKSHVCSKCGWNYPNPHPSAKNRRAHKKI CGTIKGFEILGSDHQNLDFQKGQSLDDEPKTPSPRVVDERIGDIISEEDVFADAVCEF SSSVVSDSVKEKEEEETPANGMAKSATDLGKTQECNKSSEVVKESLDVLLPVQVLENF PSSAEAADTCGESSSQVIHDGRSTISNVGLETECKGNVADESESMLAASALGKRVDTS WNDEVIYSDLEGPNEFAFAVEEMNMNPPGPGEADYTTMSDKNPVADQVINNTSLSALD AIPFAENAHVSFHGTKALEDELPLEDLSIVSEVPSPDKTEPQGQSPSAENIVMPKIDP EETVESSFGVGAFQETVESETVRTAVDPVVADSNADVSHSDLISPESELTQANVVAEE KSTISELSSQSSCAVEHYVSPVSVVSEVDEPSVHNKNSTETSKDSILQTNAEACESTD EEDCTKTNQKLVESGRTESNRVVGGLGVIQANEIDGNDKAHNNYAEVPVTIGSNDHRD YGRLQNLSEAHVRSLVLSPIVTTSNAVSGHSEPENESQSSSLDVCLSKNQEITTSWST AKEQHVPLKNLLNEARSPRAESTTNIPRVSSILEQGTSPEDEGGWPERREVSEEWNSP AKYPVERKVKGRPFWVPFVCCSSAK" gene complement(<17603654..17607022) /locus_tag="BRARA_H01869" /db_xref="Phytozome:Brara.H01869" mRNA complement(join(<17603654..17603762,17605186..17605240, 17605367..17605522,17605814..17605871,17606135..17606223, 17606321..17606453,17606536..17606727,17606881..17607022)) /locus_tag="BRARA_H01869" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01869" CDS complement(join(17603654..17603762,17605186..17605240, 17605367..17605522,17605814..17605871,17606135..17606223, 17606321..17606453,17606536..17606700)) /locus_tag="BRARA_H01869" /codon_start=1 /product="hypothetical protein" /protein_id="RID51185.1" /db_xref="Phytozome:Brara.H01869" /translation="MVVFGNVSAANLPYQNGFLKAISSGGCDLMGHRSFKISTSFKTR TRRRRSAGPLQVVCVDIPRPELENTVNFLEAASLSASFRSAPRPAKPLKVVIAGAGLA GLSTAKYLADAGHKPLLLEARDVLGGKIAAWKDEDGDWYETGLHIFFGAYPNVQNLFG ELGINDRLQWKEHSMIFAMPSKPGEFSRFDFPDVLPAPLNGIWAILRNNEMLTWPEKI NPPIFQVWLTELILQDYELLAASSGPQKLSETTLST" gene 17609578..17611765 /locus_tag="BRARA_H01870" /db_xref="Phytozome:Brara.H01870" mRNA join(17609578..17609920,17610071..17610117, 17610184..17610246,17610311..17610431,17610513..17610727, 17610916..17610996,17611075..17611348,17611453..17611765) /locus_tag="BRARA_H01870" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01870" CDS join(17609753..17609920,17610071..17610117, 17610184..17610246,17610311..17610431,17610513..17610727, 17610916..17610996,17611075..17611339) /locus_tag="BRARA_H01870" /codon_start=1 /product="hypothetical protein" /protein_id="RID51186.1" /db_xref="Phytozome:Brara.H01870" /translation="MSSFSYASAFNLSEKSPPFNPAIASSSSSSSAFDDDDTDDACSI CLESFTPQDPATVTSCKHEYHLQCIIEWSQRSKECPICWQFFVLKDPLSQELLAAVDK ERLMKTTNMRSPSSPRSSIPHSNEEDFHSEEEESSFDEQFLRHLTEAAHRRCLLRTRE APIPSNDPTPSDMPNLGHNISAVISHVQHQNANPPSPADTSNGSRISPGPSPSEASSL PEAIKSKLAAASARYKESISKSKQGLKEKLLARNNSVKELSKGVQREMNAGIAGVTRM MERFDIASKRFGGSTTSGFNFSFKGKREEAEATSNNGNKNRIP" gene <17612338..>17612730 /locus_tag="BRARA_H01871" /db_xref="Phytozome:Brara.H01871" mRNA <17612338..>17612730 /locus_tag="BRARA_H01871" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01871" CDS 17612338..17612730 /locus_tag="BRARA_H01871" /codon_start=1 /product="hypothetical protein" /protein_id="RID51187.1" /db_xref="Phytozome:Brara.H01871" /translation="MTGEPSLCINGCGFFSTPQTKNLCSKCYNSFLKDESARYLDTIR DHMTTATMVEKSEEAVVVVKNKKRSRCNACNKKVGLLGFECRCGHVFCGSHRHPEEHS CLSDYKSAAITELTIQNPVIKPDKLYRI" gene complement(17613556..17615849) /locus_tag="BRARA_H01872" /db_xref="Phytozome:Brara.H01872" mRNA complement(join(17613556..17613817,17613920..17614364, 17614448..17614521,17614602..17614689,17614760..17615097, 17615170..17615253,17615323..17615399,17615485..17615849)) /locus_tag="BRARA_H01872" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01872" CDS complement(join(17613752..17613817,17613920..17614364, 17614448..17614521,17614602..17614689,17614760..17615097, 17615170..17615253,17615323..17615399,17615485..17615728)) /locus_tag="BRARA_H01872" /codon_start=1 /product="hypothetical protein" /protein_id="RID51188.1" /db_xref="Phytozome:Brara.H01872" /translation="MHPFNAMMAARMLTAVGKSNGLESETIPFGSLWWSIYAGVSCFL VLFAGIMSGLTLGLMSLSLVDLEILQRSGSPKEKKQSAAILPVVQKQHQLLVTLLLFN ALAMEGLPIYLDKIFNEYVAIILSVTFVLFVGEVIPQAICSRYGLAVGANLVWLVRIL MILSYPISFPVAKMLDCVLGHGDPLFRRAQLKALVSIHGEAAGKGGELTHDETTIISG ALDLTAKTAREAMTPIESIFSLDMNSKLDWEAMDKIQTRGHSRIPVYSDNPKNVVGLL LVKSLLTVRPESDTLVSTVGIRHIPRVPADMPLYDILNEFQKGSSHMAAVVKVNVKRK GSLSTLLEEESTSDSKLTTPLLLKREGNQDNVVVDIDKISNSGFSHTSEEIEDGEVIG VITLEDVFEELLQEEIVDETDEYVDVHKRIRVATVAAVAISSLARAPSSRRLLGQKGT GGPKTPKASSTTPKTGQDKPI" gene complement(17618922..17622795) /locus_tag="BRARA_H01873" /db_xref="Phytozome:Brara.H01873" mRNA complement(join(17618922..17619486,17619575..17619742, 17620138..17620208,17620287..17620344,17620427..17620585, 17620675..17620773,17620914..17620994,17621066..17621189, 17621444..17621538,17621668..17621794,17622022..17622128, 17622228..17622309,17622525..17622795)) /locus_tag="BRARA_H01873" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01873" mRNA complement(join(17618922..17619486,17619575..17619742, 17619855..17619884,17620138..17620208,17620287..17620344, 17620427..17620585,17620675..17620773,17620914..17620994, 17621066..17621189,17621444..17621538,17621668..17621794, 17622022..17622128,17622228..17622309,17622525..17622795)) /locus_tag="BRARA_H01873" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01873" CDS complement(join(17619133..17619486,17619575..17619742, 17620138..17620208,17620287..17620344,17620427..17620585, 17620675..17620773,17620914..17620994,17621066..17621189, 17621444..17621538,17621668..17621794,17622022..17622128, 17622228..17622309,17622525..17622562)) /locus_tag="BRARA_H01873" /codon_start=1 /product="hypothetical protein" /protein_id="RID51190.1" /db_xref="Phytozome:Brara.H01873" /translation="MEQLVNFIIRPPRAEYNPEHDLLEHEFLLKGRWYQRKDLEVKNS RGDTLKCSHYMPVEHSEDRRPLPCVVYCHGNSGCRADASEAAIVLLPSNITIFTLDFS GSGLSGGDYVTLGSNEKDDLKAVVEYLRTDVNVSLIGLWGRSMGAVTSLMYGAEDPSI AAMVLDSPFSDLVDLMMELVDTYKFPLPKFTIKFAIQYMRRAVQKKAKFDITDLNTIK VAKSCFVPVLLGHAIDDDFIYPHHSERIYEAYVGDKNIIKFEGDHNSQRPQFYFDSIN IFFHNVLQPPEVAGPTTLFDPLADYFSKGSWNTMKEVNSPPPSSAQKSIAAGSTSDVI NDVRMKRPMSRTEEKEGSSSSSCDMISFDLSNGDPYPPNLAVALDDDQYVEFQVEDLA DFPSNAEEEERMLMEAVMKSLEAETHQNKEFSRTNREKIEEKESNAAAASTTGPVSEK DSASGPTLMNHHDAQADDATLKISDSLRGTNDESIDVSARTKATVTVVGRSSTSGNGL LRRWDLNFFKSR" CDS complement(join(17619133..17619486,17619575..17619742, 17619855..17619884,17620138..17620208,17620287..17620344, 17620427..17620585,17620675..17620773,17620914..17620994, 17621066..17621189,17621444..17621538,17621668..17621794, 17622022..17622128,17622228..17622309,17622525..17622562)) /locus_tag="BRARA_H01873" /codon_start=1 /product="hypothetical protein" /protein_id="RID51189.1" /db_xref="Phytozome:Brara.H01873" /translation="MEQLVNFIIRPPRAEYNPEHDLLEHEFLLKGRWYQRKDLEVKNS RGDTLKCSHYMPVEHSEDRRPLPCVVYCHGNSGCRADASEAAIVLLPSNITIFTLDFS GSGLSGGDYVTLGSNEKDDLKAVVEYLRTDVNVSLIGLWGRSMGAVTSLMYGAEDPSI AAMVLDSPFSDLVDLMMELVDTYKFPLPKFTIKFAIQYMRRAVQKKAKFDITDLNTIK VAKSCFVPVLLGHAIDDDFIYPHHSERIYEAYVGDKNIIKFEGDHNSQRPQFYFDSIN IFFHNVLQPPEVAGPTTLFDPLADYFSKGSWNTMKEVNSPPPSSAQKSIAAGSTSDVI NDVRMKRPMSRTEVPSNFPSDTKEKEGSSSSSCDMISFDLSNGDPYPPNLAVALDDDQ YVEFQVEDLADFPSNAEEEERMLMEAVMKSLEAETHQNKEFSRTNREKIEEKESNAAA ASTTGPVSEKDSASGPTLMNHHDAQADDATLKISDSLRGTNDESIDVSARTKATVTVV GRSSTSGNGLLRRWDLNFFKSR" gene 17624353..17626249 /locus_tag="BRARA_H01874" /db_xref="Phytozome:Brara.H01874" mRNA join(17624353..17624702,17625073..17626249) /locus_tag="BRARA_H01874" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01874" CDS join(17624481..17624702,17625073..17625999) /locus_tag="BRARA_H01874" /codon_start=1 /product="hypothetical protein" /protein_id="RID51191.1" /db_xref="Phytozome:Brara.H01874" /translation="MDSDQGKLFVGGISWETDEDKLREHFSSYGDVSQAIVMRDKLTG RPRGFGFVIFSDPSLLDRVLQDKHHIDSREVDVKRAMSREEQQVSGRSGSFNATRGSG GDAYNKTKKIFVGGLPPTLTDEEFRLYFEQYGPVADVVIMHDQTTSRPRGFGFVSFDS EDAVDRVLQKNFHDLNGKQVEVKRALPKDANPGGAGRAMGGGGGGGYQGFGGSEGGFD GRMDFNRYMQPQNVGNGLPSYGGSSGYAAGGGYGNGSNGAGFGGYGGYGAGAGAAYGA TGMPGGGYGSSVAPRNAWDTPSAPSGYGNPGGYGNGAAQSGYGAPPAQTQYGYGGYSG SGDAGYGNQAAYGAVGGRPSVGGLNNPGGGYMGGYDPSQGYGLGRQGQ" gene complement(<17626706..>17627077) /locus_tag="BRARA_H01875" /db_xref="Phytozome:Brara.H01875" mRNA complement(<17626706..>17627077) /locus_tag="BRARA_H01875" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01875" CDS complement(17626706..17627077) /locus_tag="BRARA_H01875" /codon_start=1 /product="hypothetical protein" /protein_id="RID51192.1" /db_xref="Phytozome:Brara.H01875" /translation="MGRLVNGAGLLVLLCFHVFVVSNVAARDGVMSFGKDEEEKTFIG GGKGFGGGFGKGGGIAGGIGKGGGFLGGGFGGGGIGKGGGFGGGFGGGIGKGFGIGKG GGFGKGIGKGFGFDGGKIGHN" gene complement(17628644..17632038) /locus_tag="BRARA_H01876" /db_xref="Phytozome:Brara.H01876" mRNA complement(join(17628644..17628907,17628988..17629510, 17629634..17630250,17630602..17632038)) /locus_tag="BRARA_H01876" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01876" CDS complement(join(17628988..17629510,17629634..17630250, 17630602..17631999)) /locus_tag="BRARA_H01876" /codon_start=1 /product="hypothetical protein" /protein_id="RID51193.1" /db_xref="Phytozome:Brara.H01876" /translation="MSASSTRRRLKDMNTGNGENQSSGKKPLRSATPLPISTTLQKSS SSKENPKPSHRPSFGSTQKPLLRQVQRIDKSAAKGVGDGEGRVTRSKSSGIRGRSSSP SDLIRVFSDLRKRNESRVQSDQDKSCDRVCEETGEESKGKTNPSSSKLEGLVPKADAL LGFGEKSDCKAEKIVKVASGGALRRKSIDNVGKAMEGSGNVATTKYQSKLHEKLAFLE GKVKKIASDIKKTKDMLDLNNQDSSQGMISDLHQKITGIEKSMIHVVGGSEEGKNKAA KAKASVKGLNKEELEDRLFPHQRLLRSRTQSKAVSQVSKGQGFGESSSKAVNVEVKPS GPVEENPIALEFLASLEKEKVVLESHQNVMENLEVQEMDTEEASKENNPSKDVSLTSN LAEILRADEDLEEIDEEEKGDEMELEEIENECMYQLNDIGSKTSTGGWFVSEGEAVIL AHDDGSCSYYDVANSEVKSVYSPPDDISPNTWRDCWVVRAPGADGCSGRYVVAASAGN TMESGFCSWDFYTKDIKALHIEDGSSRVPRTALAPLSNNTSHGRNTLACSLLPEAQQW WYRPCGPLIASTASFQSVVKVFDIRDGEQIMRWEVQNCVSGLDHSSPLQWRNRGKLVI AETETISVWDVNSLHPESLLTISSPGRKISAFHVNNTDAEVGGGVRQRASSMDAEGND GVFCTTDSINIMDFRNPSGIGAKIPKLGVNAQCISSRGDSVFVGTNPKSSSAKKSVGY SSQVLQFSLRKQRLVSTYNLPDSNTHSHHSAITQVWGNSNFVMATSGMGLFVFDTSKE ETSIGSDSGTVKEVIGPNDMYCPSFDYASSRVLLISRDRPALWRHIL" gene 17632442..17632809 /locus_tag="BRARA_H01877" /db_xref="Phytozome:Brara.H01877" mRNA 17632442..17632809 /locus_tag="BRARA_H01877" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01877" CDS 17632470..17632652 /locus_tag="BRARA_H01877" /codon_start=1 /product="hypothetical protein" /protein_id="RID51194.1" /db_xref="Phytozome:Brara.H01877" /translation="MANECGGIGAGSILAIVVVAMMLLFVPLMIGPVAPPTPPLILVF PIVLLFVFLYLHFTSK" gene complement(17633836..17635058) /locus_tag="BRARA_H01878" /db_xref="Phytozome:Brara.H01878" mRNA complement(join(17633836..17634079,17634157..17634231, 17634435..17634614,17634994..17635058)) /locus_tag="BRARA_H01878" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01878" CDS complement(join(17634020..17634079,17634157..17634231, 17634435..17634614,17634994..17634996)) /locus_tag="BRARA_H01878" /codon_start=1 /product="hypothetical protein" /protein_id="RID51195.1" /db_xref="Phytozome:Brara.H01878" /translation="MVNIPKTKKTYCKNKECKKHTLHKVTQYKKGKDSLAAQGKRRYD RKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQTCKHFSQHSIKRCKHFEIGGDKKGKGT SLF" gene 17636626..17639995 /locus_tag="BRARA_H01879" /db_xref="Phytozome:Brara.H01879" mRNA join(17636626..17637378,17637881..17638036, 17638119..17638226,17638310..17638420,17638501..17639995) /locus_tag="BRARA_H01879" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01879" CDS join(17636764..17637378,17637881..17638036, 17638119..17638226,17638310..17638420,17638501..17639565) /locus_tag="BRARA_H01879" /codon_start=1 /product="hypothetical protein" /protein_id="RID51196.1" /db_xref="Phytozome:Brara.H01879" /translation="MAPTPSSSSSAKSNQTQFTLIKTPQTKHRLNFHLKPPNPDHTPP EHPVEVIGRIRDHPDRKEKPPSIFQANPDNNQTVRVRADAVYRDFTLDGVSFSEEEGI ESFYKRFVEERIKGVKVGEKCTVVMYGPTGAGKSHTMFGGCGERGKKEAGIVYRSLRE ILDGGVVAFVQVTVLEVYNEEIYDLLSTSCSNSLGIGCPKGGTSKARLEVMGKKAKNA TFISGTDAGKISKEIAKVEKRRIVKSTLCNERSSRSHCIIILDVPTVGGRLMLVDMAG SENIDRAGQTGFEAKMQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFE DDKSKILMILCASPDPKEMHKTLCTLEYGAKAKCIVRGSHTPNKDKNGGDESSSRIAA MDEFISKLQSEKKQQEKERNDAQKQLKKKEEEVAALRSLLLQKEACAATNEEEAIEEK VNERTQCLKAELEKKLEECRRMAEEFVEMERRRMEERIVQQQEELEMMRRRLEEIEVE FRRSRGTTDETSGFAKRLRSLYSDDDMVKSMDLDMGKSMDLDMGDPVWGSAVSYQPSN TISSNLSNVLQPKPQENMVAQMYPDRVCLSTVFEEEEVEEDEEKVIVEDKSICSVTTR PMPSLNFGGLGKENCVNSTAGDKEPASCRKLRIENIFTLCGNQRELSQHTGKDEVLQD KN" gene complement(17640016..17643026) /locus_tag="BRARA_H01880" /db_xref="Phytozome:Brara.H01880" mRNA complement(join(17640016..17640540,17640660..17640790, 17640877..17640940,17641042..17641115,17641202..17641328, 17641424..17641508,17641603..17641666,17641739..17641967, 17642058..17642206,17642295..17642364,17642450..17642490, 17642573..17643026)) /locus_tag="BRARA_H01880" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01880" CDS complement(join(17640298..17640540,17640660..17640790, 17640877..17640940,17641042..17641115,17641202..17641328, 17641424..17641508,17641603..17641666,17641739..17641967, 17642058..17642206,17642295..17642364,17642450..17642490, 17642573..17642654)) /locus_tag="BRARA_H01880" /codon_start=1 /product="hypothetical protein" /protein_id="RID51197.1" /db_xref="Phytozome:Brara.H01880" /translation="MDQEVVIGGKFKLGRKLGSGSFGELYLGINIQTGEEVAVKLEPV KTRHPQLQYESKIYMFLQGGTGVPHLKWFGVEGEYSCMVIDLLGPSLEDLFNYSNRIF SLKSVLMLADQLICRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYKD LQTQKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGL RGGTKKQKYDKISEKKMLTPAEILCKSYPSEFTSYFHYCRSLRFEDKPDYSYLRRLFR DLFIREGYQLDYVFDWTNLKYPQTGSSSRPRPTPRPALDLTGSPADRSQKPTVGKDLR ERFSGAIEALTRRNVSSQGAAHGDRSTRYRSSDVKKDKQESSDRHRSSSRNGSRGVMS SSRPVSSAEPSENRSSRLFSSTSQRVQQSSESKPSSAAAGHEDAIRRFELLTIGSGKK RK" gene complement(<17643904..>17650443) /locus_tag="BRARA_H01881" /db_xref="Phytozome:Brara.H01881" mRNA complement(join(<17643904..17644022,17644104..17644160, 17644246..17644330,17644413..17644460,17644578..17644691, 17644793..17644924,17645045..17645129,17645207..17645286, 17645371..17645590,17645691..17645719,17649530..17649742, 17649807..17649890,17649973..17650147, 17650226..>17650443)) /locus_tag="BRARA_H01881" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01881" CDS complement(join(17643904..17644022,17644104..17644160, 17644246..17644330,17644413..17644460,17644578..17644691, 17644793..17644924,17645045..17645129,17645207..17645286, 17645371..17645590,17645691..17645719,17649530..17649742, 17649807..17649890,17649973..17650147,17650226..17650443)) /locus_tag="BRARA_H01881" /codon_start=1 /product="hypothetical protein" /protein_id="RID51198.1" /db_xref="Phytozome:Brara.H01881" /translation="METAKAWVKKLKSKDKVKKKEAAAAAAKEVVPKPPGGEEALSNV TKEKAAAAKLYIENHYKMQMQSLQERKERRKMLENKLADAQVSEEEQSNLLKDLELKE TEYMRRQRHKMGADDFEPLTMIGKGAFGEVRICREKGTGNVYAMKKLKKSEMLRRGQV EHVKAERNLLAEVDSNCIVKLYCSFQDDEYLYLIMEYLPGGDMMTLLMRKDTLTEDEA RFYIGETVLARDSIHKHNYIHRDIKPDNLLLDREGHMKLSDFGLCKPLDCSNLQEKDF TVARNVSGALQSDGRPVATRRTQQEQLLNWQRNRRMLAYSTVGTPDYIAPEVLLKKGY GMECDWWSLGAIMYEMLVGFPPFYSDDPMTTCRKIVNWRNYLKFPEEARLSPEAKDLI CRLLCNVEQRLGTKGADEIKGHPWFRGTEWGKLYQMKAAFIPQVNDEMDTQNFEKFEE ADKQVPKSSKSGPWRKMLSSKDINFVGYTYKNVEIVNDDQLTGIAELKKKKTKPKRPS IKSLFEEDESSEGKTTQQGSFLNLLPTQMEDAEKEGSKSSSSGG" gene complement(17653854..17656091) /locus_tag="BRARA_H01882" /db_xref="Phytozome:Brara.H01882" mRNA complement(join(17653854..17654275,17655223..17656091)) /locus_tag="BRARA_H01882" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01882" CDS complement(join(17653988..17654275,17655223..17656035)) /locus_tag="BRARA_H01882" /codon_start=1 /product="hypothetical protein" /protein_id="RID51199.1" /db_xref="Phytozome:Brara.H01882" /translation="MLGGLYGDLPPPSDDDKPTGNSSSSVWSSSTKMAPPTLRKPPAF APTQTIIRPQSKPKPLPSQYKPPPPSSSSSQSILTPAASQPALVGVTSSVIEEYDPAR PNDYEDYRREKKKKAMEAEMKRELDKRRHEEEERDRERPERERESFDQTPLNISGEEA WKRRAAMSGGGRSSSPPGNNVDNGFSMGTSETSGLGVGAGGQMTAAERMMAKMGWKQG QGLGKSEQGITTPLMAKKTDRRAGVIVNASEKKVVKGVSISGEPTRVLLLRNMVGPGE VDDELEEEVGTECGKYGTVTRVLIFEITEPNFPTHEAVRIFVQFSRPEETTKALVDLD GRFFGGRTVRATFYDEVKFSKNELAPVPGEIP" gene 17656227..17657831 /locus_tag="BRARA_H01883" /db_xref="Phytozome:Brara.H01883" mRNA join(17656227..17656335,17656480..17656570, 17656748..17656914,17657062..17657137,17657432..17657831) /locus_tag="BRARA_H01883" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01883" CDS join(17656483..17656570,17656748..17656914, 17657062..17657137,17657432..17657613) /locus_tag="BRARA_H01883" /codon_start=1 /product="hypothetical protein" /protein_id="RID51200.1" /db_xref="Phytozome:Brara.H01883" /translation="MVVMNPSSLCIGAQPLVYLPPRFNHRPANGQFRGRYYPTRVSMQ FQKKQIMFPSLRAVGTRAVARKGLDFVSKNTRPLFVCNAALNAKCSQGQTQTITRESP TITQAPTHGKVKSPKLDDGGSGFPPRHDGGGGGGGGGGESFSGGFFLFGFLMLMGYLK DLEGEHDNSH" gene complement(17657869..>17663487) /locus_tag="BRARA_H01884" /db_xref="Phytozome:Brara.H01884" mRNA complement(join(17657869..17658774,17658885..17658955, 17659049..17659081,17659241..17659352,17660960..17661063, 17661181..17661278,17661607..17661806,17661912..17662006, 17662148..17662264,17662587..17662625,17662955..17662964, 17663059..17663145,17663270..17663373, 17663449..>17663487)) /locus_tag="BRARA_H01884" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01884" mRNA complement(join(17657869..17658777,17658885..17658955, 17659049..17659081,17659241..17659352,17660960..17661063, 17661181..17661278,17661607..17661806,17661912..17662006, 17662148..17662264,17662587..17662625,17662955..17662964, 17663059..17663145,17663270..17663373, 17663449..>17663487)) /locus_tag="BRARA_H01884" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01884" mRNA complement(join(17657869..17658777,17658885..17658955, 17659049..17659081,17659241..17659360)) /locus_tag="BRARA_H01884" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01884" CDS complement(join(17658108..17658774,17658885..17658955, 17659049..17659081,17659241..17659352,17660960..17661063, 17661181..17661278,17661607..17661806,17661912..17662006, 17662148..17662264,17662587..17662625,17662955..17662964, 17663059..17663145,17663270..17663373,17663449..17663487)) /locus_tag="BRARA_H01884" /codon_start=1 /product="hypothetical protein" /protein_id="RID51203.1" /db_xref="Phytozome:Brara.H01884" /translation="MFWRMAGLSTASAVEAILDKDGFTLEELLDEDEIIQECKSLNGR LLNFLRERVQVEQLIRYIIEEPLDDAEKKRTFKFPFQLVDLIGITSIMEVIKRLLGTD EHLYSNYASSMQWVEDTDVLEMIVDKFGSSESPEVHANAAEILCTVARYAPPGLATKL SSPSCTGRLLKHTLEDSRPKSVLVNSLSVCISLLDPKRFTLGTYHIYGRQLTQGSMVT NPETVEGMLGSLGDLLMLLNVSSTEGVLLTTYGKLQPPLGKHRLKIVEFISVLLTVGS EAAEKEIIRLGVVKRVLDLFFEDSDDDDYHDRDYDVAALANNLSQAFRYGVYNNDDMD ETQGSMERGDDEDVYFDDESAEVVISSLRLGDDQESSLFTNSNWFAFDDEKVANEQSM ASPSPSADEDGDVVIGEADDDFKDSVASSSPDDMETEDSTSKNPSENPSEPEAEKSPA WVEWRETSESTEPSSNTDEASILPNGQVQNEKEDKVDDTNKEGAKDSSPGACGDETIE KLSDAGDVATTESSPDASEAEITEKLKDSSDDASKPGAESDENAQSSEPAISEDSDKR QEADVAAKADDKETEEAVKEPEKVV" CDS complement(join(17658108..17658777,17658885..17658955, 17659049..17659081,17659241..17659352,17660960..17661063, 17661181..17661278,17661607..17661806,17661912..17662006, 17662148..17662264,17662587..17662625,17662955..17662964, 17663059..17663145,17663270..17663373,17663449..17663487)) /locus_tag="BRARA_H01884" /codon_start=1 /product="hypothetical protein" /protein_id="RID51202.1" /db_xref="Phytozome:Brara.H01884" /translation="MFWRMAGLSTASAVEAILDKDGFTLEELLDEDEIIQECKSLNGR LLNFLRERVQVEQLIRYIIEEPLDDAEKKRTFKFPFQLVDLIGITSIMEVIKRLLGTD EHLYSNYASSMQWVEDTDVLEMIVDKFGSSESPEVHANAAEILCTVARYAPPGLATKL SSPSCTGRLLKHTLEDSRPKSVLVNSLSVCISLLDPKRFTLGTYHIYGRQLTQGSMVT NPETVEGMLGSLGDLLMLLNVSSTEGVLLTTYGKLQPPLGKHRLKIVEFISVLLTVGS EAAEKEIIRLGVVKRVLDLFFEDSDDDDYHDRDYDVAALANNLSQAFRYGVYNNDDMD ETQGSMERGDDEDVYFDDESAEVVISSLRLGDDQESSSLFTNSNWFAFDDEKVANEQS MASPSPSADEDGDVVIGEADDDFKDSVASSSPDDMETEDSTSKNPSENPSEPEAEKSP AWVEWRETSESTEPSSNTDEASILPNGQVQNEKEDKVDDTNKEGAKDSSPGACGDETI EKLSDAGDVATTESSPDASEAEITEKLKDSSDDASKPGAESDENAQSSEPAISEDSDK RQEADVAAKADDKETEEAVKEPEKVV" CDS complement(join(17658108..17658777,17658885..17658955, 17659049..17659081,17659241..17659249)) /locus_tag="BRARA_H01884" /codon_start=1 /product="hypothetical protein" /protein_id="RID51201.1" /db_xref="Phytozome:Brara.H01884" /translation="MDETQGSMERGDDEDVYFDDESAEVVISSLRLGDDQESSSLFTN SNWFAFDDEKVANEQSMASPSPSADEDGDVVIGEADDDFKDSVASSSPDDMETEDSTS KNPSENPSEPEAEKSPAWVEWRETSESTEPSSNTDEASILPNGQVQNEKEDKVDDTNK EGAKDSSPGACGDETIEKLSDAGDVATTESSPDASEAEITEKLKDSSDDASKPGAESD ENAQSSEPAISEDSDKRQEADVAAKADDKETEEAVKEPEKVV" gene 17669528..17674194 /locus_tag="BRARA_H01885" /db_xref="Phytozome:Brara.H01885" mRNA join(17669528..17670072,17670359..17670697, 17672030..17672192,17672351..17672461,17672750..17672818, 17673129..17673215,17673309..17674194) /locus_tag="BRARA_H01885" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01885" CDS join(17669708..17670072,17670359..17670697, 17672030..17672192,17672351..17672461,17672750..17672818, 17673129..17673215,17673309..17674067) /locus_tag="BRARA_H01885" /codon_start=1 /product="hypothetical protein" /protein_id="RID51204.1" /db_xref="Phytozome:Brara.H01885" /translation="MEDADGLSFDFEGGLDSGPIQPTASVPVAPLENQSSAAVNLTPS YDHSSAAAAGAGRGRSFRQTVCRHWLRGLCMKGDACGFLHQYDKARMPICRFFRVHGE CREQDCVYKHTNEDIKECNMYKLGFCPNGPDCRYRHAKLPGPPPPVEEVLQKIQQLTS YNYGPNRFYQPRNAAPQLGDVKPQVQVQTQEPGNLQQQQQQPQQSQHQVSQTQTQTQT QNTADQTSHPLPRGLNRYFVVKSNNSENFELSVQQGVWATQRSNEAKLNEAFDTVNNV ILIFSVNRTRHFQGCAKMTSRIGGYIGGGNWKNEHGTQQYGGNFSVKWLKLCELSFHK TRNLRNPYNENLPVKISRDCQELEPSVGEELASLLYLEPDSKLMAISIAAEAKREEEK AKGVNPESRAENPDIVPFEDNEEEEEEEDESEEEEDGMANGPQGRGRGRGMMWPPQMP MGRGIRPMPGMGGFPLGVMNPADAFPYGPGGYNGMPDPFGMGPRPFGPYGPRFGGDFR GPVPGMMFPGRPPQQFPHGGYGMMGGSGRGGPLMGGMGNAPRGGGGGRPMYYPPATTT ASSARPGPTSSNRRTPERSDDRGAVDESHEIEQFEVGNSLRNEETESEDEDKAPRRSR HGDKRR" gene complement(<17674277..17675812) /locus_tag="BRARA_H01886" /db_xref="Phytozome:Brara.H01886" mRNA complement(join(<17674277..17674519,17674621..17674625, 17674863..17674970,17675059..17675263,17675341..17675457, 17675549..17675812)) /locus_tag="BRARA_H01886" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01886" CDS complement(join(17674277..17674519,17674621..17674625, 17674863..17674970,17675059..17675263,17675341..17675457, 17675549..17675692)) /locus_tag="BRARA_H01886" /codon_start=1 /product="hypothetical protein" /protein_id="RID51205.1" /db_xref="Phytozome:Brara.H01886" /translation="MDNKESSELGNLPTSPMAKRARTRETTGERLRRPLPRSIDLRNH DLAYGFDMYYNDEPLKLVPSTWSKNYGIGLYGRIGLQCYNLQKGTNLKFKRLEKHSSK DTSFFSLYITLEVTDPATGSVCSFQTQFGDAGRRISLGARITWFTLASRIKQIYNQPI DDVWDEDTPGINDFYKVPMPKWFSDEALERDNRCLDAYLPLELKNVVVETFEYYTTEP SEKLKADNAIFYISYKCCSDPSTPLAGDHRAVVRKTMDGKPGHMCLEVARTKEQE" gene complement(17676992..17682613) /locus_tag="BRARA_H01887" /db_xref="Phytozome:Brara.H01887" mRNA complement(join(17676992..17678236,17678383..17678544, 17678717..17679117,17679400..17679594,17679697..17679811, 17679899..17680126,17680442..17680503,17680655..17680755, 17680939..17681053,17681132..17681220,17681363..17681441, 17681909..17682055,17682316..17682613)) /locus_tag="BRARA_H01887" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01887" CDS complement(join(17677158..17678236,17678383..17678544, 17678717..17679117,17679400..17679594,17679697..17679811, 17679899..17680126,17680442..17680503,17680655..17680755, 17680939..17681053,17681132..17681220,17681363..17681441, 17681909..17682055,17682316..17682467)) /locus_tag="BRARA_H01887" /codon_start=1 /product="hypothetical protein" /protein_id="RID51206.1" /db_xref="Phytozome:Brara.H01887" /translation="MDRGDIEEAAGEEEFPRLGGGKYRPVGAHDRAVVEMSSIDPGSS SSSSTLKNIRVVAPGEMGAGAREGPIPEDGVNGHQKESKLELFGFDSLVNILGLKSMT GEQIPAPSSPRDGEDISIMQGHPKPALKMGTMMGVFVPCLQNILGIIYYIRFTWIVGM AGIGQSLVLVLLCGLCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGISIGLCF FLGNAVAGALYVLGAVETFLKAFPAAGIFRETITKVNGTAVAESVQSPSSHDLQIYGI VVTILLCFIVFGGVKMINRVAPAFLLPVLLSILCIFIGMFLAKTDDPDTGITGLRLKS FRDNWSSAYQMTNNAGIPDPLGGTYWSFNELVGLFFPAVTGIMAGSNRSASLKDTQRS IPVGTLAATLSTTLLYVISVLFFGAVATRDKLLTDRLLTATVAWPLPLIVHVGIILST LGAALQSLTGAPRLLAAIANDDILPILNYFKVADTSEPHIATLFTALICIGCVVIGNL DLITPTVTMFYLLCYAGVNLSCFLLDLLDAPSWRPRWKYHHWSLSFVGASLCIVIMFL ISWSFTVVAIALASLIYKYVGLKGKAGDWGDGFKSAYFQLALRSLRSLGADQVHPKNW YPIPLVFCRPWGQLPENVPCHPKLADFANCMKKKGRGMSIFVSILDGDYYECAEEAKE ACKQLATYIEYKRCEGVAEIVVAPNMTEGFRGIIQTMGLGNLKPNIVVMRYPEIWRRE NLTEIPSTFVGIINDCITANKGVVIIKGLEEWPNEYQRQYGTIDLYWIVRDGGLMLLL SQLLLTKESFESCKIQLFCIAEEDSDAEALKADVKKFLYDLRMQAEVIVVTMKSWDIR SEGNSKEDSLEAFDAAQRRISDYLGEIKSQGSTPRLANGKAMVVNEQQVEKFLYTMLK LNSTILSYSRMAAVVLVSLPPPPLNHPAYFYMEYMDLLVENVPRMLIVRGYHRDVVTL FT" gene complement(17683402..17686788) /locus_tag="BRARA_H01888" /db_xref="Phytozome:Brara.H01888" mRNA complement(join(17683402..17684172,17684670..17685914, 17686059..17686128,17686275..17686788)) /locus_tag="BRARA_H01888" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01888" CDS complement(join(17683573..17684172,17684670..17685914, 17686059..17686128,17686275..17686333)) /locus_tag="BRARA_H01888" /codon_start=1 /product="hypothetical protein" /protein_id="RID51207.1" /db_xref="Phytozome:Brara.H01888" /translation="MACMKLGSKSDAFQRQGQAWFCTTGLPSDIVVEVGEMSFHLHKF PLLSRSGVMERNIAEASKQGDDDDDKCLIQISDLPGGDKTFELIAKFCYGVKLELTAS NVVHLRCAAEHLEMTEDHAEGNLISQTESFLNQVVLKSWKDSIKALQTCSEVSHYAEE LNITKKCIESLAARASTTDPNLLGWPVVDPMHSPGGSVLWNGISTGARLKHTSSDWWY EDASTLSFPLFKRLITVMDSRGGAREDIIAGSLTYYTRKHLPGLKRRRGGPEASGRFS SSGNVLSEEEQKHLLEEIQDLLSMQKGLVPTKFFVDMLRVAKILKASPTCIANLEKRI GMQLDQAALEDLVMPSFTHTMETLYDVDSVQRILDHFLGTDQIMTVGGGVGSPCSSVD DGNLMGSPQRITPMTAVAKLIDGYLAEVAPDVNLKLPKFQALAGSVPECARLLDDGLY RAIDIYLKHHPWLAETERENLCRLLDCQKLSLEACTHAAQNERLPLRVIVQVLFFEQL QLRTSVAGCFLVSDNLDGGSRQLRSGGFAGGSAEGGGGWASAVRENQVLKVGMDSMRM RVCELEKECSNMRQEIEKLGKTSKVGGGGKTWENVSKKLGFGIKLKSHQMCSAQEGSV SKSNSENVKIEKLKDVKERRGKHKKASSISSER" gene complement(17688311..>17690233) /locus_tag="BRARA_H01889" /db_xref="Phytozome:Brara.H01889" mRNA complement(join(17688311..17688747,17688838..17689671, 17689886..>17690233)) /locus_tag="BRARA_H01889" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01889" CDS complement(join(17688484..17688747,17688838..17689671, 17689886..17690233)) /locus_tag="BRARA_H01889" /codon_start=1 /product="hypothetical protein" /protein_id="RID51208.1" /db_xref="Phytozome:Brara.H01889" /translation="MARVHLLLCFTLVFASVTLLDVTSAFLKLKPSLPQIEDPKTVGD VEGYTVNVVMVFVGDLEKECPKTSKFKMFFDKLRGFAKYVCPLKIFGKKDDTDMKAKE AGILKSIASFAIGRIKSEIQEEKQEAIETFKFMKSLAGRILGGRKKEEKETTTLTPEQ LKEIKDGILKWQTVIVKITNTMVVSTTNNEGSAGSNPGAGTPSMDTNNQSQGTPSTDD TNNQSQGTPSTDDTNNQSQGTPSTDKNNQSQGTTGGSSSPNSGSATGSPSNKPSAGSN PGAGTPSTDTNNQSQGNTNTASSRSETTSQTTEVTVTEVETQTSEQVMTFLMNLEKKC PPKEEYKQFFEKLKSTMAGSAKVSSPKKKGGLFGMIKGAVGKIGDAMQFIRSRIGNKS AEVKKSMETYQAEVIKNMEELNAIYAKIVTQNQSKKGGAMTCTPEQQAEIKTTITKWE QVTTQFVEVAIKSETSTTTSTSSSTGTAQPN" gene complement(17693331..17701526) /locus_tag="BRARA_H01890" /db_xref="Phytozome:Brara.H01890" mRNA complement(join(17693331..17693575,17693668..17694346, 17694752..17694873,17694937..17695693,17695820..17696143, 17696449..17696629,17696706..17697088,17697188..17697340, 17697426..17697524,17697686..17697886,17697993..17698133, 17698225..17698392,17698480..17698573,17698664..17698770, 17698898..17699032,17699204..17699311,17699417..17699491, 17699872..17699937,17700091..17700147,17700258..17700282, 17700379..17700459,17700552..17700666,17701030..17701186, 17701297..17701336,17701429..17701526)) /locus_tag="BRARA_H01890" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01890" CDS complement(join(17693478..17693575,17693668..17694346, 17694752..17694873,17694937..17695693,17695820..17696143, 17696449..17696629,17696706..17697088,17697188..17697340, 17697426..17697524,17697686..17697886,17697993..17698133, 17698225..17698392,17698480..17698573,17698664..17698770, 17698898..17699032,17699204..17699311,17699417..17699491, 17699872..17699937,17700091..17700147,17700258..17700282, 17700379..17700459,17700552..17700666,17701030..17701186, 17701297..17701336,17701429..17701475)) /locus_tag="BRARA_H01890" /codon_start=1 /product="hypothetical protein" /protein_id="RID51209.1" /db_xref="Phytozome:Brara.H01890" /translation="MVLLNLVLSVKEYYGSFKLYIYISEVAVQVAFGTLLFVYFPNLD PYPGYTPLRTETSEDYEYEELPGGEQICPERHTSLFDRIFFSWLNPLMTLGSKRPLTE KDVWHLDTWDRTETLMRSFQRSWEKELEKPKPWLLRALNNSLGGRFWWGGFWKIGNDC SQFVGPLLLNELLKSMQLNEPAWIGYIYAISIFVGVMFGVLCEAQYFQNVMRVGYRLR SALIAAVFRKSLRLTNEGRKKFQTGKITNLMTTDAESLQQICQSLHTMWSAPFRIIVA LVLLYQQLGVASLIGALFLVLMFPIQTVIISKTQKLTKEGLQRTDKRIGLMNEILAAM DTVKCYAWENSFQSKVQTVRDDELSWFRKAQLLSAFNMFILNSIPVLVTVVSFGVFSL LGGDLTPARAFTSLSLFSVLRFPLFMLPNIITQIVNANVSLKRLEEVLSTEERVLLPN PPIEPGQPAISIRNGCFSWDSKADRPTLSNINLDVPIGSLVAVVGSTGEGKTSLISAM LGELPALSDATVTLRGSVAYVPQVSWIFNATVRDNILFGAPFDQEKYERVIDVTALRH DLELLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVCILDDPLSALDAHVGQQV FEKCIKRELGNKTRVLVTNQLHFLSQVDKILLVHEGTVKEEGTYEELSHSGPLFQRLM ENAGKVEEYSEANSEAEADQTSVKPVENGNTNNLQKDGIETKKSKEGTSVLVKREERE TGVVSWKVLKRYRDALGGGWVVMMLLICYVLTQVFRVSSSTWLSEWTDAGTPKSHGPL FYNIIYAVLSFGQVFVTLTNSYWLIMVSLYAAKKMHDAMLGSILRAPMVFFQTNPLGR IINRFAKDTGDIDRTVAVFVNMFMGSIAQLLSTVILIGIVSTLSLWAIMPLLVVFYGA YLYYQNTSREIKRMDSVSRSPVYAQFGEALNGLSSIRAYKAYHRMAEINGRSMDNNIR FTLVNMGANRWLGIRLEFLGGLMVWLTASLAVMQNGKAENQQAFASTMGLLLSYALSI TSSLTAVLRLASLAENSLNSVERVGNYIELPSEAPLVVENNRPPPGWPSSGSIKFEDV VLRYRPELPPVLHGVSFFISPMDKVGIVGRTGAGKSSLLNALFRIVEVEKGRILIDEC DIGKFGLMDLRKVLGIIPQAPVLFSGTVRFNLDPFGEHNDADLWESLERAHLKDTIRR NPLGLDAEVSEAGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDVLIQKTI REEFKSCTMLIIAHRLNTIIDCDKVLVLDSGKVQEFSTPENLLSNGESSFSKMVQSTG AANAEYLRSIVLENKRNRDANGDDSSQPLEEGQRKWRASSRWAAAAQFALAVSLTSSH NDLQSLEIEDDDSILKRTKDAVVTLRSVLEGKHDKEIEESLTQNDISRERWWPSVYKM IEGLAVMSRLAKNRMQHPDYNLEGRSFDWDNVEM" gene complement(17707079..17708079) /locus_tag="BRARA_H01891" /db_xref="Phytozome:Brara.H01891" mRNA complement(join(17707079..17707450,17707520..17707600, 17707860..17708079)) /locus_tag="BRARA_H01891" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01891" CDS complement(join(17707223..17707450,17707520..17707600, 17707860..17707943)) /locus_tag="BRARA_H01891" /codon_start=1 /product="hypothetical protein" /protein_id="RID51210.1" /db_xref="Phytozome:Brara.H01891" /translation="MASTVMTTLPQFNGLRASKISAAPVQGLATVVPMRRKGNGALGA KCDFIGSSTNLIMVTSTTLMLFAGRFGLAPSANRKATAGLKLEARDSGLQTGDPAGFT LADTLACGTVGHIIGVGVVLGLKNIGAI" gene complement(17708580..17712428) /locus_tag="BRARA_H01892" /db_xref="Phytozome:Brara.H01892" mRNA complement(join(17708580..17709142,17709233..17709488, 17709567..17710390,17711084..17711362,17711439..17711596, 17711948..17712428)) /locus_tag="BRARA_H01892" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01892" CDS complement(join(17708759..17709142,17709233..17709488, 17709567..17710390,17711084..17711362,17711439..17711596, 17711948..17712218)) /locus_tag="BRARA_H01892" /codon_start=1 /product="hypothetical protein" /protein_id="RID51211.1" /db_xref="Phytozome:Brara.H01892" /translation="MEFESFLVSLGTSAVIFVVLMLLFTWLSRRPGNVSVYYPNRILK GMDPWEGSSLTRNPFAWIREAFTSTEQDVVKLSGVDTAVYFVFLSTVLGIFALSALLL LPTLLPLSATDNSLKTSRNVTDTTSNGTFSQLDNLSMANITRRSSRLWAFLGAVYWVS VVTYFMLWKAYKHVAALRAEALMSSEEVLPEQYAILVRDIPSPPNGETQKEFVDSYFR EIYPETFYRSLVVTENSKINKIWENLEGYKKKLARAEAVFAATSNRPMNKTGLLGLVG ERVDSIDYYTKLINESVAKLEAEQRTVLAEKQQTAAVVFFTDRVTAALAAQSLHCQMV DKWTVTEAPEPRQLIWENLKIKFFSRIVRQYLIYFLVAITILFYMIPIAFVSAITTLG NLQKALPFIKPIVEIAFIRTILQSYLPQIALIVFLAMLPKFLMFLSKSEGIPSQSHAI RAASGKYFYFSVLNVFIGVTLAGSLFDNLKALETKPNSIVTVLATSLPKNATFFLTYV ALKFFVGYGLELSRIIPLIIFHLKKKYLCKTEAEVKEAWYPGDLSYATRVPSDMLILT ITFCYSVIAPLILVFGVIYFGLGWLILRNQALKVYVPSYESYGRMWPHIHTRILAALF LFQLVMFGYLGAKLFVWATLLVPLIFISLIFGYVCRQKFYKGFKHTALEVACRGLKQR PDLEEVFRAYIPHSLSTHKGDDHQFKGAMSRYQDYAAISAA" gene 17735523..17740787 /locus_tag="BRARA_H01893" /db_xref="Phytozome:Brara.H01893" mRNA join(17735523..17736463,17736574..17736692, 17736778..17736876,17736963..17737019,17737110..17737265, 17737371..17737455,17737945..17738035,17738109..17738273, 17738342..17738540,17738611..17738758,17739046..17740028, 17740094..17740284,17740366..17740787) /locus_tag="BRARA_H01893" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01893" CDS join(17736415..17736463,17736574..17736692, 17736778..17736876,17736963..17737019,17737110..17737265, 17737371..17737455,17737945..17738035,17738109..17738273, 17738342..17738540,17738611..17738758,17739046..17740028, 17740094..17740284,17740366..17740582) /locus_tag="BRARA_H01893" /codon_start=1 /product="hypothetical protein" /protein_id="RID51212.1" /db_xref="Phytozome:Brara.H01893" /translation="MRLSSAGFNPHPHPHEVTGEKRVLNSELWHACAGPLVSLPPLGS RVVYFPQGHSEQVAASTNKEVDAHTPNYPSLQPQLICQLHNVTMHADVETDEVYAQMT LQPLNAQEQKDSYLAAELGVPSRQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPL DYTQQPPAQELMARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFI WNDKNQLLLGIRRAHRPQTVMPSSVLSSDSMHLGLLAAAAHAASTNSRFTIFYNPRAS PSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGICDLDPARWANS HWRSVKVGWDESTAGDRQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPSGLPSFHGLK EDDMGMGMGMSSPLMWDRGLQSMNFQGMGVNPWMQPRLDASGLLGMQNDAYQAMAAAA LQDMRGIDPAKAAASLLQFQSPSGFSMQPPSLVQPQMLQQQLSQQHQQQLSQQQQQVV DNHNLSASSAAMSQSNTSLLQSMTPLCHQQSFSDTNGGNNPITQLHTLLSNFPQDESS QLLNLTRTNSAMTSSGWPSKRPAIDSSFQHSTAVNNNQSVMEQLGQSHTSNVSPNAVS LPPFPSGQEENHSDPHSHLLFGVNIDSSSLLIPNGMSNLRSIGIEGGDSTTLPFTSST FNNEFSGTMTTPSSCIEEPGFLQPSENQQSNTFVKVYKSGSFGRSLDITKFSSYNELR SELARMFGLEGQLEDPVRSGWQLVFVDRENDVLLLGDDPWPEFVSSVWCIKILSPQEV QQMGKRGLELLNSAPSSDNVDKVPSNGNCDDFGNRSDPRSLGNGIASVGGSFNY" gene complement(17744320..17747276) /locus_tag="BRARA_H01894" /db_xref="Phytozome:Brara.H01894" mRNA complement(join(17744320..17744716,17744795..17744876, 17744999..17745407,17745791..17746372,17746645..17746831, 17747091..17747276)) /locus_tag="BRARA_H01894" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01894" CDS complement(join(17744507..17744716,17744795..17744876, 17744999..17745407,17745791..17746372,17746645..17746831, 17747091..17747123)) /locus_tag="BRARA_H01894" /codon_start=1 /product="hypothetical protein" /protein_id="RID51213.1" /db_xref="Phytozome:Brara.H01894" /translation="MEYERIEKVKQKSILSPTKLRMKLMGPHNNKKREGSNNNSSRTS PVRLQVSDGTEFSKNSLLASKSDSYDDDNVAASATDIGVAKLPVLDLSDTQASRHGSE GLTRETNQPKPQQLKKTDLSLALRPQEDENLDYDSNASSSSFEFHGGVRGERSNQNHV SRAYPSRQMPSKWNDAEKWIMSRQNMVMRKNGQGNRMPARVMTDNAGYEHNKSRMQTD GFEKFPNYVPTVPRPILTQGYGGSLLIEQSTQSNGLVDTTKDSSRDETPAGPVIRSVC MRDMGTDMTPIPSQEPSRSVTPVGATTPLRSPTSSLPSTPRGGQQEESQDPSANTKRE LSEEEMKAKTRREIVTLGVRLGKMNIAAWASNEEEESNKNNVDAEETQRIEFDKRASA WEEAEKSKHNARYKREEIRIQAWESQEKAKLEAEMQRIEAKVEQMKAEAEARIVKKIA MAKQRSEEKRASAEARKARDAEKAVAEAKYIRETGRIPASGYKICCGWFS" gene complement(17752209..17754526) /locus_tag="BRARA_H01895" /db_xref="Phytozome:Brara.H01895" mRNA complement(join(17752209..17752441,17752524..17752585, 17752689..17752798,17752875..17752910,17753013..17753071, 17753158..17753263,17753352..17753499,17753584..17753711, 17753796..17753931,17754225..17754526)) /locus_tag="BRARA_H01895" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01895" CDS complement(join(17752528..17752585,17752689..17752798, 17752875..17752910,17753013..17753071,17753158..17753263, 17753352..17753499,17753584..17753711,17753796..17753931, 17754225..17754412)) /locus_tag="BRARA_H01895" /codon_start=1 /product="hypothetical protein" /protein_id="RID51214.1" /db_xref="Phytozome:Brara.H01895" /translation="MMEDGSIPAENGSDGDGSALIFLGTGCSSAVPNAMCLIQKSDSP CHVCSQSLSIPPERNPNYRGNTSLLIDYCSSDGNHNYIQIDVGKTFREQVLRWFTLHN IPQVDSIILTHEHADAVLGLDDIRSVQPFSPTNDIDPTPIFVSQYAMDSLAVKFPYLV QKKLKEGQEVRRVAQLDWRVIEEDCEKPFVASGLLFTPLPVMHGEDYVCLGFLFGEKS RVAYISDVSRFLPSTEYVISKSGGGQLDLLILDTLYKTGSHNTHLCFPQTLETIKRLG PKRALLIGMTHEFDHHKDNEFLEEWSKREGISVKLAHDGLRVPIDL" gene 17762286..17764201 /locus_tag="BRARA_H01896" /db_xref="Phytozome:Brara.H01896" mRNA join(17762286..17762823,17762912..17763395, 17763476..17763565,17763652..17763831,17763928..17764201) /locus_tag="BRARA_H01896" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01896" CDS join(17762363..17762823,17762912..17763395, 17763476..17763565,17763652..17763831,17763928..17763972) /locus_tag="BRARA_H01896" /codon_start=1 /product="hypothetical protein" /protein_id="RID51215.1" /db_xref="Phytozome:Brara.H01896" /translation="MDESWRKKMGLNVDPFFSVARRSMDARIDAEDFADVFGGPPRSF LTRKFSGDFSRSDCFYDEVFLPPGISSGGSLPSSKSHGRNLTAFRIPSGADGFYDGVF GGRGGAAKKQSPTAKSNSSSVLTSEEVSPHYPPPAATSGDDAGFSSFTSRLRPLNVPS RKRESKKQSFPAFPTSGDSFSGHERSDFYYRKPHFSGSRRSSPETMSFDPSSFRRIDD FGPSSPASSPVSSFVYEEENTERKGDCNVDEVEEEEEMSSYVIEINSDRFDRGNSDSN DMDEAIAWAKERSQRPEAKQTQEEDLMDSRSEEAKSEEEMEMEMKDEEIRIWLTGKET NIRLLLSTLHHVLWSDSNWHEIPLENLRDGSQVKKAYQKARLCLHPDKLQQRGGTSPV QKSVASRVFSILQEAWAVYVTNEGLSS" gene complement(17766212..17769570) /locus_tag="BRARA_H01897" /db_xref="Phytozome:Brara.H01897" mRNA complement(join(17766212..17768848,17768941..17769028, 17769135..17769171,17769503..17769570)) /locus_tag="BRARA_H01897" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01897" CDS complement(join(17766408..17768848,17768941..17769028, 17769135..17769137)) /locus_tag="BRARA_H01897" /codon_start=1 /product="hypothetical protein" /protein_id="RID51216.1" /db_xref="Phytozome:Brara.H01897" /translation="MVKFTSDELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAG IIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDASLKSFTGARDGNEYLINL IDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKM DRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGW AFTLTNFAKMYASKFGVDETKMMERLWGENFFDPATRKWSSKNTGSATCKRGFVQFCY EPIKQIIATCMNDQKDKLWPMLQKLGVQMKSDEKELMGKPLMKRVMQTWLPASTALLE MMIFHLPSPHTAQRYRVENLYEGPLDDQYATAIRNCDPNGPLMLYVSKMIPASDKGRF FAFGRVFSGKVSTGMKVRIMGPNFVPGEKKDLYVKSVQRTVIWMGKRQETVEDVPCGN TVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGL KRLAKSDPMVVCTMEESGEHIVAGAGELHLEICLKDLQDDFMGGAEIVKSDPVVSFRE TVLERSVRTVMSKSPNKHNRLYMEARPLEDGLAEAIDEGRIGPRDDPKIRSKILAEEF GWDKDLAKKIWAFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGPLCDENM RGICFEVCDVVLHSDAIHRGGGQVIPTARRVIYASQITAKPRLLEPVYMVEIQAPEGA LGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVVESFGFSSQLRAATSGQAFPQCV FDHWEMMSSDPLEAGSQASTLVTDIRKRKGMKEQMTPLSDFEDKL" gene complement(17772198..17775690) /locus_tag="BRARA_H01898" /db_xref="Phytozome:Brara.H01898" mRNA complement(join(17772198..17774859,17774953..17775040, 17775136..17775172,17775614..17775690)) /locus_tag="BRARA_H01898" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01898" CDS complement(join(17772419..17774859,17774953..17775040, 17775136..17775138)) /locus_tag="BRARA_H01898" /codon_start=1 /product="hypothetical protein" /protein_id="RID51217.1" /db_xref="Phytozome:Brara.H01898" /translation="MVKFTADELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAG IIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDASLKSFTGARDGNEYLINL IDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKM DRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGW AFTLTNFAKMYASKFGVDETKMMERLWGENFFDPATRKWSSKNTGSATCKRGFVQFCY EPIKQIIATCMNDQKDKLWPMLQKLGVQMKSDEKELMGKPLMKRVMQTWLPASTALLE MMIFHLPSPHTAQRYRVENLYEGPLDDQYATAIRNCDPNGPLMLYVSKMIPASDKGRF FAFGRVFSGKVSTGMKVRIMGPNFVPGEKKDLYVKSVQRTVIWMGKRQETVEDVPCGN TVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGL KRLAKSDPMVVCTMEESGEHIVAGAGELHLEICLKDLQDDFMGGAEIVKSDPVVSFRE TVLERSVRTVMSKSPNKHNRLYMEARPLEDGLAEAIDEGRIGPRDDPKIRSKILAEEF GWDKDLAKKIWAFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGPLCDENM RGICFEVCDVVLHSDAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYMVEIQAPEGA LGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVVESFGFSSQLRAATSGQAFPQCV FDHWEMMSSDPLEAGSQASTLVTDIRKRKGMKEQMTPLSDFEDKL" gene complement(17778569..17782087) /locus_tag="BRARA_H01899" /db_xref="Phytozome:Brara.H01899" mRNA complement(join(17778569..17778847,17778947..17779025, 17779122..17779196,17779293..17779349,17779435..17779551, 17779704..17779829,17779927..17780046,17780168..17780257, 17780342..17780467,17780546..17780599,17780744..17780824, 17780919..17781026,17781105..17781176,17781685..17782087)) /locus_tag="BRARA_H01899" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01899" mRNA complement(join(17778569..17778847,17778947..17779025, 17779122..17779196,17779293..17779349,17779435..17779551, 17779704..17779829,17779927..17780046,17780168..17780257, 17780342..17780467,17780546..17780599,17780744..17780824, 17780919..17781026,17781105..17781176,17781550..17781612, 17781685..17782087)) /locus_tag="BRARA_H01899" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01899" CDS complement(join(17778819..17778847,17778947..17779025, 17779122..17779196,17779293..17779349,17779435..17779551, 17779704..17779829,17779927..17780046,17780168..17780257, 17780342..17780467,17780546..17780599,17780744..17780824, 17780919..17781026,17781105..17781176,17781685..17781918)) /locus_tag="BRARA_H01899" /codon_start=1 /product="hypothetical protein" /protein_id="RID51219.1" /db_xref="Phytozome:Brara.H01899" /translation="MASRATPSRSGPYGSSSGSSSSSSSVSRTRVGKYELGRTLGEGT FAKVKFARNVVNGENVAIKIIDKEKVLRNKMIAQVMASKTKIYFVLEFVTGGELFDKI ASKGRLKEDGARNYFHQLINAVDYCHSRGVYHRDLKPENLLLDANGTLKVSDFGLSAL PQQVRKDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSN LASLYKKIFKAEFSCPHWFSASAKKLIKRILDPNPATRITFAEVIENEWFNKGYKAPK YENANVSLDDVDAIFDESGESQNLVVERREEGLRTPVTMNAFELISTSKGLNLGSLFE KQMGLVKRKTQFTSKCPANEIVTKIEAAAAPMGFDVKKNNYKIKLVGEKSGRKGQLAV TTEVFQVAPSLYMVEMRKSGGDTLEFHKFYKNLTTGLKDIVWKTIDEEKEEGTQVSGG AVVAS" CDS complement(join(17778819..17778847,17778947..17779025, 17779122..17779196,17779293..17779349,17779435..17779551, 17779704..17779829,17779927..17780046,17780168..17780257, 17780342..17780467,17780546..17780599,17780744..17780824, 17780919..17781026,17781105..17781176,17781550..17781612, 17781685..17781918)) /locus_tag="BRARA_H01899" /codon_start=1 /product="hypothetical protein" /protein_id="RID51218.1" /db_xref="Phytozome:Brara.H01899" /translation="MASRATPSRSGPYGSSSGSSSSSSSVSRTRVGKYELGRTLGEGT FAKVKFARNVVNGENVAIKIIDKEKVLRNKMIAQIKREISTMKLIKHPNVIRMLEVMA SKTKIYFVLEFVTGGELFDKIASKGRLKEDGARNYFHQLINAVDYCHSRGVYHRDLKP ENLLLDANGTLKVSDFGLSALPQQVRKDGLLHTTCGTPNYVAPEVINNKGYDGAKADL WSCGVILFVLMAGYLPFEDSNLASLYKKIFKAEFSCPHWFSASAKKLIKRILDPNPAT RITFAEVIENEWFNKGYKAPKYENANVSLDDVDAIFDESGESQNLVVERREEGLRTPV TMNAFELISTSKGLNLGSLFEKQMGLVKRKTQFTSKCPANEIVTKIEAAAAPMGFDVK KNNYKIKLVGEKSGRKGQLAVTTEVFQVAPSLYMVEMRKSGGDTLEFHKFYKNLTTGL KDIVWKTIDEEKEEGTQVSGGAVVAS" gene complement(<17785145..>17786299) /locus_tag="BRARA_H01900" /db_xref="Phytozome:Brara.H01900" mRNA complement(<17785145..>17786299) /locus_tag="BRARA_H01900" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01900" CDS complement(17785145..17786299) /locus_tag="BRARA_H01900" /codon_start=1 /product="hypothetical protein" /protein_id="RID51220.1" /db_xref="Phytozome:Brara.H01900" /translation="MYDDSSSASEGEEIGETKEIAQSLACLTSQNAYHVAPQLLYELQ VEIFARVPCPEYWKLQFLNKQFSQLLKSSEIFRTRRELGLVKPYFFMLSRSDRRWTMY DEDFKTSQRLPKLSSGVSFFSGDKETTCVGTQLIVIWRSEEGIRVWRYELAMHKWFKG PEMITPRVMFASASHGTNAFFAGGFTISKNGVEVVSIVEKYNTETKTWASIPPMHRRR KLCSGCFLRGKFYVIGGQNENDENLTCAESYDEETNSWELIPNMLADMSLSISQAPPR IAVVNDTLYLLDTSLNELRVYNVNTNTSKKLGTIPVMAHVTKGWGVVFKSVKDNLMLI GASFNRLHSRKRGIYKSCPSPDMEEIHWEEICCRGGSLNHYILNCCVMLA" gene 17795096..17795744 /locus_tag="BRARA_H01901" /db_xref="Phytozome:Brara.H01901" mRNA 17795096..17795744 /locus_tag="BRARA_H01901" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01901" CDS 17795157..17795456 /locus_tag="BRARA_H01901" /codon_start=1 /product="hypothetical protein" /protein_id="RID51221.1" /db_xref="Phytozome:Brara.H01901" /translation="MADIQNTIFVFRPDQQNLAPKPLTPFSAVTPHRATASRARRLIS TTTLEMIIEEDTAPEEDHPSTVAARDTLPVFPSTSCFLMANKQVSFMLYESCKCP" gene 17797169..17800981 /locus_tag="BRARA_H01902" /db_xref="Phytozome:Brara.H01902" mRNA join(17797169..17797510,17797601..17797717, 17797805..17797869,17798043..17798148,17798269..17798340, 17798535..17798594,17798693..17798825,17798909..17799093, 17799186..17799370,17799454..17799581,17799663..17799910, 17800022..17800265,17800345..17800981) /locus_tag="BRARA_H01902" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01902" CDS join(17797238..17797510,17797601..17797717, 17797805..17797869,17798043..17798148,17798269..17798340, 17798535..17798594,17798693..17798825,17798909..17799093, 17799186..17799370,17799454..17799581,17799663..17799910, 17800022..17800265,17800345..17800943) /locus_tag="BRARA_H01902" /codon_start=1 /product="hypothetical protein" /protein_id="RID51222.1" /db_xref="Phytozome:Brara.H01902" /translation="MASFERFDDMCDLRLKPKILRNLLSEYVPNEKQPLVDFQSLSKV VSTISTHKLLSESPSSSADQKKIQAKPSKSAVDEWVERLLALVSSDMPDKCWVGVVLI GVTCRECCSDRFFSSYSVWFNSLLSHIKNPESSRIVLVASCTSVSDLLTRLSRFTNTK KEAVSHASKVVLPIIKLLEEESSEALWESIVHLLSTIVILFPAAFHSSYDKVESVIAS KIFSTKTSSNMLKKFAHFLALLPKANKGDAGSWSLMMQKLLISINVHLNNFFQGLEDE TVGKKAIQRLAPPGKDSPLPLGGQDGGLDDASLNSEEFIVSRVSALMFCCSTMLTSSF KSKLNVQVASLLSLVERVLAVNGSLPRSMSPFMTGIQQELVCAELPTLHSSALELLRA TIKCIRSQLLPYAASVVSVVSSYFKKCSLPELRIKLYSIIKTLLKSMGVGMAMQLANE VVSNASVDLEGFDAVSSKTPSLTNGAVLKGGSKKRKHASNSGAQAENSAVEVGVPHNH SLKIAALEALETLLTIGGALGSNGWRERVDKLLMTTAKNACEGRWANSETYHHLPNKS STDLVEFKLAALRSFLASLVSPSPVRPAFLAEGLELFQRGKCQAEMKVAEFCAHALMS LEVVIHPRALPLDGLPSLSSQFPQSDSLASQKHNAPGLNKMDRIAGDGSVLSNLWLAN VDVPANSEIERTVDTTLPLPEAKRLKVGNDLVGSENVQHADVLIKVPESTKESLVHVS ERDDDMVPKVVSETQEGESLAGKDSLMEEAAVGKKHESLGESDDDSIPSLKADDYLSS DSDIES" gene complement(17801635..17803372) /locus_tag="BRARA_H01903" /db_xref="Phytozome:Brara.H01903" mRNA complement(join(17801635..17801879,17801973..17802248, 17802337..17802457,17802546..17802639,17802725..17802841, 17802936..17803049,17803333..17803372)) /locus_tag="BRARA_H01903" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01903" mRNA complement(join(17801635..17801879,17801973..17802248, 17802337..17802457,17802546..17802639,17802725..17802841, 17802936..17803145)) /locus_tag="BRARA_H01903" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01903" CDS complement(join(17801878..17801879,17801973..17802248, 17802337..17802457,17802546..17802639,17802725..17802841, 17802936..17803018)) /locus_tag="BRARA_H01903" /codon_start=1 /product="hypothetical protein" /protein_id="RID51223.1" /db_xref="Phytozome:Brara.H01903" /translation="MAAFPNLNSDSGLKKLDEHLLTRSYITGYQASKDDITVFTALAK PPSSQYVNASRWYNHIDALLRISGVTAEGSGVVVEGSAPVAEEAVATPPAADSKDAAD EEDDDDVDLFGEETEEEKKAAEERAASVKASTKKKESGKSSVLIDIKPWDDETDMKKL EEAVRSIQMEGLFWGASKLVPVGYGIKKLQIMCTIVDDLVSVDTMIEEQLTVEPINEF VQSCDIVAFNKI" CDS complement(join(17801878..17801879,17801973..17802248, 17802337..17802457,17802546..17802639,17802725..17802841, 17802936..17803018)) /locus_tag="BRARA_H01903" /codon_start=1 /product="hypothetical protein" /protein_id="RID51224.1" /db_xref="Phytozome:Brara.H01903" /translation="MAAFPNLNSDSGLKKLDEHLLTRSYITGYQASKDDITVFTALAK PPSSQYVNASRWYNHIDALLRISGVTAEGSGVVVEGSAPVAEEAVATPPAADSKDAAD EEDDDDVDLFGEETEEEKKAAEERAASVKASTKKKESGKSSVLIDIKPWDDETDMKKL EEAVRSIQMEGLFWGASKLVPVGYGIKKLQIMCTIVDDLVSVDTMIEEQLTVEPINEF VQSCDIVAFNKI" gene 17805872..17808837 /locus_tag="BRARA_H01904" /db_xref="Phytozome:Brara.H01904" mRNA join(17805872..17806130,17806217..17806287, 17806668..17807003,17807079..17807186,17807455..17808057, 17808187..17808837) /locus_tag="BRARA_H01904" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01904" CDS join(17805995..17806130,17806217..17806287, 17806668..17807003,17807079..17807186,17807455..17808057, 17808187..17808672) /locus_tag="BRARA_H01904" /codon_start=1 /product="hypothetical protein" /protein_id="RID51225.1" /db_xref="Phytozome:Brara.H01904" /translation="MEGGIHGGADKSAFRECFSLTWKNPYVLRLAFSAGIGGLLFGYD TGVISGALLYIKEDFKTVDRETWLQEMIVSMAVAGAIVGAAIGGWANDKFGRRSAILM ADFLFLIGAIIMAVAPNPSLIVVGRVFVGLGVGMASMTAPLYISEASPAKIRGALVST NGFLITGGQFVSYLINLAFTDVKGTWRWMLGIAGVPALLQFILMFTLPESPRWLYRKG REEEAKAIMRRIYSAEDVEHEIRALKDSVESEIFEEGSSEKINITKLCKTKTVRRGLI AGVGLQVFQQFVGINTVMYYSPTIFQLAGFASNRTAILLSLVTAGLNAFGSIISIYLI DRTGRKKLLIISLFGVIVSLGLLTGVFYEVTTHAPAISSLETQRFNNVTCPDYNSSLK AQTWDCMTCLKASSPSCGFCSSPSGKEHPGACWVSNDSVKDLCHNENRLWYTRGCPSN FGWFALLGLGLYIIFFSPGMGTVPWIVNSEIYPLRFRGICGGIAATANWISNLIVAQS FLSLTEAIGTSWTFLMFGVISVIALLFVVVCVPETKGMPMEEIEKMLEGRSLHFKFWK KRSQPVEKGNQTA" gene 17811136..17813356 /locus_tag="BRARA_H01905" /db_xref="Phytozome:Brara.H01905" mRNA join(17811136..17811502,17811630..17811671, 17811983..17813356) /locus_tag="BRARA_H01905" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01905" mRNA join(17811136..17811502,17811983..17813356) /locus_tag="BRARA_H01905" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01905" CDS 17812116..17813042 /locus_tag="BRARA_H01905" /codon_start=1 /product="hypothetical protein" /protein_id="RID51226.1" /db_xref="Phytozome:Brara.H01905" /translation="MEDDDDIETQQQTSRKLQRLSSDKTEMRDWSNPSSRIIRVSRAT GGKDRHSKVLTSKGLRDRRIRLSVATAIQFYDLQDRLGFDQPSKAVEWLINAASDSIS DLNPIDTNFEQTLSLSKSSTSESSLLSLSRTESRGKARERTAKDKDLQSSFTQLLTRG FDESNRSWTGGGSSDCFNPVQLIPSSLNHRQEPSLNHSNSQNQYSFLPNYNVGISSSD SPGEAGCYSSRGTLQSNSLPLFLNNNINQRSISSSSSSSSPMDSQSISFFMSPPLHNH SHDQLPEAFDGRLYLYYGEGNRSSDDKGKERR" CDS 17812116..17813042 /locus_tag="BRARA_H01905" /codon_start=1 /product="hypothetical protein" /protein_id="RID51227.1" /db_xref="Phytozome:Brara.H01905" /translation="MEDDDDIETQQQTSRKLQRLSSDKTEMRDWSNPSSRIIRVSRAT GGKDRHSKVLTSKGLRDRRIRLSVATAIQFYDLQDRLGFDQPSKAVEWLINAASDSIS DLNPIDTNFEQTLSLSKSSTSESSLLSLSRTESRGKARERTAKDKDLQSSFTQLLTRG FDESNRSWTGGGSSDCFNPVQLIPSSLNHRQEPSLNHSNSQNQYSFLPNYNVGISSSD SPGEAGCYSSRGTLQSNSLPLFLNNNINQRSISSSSSSSSPMDSQSISFFMSPPLHNH SHDQLPEAFDGRLYLYYGEGNRSSDDKGKERR" gene 17818963..17819696 /locus_tag="BRARA_H01906" /db_xref="Phytozome:Brara.H01906" mRNA 17818963..17819696 /locus_tag="BRARA_H01906" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01906" CDS 17819004..17819453 /locus_tag="BRARA_H01906" /codon_start=1 /product="hypothetical protein" /protein_id="RID51228.1" /db_xref="Phytozome:Brara.H01906" /translation="MFNASRKAVMDRVRSQFLTRFETMVREMLSDHPFLKGQCIPTII AERVSTQMNAGAVERQIIKHHWVQVSFEHKISTPIPSKPRYGMPRDEDVVTTTRFKVT AESAFIVASYDEVDEDGRNMGSEVRLFSVQRPELSNEEDDDDDEGTS" gene 17821915..17823532 /locus_tag="BRARA_H01907" /db_xref="Phytozome:Brara.H01907" mRNA join(17821915..17822020,17822267..17822982, 17823055..17823532) /locus_tag="BRARA_H01907" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01907" CDS join(17821988..17822020,17822267..17822982, 17823055..17823376) /locus_tag="BRARA_H01907" /codon_start=1 /product="hypothetical protein" /protein_id="RID51229.1" /db_xref="Phytozome:Brara.H01907" /translation="MPRLLFKLSPLIYKSRSVRTFSSSTTGPCTPMCMIMSPSPNGNV GEALLFDVDSCELVRSPEKAFPKELYNATLVGTSRGWGMFSNRLDRSAVISDFLNPYA SKSEPKVVPLPPFTTMHTYQTEVVCNMAMSSSPEPYDKDWVVGIKFLGKQLSFCRPHL DLRWTNIPTPFESWDTSKLMYSKKDQRFNLLAPGGNYLCSWDHDKKPKFHELVLHNLP SMPREQQQRLDSNCREDHWVESPSGESFLVKWFSEYTPEGCKVPMVMVFREEDTKDGR KNMHYTDDIGDMCIFISKSEDFCVKASSCRGLNPNSIFLNGRLFVSLNMSKFSCRLYE YPEDTPYTIPYSPYWLPPFSSY" gene 17824036..17825440 /locus_tag="BRARA_H01908" /db_xref="Phytozome:Brara.H01908" mRNA join(17824036..17824080,17824174..17824916, 17825001..17825440) /locus_tag="BRARA_H01908" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01908" CDS join(17824048..17824080,17824174..17824916, 17825001..17825325) /locus_tag="BRARA_H01908" /codon_start=1 /product="hypothetical protein" /protein_id="RID51231.1" /db_xref="Phytozome:Brara.H01908" /translation="MSRLLSKLSPIMHRRSMSVRSFSSSMTGPYMLRSLKVNPSPGGV NIGKVLVFDPAKEELLELTDKAIPEEIIMTKATGASKGWGFFSDPQDSCILITDVMNP WSCKSNPKIFTLPPLTPLPSCQTDVIWSVAMSSCPDDDQDWVVGIKSLGDQLSFCRPR RDLRWTKITTPPDYFPTSNLMYSKKDRKFYLTGPGGHHLLSYDLHFKKSDKPEFHELE FRNFPESFKYDSEQSELFPSSCRTERLVESASGDERFLVKWYAKGCLDSRKISYETQR IMVFREEETTDGRFMCYTDDIGDMCIFVSKGEAFCIPASSFPGLKPNSIYFIGFGVGV YDLTTRTSSSFQAPTGALNYPWAPYWFPPSSS" gene complement(17825001..17826348) /locus_tag="BRARA_H01909" /db_xref="Phytozome:Brara.H01909" mRNA complement(join(17825001..17825921,17826025..17826139, 17826230..17826348)) /locus_tag="BRARA_H01909" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01909" CDS complement(join(17825712..17825921,17826025..17826139, 17826230..17826297)) /locus_tag="BRARA_H01909" /codon_start=1 /product="hypothetical protein" /protein_id="RID51230.1" /db_xref="Phytozome:Brara.H01909" /translation="MEKNCDLELRLFPTSSYDESDTSVVESRSSGNSLPKEEESQRIT IFYNGKMCVSSNVTHLQAKSIISIASREMEERSSSNGSDPRNRLTRLHHHQLPNPKAS MKRSLQSFLQKRRIRIQAASPYHQHSRR" gene complement(17828756..17830614) /locus_tag="BRARA_H01910" /db_xref="Phytozome:Brara.H01910" mRNA complement(join(17828756..17829228,17829321..17829521, 17829614..17829706,17829799..17829910,17830191..17830614)) /locus_tag="BRARA_H01910" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01910" CDS complement(join(17829013..17829228,17829321..17829521, 17829614..17829706,17829799..17829910,17830191..17830489)) /locus_tag="BRARA_H01910" /codon_start=1 /product="hypothetical protein" /protein_id="RID51232.1" /db_xref="Phytozome:Brara.H01910" /translation="MELLYLLCSIVYTSTTTLFLSLLLPFRLLIHRLLPSRSAVDSSV SYYEGTVWHDRLRPVRHSFRYTVRYALFDLDKSLETPPDHLSADEARLLARTTGPIFL LTIPPSVGYEQNPLSLYYCYNLEGSSKRLIKCIGQVTNTPWGERVTFVFDPESDLVAK SLQVSPFMDMLGNWKIRANEPGDELSVSIESQHPHHGNYFSATLKAKRIDQTRVSDPA VFFWLMPHKVAIWIYWHALKLWWKNVPFIQHPRYSNPSYREDSAKRDQKLRCVGLDGS NSGEPISFDGCSGGFGGCRFAWRDANWPWS" gene 17831872..17834194 /locus_tag="BRARA_H01911" /db_xref="Phytozome:Brara.H01911" mRNA join(17831872..17832037,17832118..17832230, 17832318..17832352,17833021..17834194) /locus_tag="BRARA_H01911" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01911" CDS join(17831947..17832037,17832118..17832230, 17832318..17832352,17833021..17833993) /locus_tag="BRARA_H01911" /codon_start=1 /product="hypothetical protein" /protein_id="RID51233.1" /db_xref="Phytozome:Brara.H01911" /translation="MAARIHGGAATALSTFNPKKLVAPSRTNLPAAARSSKRCIVAGG GSDASKKSLSVRHSQKLIANAAVATKAETSATTGTGHELLLFEALQEGLEEEMDRDPH VCVMGEDVGHYGGSYKVTKGLADKFGDLRVLDTPICENAFTGMGIGAAMTGLRPVIEG MNMGFLLLAFNQISNNCGMLHYTSGGQFTIPVVIRGPGGVGRQLGAEHSQRLESYFQS IPGIQMVACSTPYNAKGLMKAAIRSENPVILFEHVLLYNLKEKIPDEEYICNLEEAEM VRPGEHITILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGNSVKKTHR VLIVEECMRTGGIGASLTAAINENFHDYLDAPVMCLSSQDVPTPYAGTLEEWTVVQPA QIVTAVEQLCQ" gene complement(17834389..17835690) /locus_tag="BRARA_H01912" /db_xref="Phytozome:Brara.H01912" mRNA complement(join(17834389..17834657,17834753..17834847, 17834937..17835029,17835211..17835261,17835618..17835690)) /locus_tag="BRARA_H01912" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01912" CDS complement(join(17834435..17834657,17834753..17834847, 17834937..17835029,17835211..17835261,17835618..17835683)) /locus_tag="BRARA_H01912" /codon_start=1 /product="hypothetical protein" /protein_id="RID51234.1" /db_xref="Phytozome:Brara.H01912" /translation="MENLPPGYRPNVGVCLINSDNLVFVASRLNVPGAWQMPQGGIED GEDPKSAAMRELQEETGVVSAAIIAEVPNWLTYDFPPAVKAKVNRLWGGEWYGQAQKW FLVRLMNDEDEREINLANNEADSEFSGWKWAKPEEVIEQAVDYKRPTYEQVINSFGSY LNDTGRAAKCKSSKW" gene 17856977..17859078 /locus_tag="BRARA_H01913" /db_xref="Phytozome:Brara.H01913" mRNA join(17856977..17857065,17857180..17857522, 17857604..17858318,17858733..17859078) /locus_tag="BRARA_H01913" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01913" CDS join(17856993..17857065,17857180..17857522, 17857604..17858318,17858733..17858819) /locus_tag="BRARA_H01913" /codon_start=1 /product="hypothetical protein" /protein_id="RID51235.1" /db_xref="Phytozome:Brara.H01913" /translation="MSKMFSRIAMTNYVVVLLLSLTSLEHGLLFQRVSSLGINYGQVG DNLPPPDKVIQLLGSLHINKTRIYDTNPKVLSSFANSNIELFVTVENQMLPSLVDPQQ ALQWVTSRIKPFFPATKIGGIAVGNELYTDDDSSLIGYLVPAMTSIHAALVQTGLDKY IQVSTPNSLSVLQESYPPSAGCFRRQVTGVMTQLLSFLHSTKSPFWINAYPYFAYKDS PTKIPLDYVLFNPNPGMVDPYTKYHYDNMLYAQVDAVIFAMARLGFKDIEVGVSETGW PSKGDGDEVGATVANAAVYNKNLLKRQLQSEGTPLRKNMGLDVYLFALFNEDLKPGPT SERNYGLYQPDETMAYNVGLLSSSQSSTSSTNSIVSLTSSASTDITRGMQRLIYFMCI YMLAIHMLIRRRY" gene complement(17862204..17863602) /locus_tag="BRARA_H01914" /db_xref="Phytozome:Brara.H01914" mRNA complement(17862204..17863602) /locus_tag="BRARA_H01914" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01914" CDS complement(17862362..17863483) /locus_tag="BRARA_H01914" /codon_start=1 /product="hypothetical protein" /protein_id="RID51236.1" /db_xref="Phytozome:Brara.H01914" /translation="MAYRRRQGITRASTFNDDIYNQTPDHDHGDLKGHSNGGSSFRSS QSFSSHSSLAAQAIRASNSHDDATTRNESRGFWGILAQKAKSILEEDEEEEQQHQQQQ RNVVVSEPSNNNNNNNPTIRKSIEKITTTMNHIGDSFEKGRTIVESQIRKKGSDLMEN GPWQPLTQPSPHESQLKASRDVAMATAAKAKLLLRELKTVKADLAFAKQRCSQLEEEN KRLRDNREKGNTNPADDDLIRLQLETLLAEKARLAHENSIYARENRFLREIVEYHQLT MQDVVYIDEGIEEVAEVNPSITRTLSMASFSGASELPVSPSPSSPGSPSRLSVSTDVY PVLVQQSSASDVVESPKPVRPPSLGYADDGKRPSSQLSV" gene complement(<17869693..>17871513) /locus_tag="BRARA_H01915" /db_xref="Phytozome:Brara.H01915" mRNA complement(join(<17869693..17869818,17870861..17870921, 17871119..>17871513)) /locus_tag="BRARA_H01915" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01915" CDS complement(join(17869693..17869818,17870861..17870921, 17871119..17871513)) /locus_tag="BRARA_H01915" /codon_start=1 /product="hypothetical protein" /protein_id="RID51237.1" /db_xref="Phytozome:Brara.H01915" /translation="MVVLSQHVALDNHLSVIPTYKPVPVPVVDLTDPEAKTLIVKACE EFGFFKVVNHGVRPDLMTRLEQEAVGFFALPQSLKNQAGPPEPYGYGSKRIGPNGDVG WIEYILLNANPQLTSPKTSAIFRQTPQIFREAVEEYMKEVKKVTCKVLEMQEDWLYKE FTWSQYKSSAYKSKLGDYRLGLFEKQSHHRSNV" gene 17890746..17891466 /locus_tag="BRARA_H01916" /db_xref="Phytozome:Brara.H01916" mRNA 17890746..17891466 /locus_tag="BRARA_H01916" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01916" CDS 17890771..17891193 /locus_tag="BRARA_H01916" /codon_start=1 /product="hypothetical protein" /protein_id="RID51238.1" /db_xref="Phytozome:Brara.H01916" /translation="MALREIVSDDIESYSEPSLCLDKAKELLALLNLPTGLLPLKDMT EVGHNKTKGFVWMRMRSKIEHTFAAIGRKVIYDTEITAFVEDRRLKRLTGVKSKELMI WVPVHDIFIKEKEPEKITFANNTGLSRTFKVSAFQSEG" gene 17892956..17895860 /locus_tag="BRARA_H01917" /db_xref="Phytozome:Brara.H01917" mRNA join(17892956..17893232,17893605..17894753, 17894816..17895013,17895084..17895232,17895312..17895860) /locus_tag="BRARA_H01917" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01917" CDS join(17893155..17893232,17893605..17894753, 17894816..17895013,17895084..17895232,17895312..17895558) /locus_tag="BRARA_H01917" /codon_start=1 /product="hypothetical protein" /protein_id="RID51239.1" /db_xref="Phytozome:Brara.H01917" /translation="MSKSLPYSVKDVHYDNAKFRHRSPLKVLSQSLLTLNTKRDYASC STGKFLILILIFGIACLMLMGTNDSPLNEKGKVTFVGGFRLGRLLRKPPRLPPRLSPD DGQLKGNTTNSPKWAARQQSVKEAFDYAWSGYRKYAMGYDELMPISQRGVDGLGGLGA TVVDALDTAMIMGHGNIVSEAGSWVEAHLLERISQKGQVNLFETTIRVLGGLLSAYHL SGGDHGPKPDVYLNVAKDLADRLLSAFNSSPTAVPYSDVILRESTAHPAPGGMSSTAE VATVQLEFNYLSAVSGDPKYSAEAMKVLAHIKTLPKTEGLVPIYISPHSGEFVGENIR LGSRGDSYYEYLIKVWLQQGGKLNGNFTYLHDMYTEAMKGVRHLLVRKSIPKGLVFVG ELPYGSKGEFSPKMDHLVCFLPGTLALGATKGLTKEKALAENLLSFEDLENLKLAEDL AKACFEMYEVTATGLAPEIAYFHTEEYSEDGLEGGNKSSVYANDIIIKHADRHNLLRP ETVESLFVLYRITKDTKYREQGWQIFEAFEKHTKVKSGGYTSLDDVTEVPPHRRDKME TFFLGETLKYLYLLFGDDSVIPLDKFVFNTEAHPLPIRNT" gene 17897440..17898553 /locus_tag="BRARA_H01918" /db_xref="Phytozome:Brara.H01918" mRNA join(17897440..17897520,17897645..17897760, 17897853..17897916,17898057..17898553) /locus_tag="BRARA_H01918" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01918" CDS join(17897506..17897520,17897645..17897760, 17897853..17897916,17898057..17898398) /locus_tag="BRARA_H01918" /codon_start=1 /product="hypothetical protein" /protein_id="RID51240.1" /db_xref="Phytozome:Brara.H01918" /translation="MGVFRFHQYQVVGRALPTEKEVQPKIYRMKLWVTNEVRAMSKFW YFLRKQVKIKKSNGQMLAINEIFEKNPTTIKNFGIWLRYQSRTGYHNMYKDFRDTTLN GAVEQMYTEMASRHRVRFPCIQIIKTATVPAALCKRESTKQFHNSKIKFPLVFRKVRP PTRKLKTTYKASKPNLFM" gene complement(17899121..17902107) /locus_tag="BRARA_H01919" /db_xref="Phytozome:Brara.H01919" mRNA complement(join(17899121..17900437,17900617..17900782, 17901192..17901358,17901877..17902107)) /locus_tag="BRARA_H01919" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01919" CDS complement(17899307..17900050) /locus_tag="BRARA_H01919" /codon_start=1 /product="hypothetical protein" /protein_id="RID51242.1" /db_xref="Phytozome:Brara.H01919" /translation="MQNNQYPHFSDEMGDGNMNFPYSSSFDDLFPPCAKLPFHGVELQ PSPVCPKNFVIFDQTYDHSQVMYHPDLTPRLVNSGLASTFQNEYAGGSYGYNYGQEVY GNYGGGQEVVSSSYQEDPNEIDALLSTDEDDEGEEDGGDSEEVSTARNASRDYGNISA ESCCSSYGYNSSRRKQSSSASAASSSNNDGKGGRKKKMKKMMGMLRKIVPGGEEMNTA SVLDEAVQYLKSLKLEAQKLGVGHFSNQS" gene complement(<17900399..>17900718) /locus_tag="BRARA_H01920" /db_xref="Phytozome:Brara.H01920" mRNA complement(join(<17900399..17900437,17900617..>17900718)) /locus_tag="BRARA_H01920" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01920" CDS complement(join(17900399..17900437,17900617..17900718)) /locus_tag="BRARA_H01920" /codon_start=1 /product="hypothetical protein" /protein_id="RID51241.1" /db_xref="Phytozome:Brara.H01920" /translation="MCFVGNKKGALKEIGTFMMTTCFIANYQSVQVSQAEYFRQLLKP VT" gene complement(<17909625..>17910428) /locus_tag="BRARA_H01921" /db_xref="Phytozome:Brara.H01921" mRNA complement(<17909625..>17910428) /locus_tag="BRARA_H01921" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01921" CDS complement(17909625..17910428) /locus_tag="BRARA_H01921" /codon_start=1 /product="hypothetical protein" /protein_id="RID51243.1" /db_xref="Phytozome:Brara.H01921" /translation="MAASTMALSSPAFAGKAVKLSPAASEVLGSGRVTMRKTVAKPKG PSGSPWYGSERVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIH CRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILA IWATQVILMGAVEGYRVAGDGPLGEAEDLLYPGGSFDPLGLATDPEAFAELKVKEIKN GRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK" gene complement(17911584..17913122) /locus_tag="BRARA_H01922" /db_xref="Phytozome:Brara.H01922" mRNA complement(join(17911584..17911907,17912004..17912075, 17912160..17912251,17912358..17912422,17912500..17912558, 17912630..17912695,17913071..17913122)) /locus_tag="BRARA_H01922" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01922" CDS complement(join(17911875..17911907,17912004..17912075, 17912160..17912251,17912358..17912422,17912500..17912558, 17912630..17912695,17913071..17913073)) /locus_tag="BRARA_H01922" /codon_start=1 /product="hypothetical protein" /protein_id="RID51244.1" /db_xref="Phytozome:Brara.H01922" /translation="MADPELEAIRQRRMQELMAQHGTGKQGSQQNPDQERAQEDAKRE ADERRQMMLSQILSSQARERIARIALVKPEKARGVEDVILRAAQMGQIVEKVSEERLI TLLEQINSQTTKQTKVTIQRRRGVDDD" gene complement(17913299..17915484) /locus_tag="BRARA_H01923" /db_xref="Phytozome:Brara.H01923" mRNA complement(join(17913299..17913782,17913856..17914059, 17914147..17914216,17914303..17914362,17914456..17914547, 17914632..17915484)) /locus_tag="BRARA_H01923" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01923" CDS complement(join(17913414..17913782,17913856..17914059, 17914147..17914216,17914303..17914362,17914456..17914547, 17914632..17915444)) /locus_tag="BRARA_H01923" /codon_start=1 /product="hypothetical protein" /protein_id="RID51245.1" /db_xref="Phytozome:Brara.H01923" /translation="MGENGDKHSVSQDGNSKKPESKNHSGVPKSDLWTDGLICAFEFI RGAKKHVHLKQEDGHTRVTTHSELNHNPFVDSSAADVPRSRSASSLNFIDDHLLPAAQ AERYEGSRWIPIGWARISELVQTVQVNAEWPSLELIDDEEDVPVTDLAAPYWERPGGP TWWCHMAAGHSSVEAWLRNATWLHPAISLALRDESKLISERMRHLLYEVPVRVAGGLL FELLGQSVGDPVISEDDVPVVFRSWQAKNFLVTVMHVKGNVSSTNVLGVTEVEELLYA GGYNVPRTVHEVIAHLACRLSRWDDRLFRKSIFGAADEIELKFMNRRNHEDVNLFSII LNQEIRKLSRQVIRVKWSLHAREEIIFELVQHLRGNVARQLLEGLRKNTREMLEEQEA VRGRLFTIQDVMQSSVRAWLQDKSLRVSHNLAVFGGCGLVLTIIVGLFGINVDGIPGA QNTPYAFGLFTLLMIIIGAVLIAVGLVYLGLKKPITEEQVEVRKLELQDVVKIFQHEA ETHAQVRRNNLSPTAGDIFDANYILIQ" gene <17917495..>17918160 /locus_tag="BRARA_H01924" /db_xref="Phytozome:Brara.H01924" mRNA join(<17917495..17917568,17917615..17917745, 17917845..17917913,17918063..>17918160) /locus_tag="BRARA_H01924" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01924" CDS join(17917495..17917568,17917615..17917745, 17917845..17917913,17918063..17918160) /locus_tag="BRARA_H01924" /codon_start=1 /product="hypothetical protein" /protein_id="RID51246.1" /db_xref="Phytozome:Brara.H01924" /translation="MTEQSAQELLQKVYYNILQLLTNGRLLDGIWLLANDNGTLKLHP SWLVKSFTKGLGFFNRVAKIAEWEGHHPDLLLVGWNNEKIDVWTHAIGGLTENDFICC SDQLARSGRSSKKEESFKSIF" gene complement(17918616..17920449) /locus_tag="BRARA_H01925" /db_xref="Phytozome:Brara.H01925" mRNA complement(join(17918616..17918859,17919131..17920449)) /locus_tag="BRARA_H01925" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01925" CDS complement(17919149..17920270) /locus_tag="BRARA_H01925" /codon_start=1 /product="hypothetical protein" /protein_id="RID51247.1" /db_xref="Phytozome:Brara.H01925" /translation="MAGFTMSLNLLLLVAMVATNILSLYHLSSTTNFFQSAVKSSPSS VPTVPDHLLRQLHTIRAAINHLTNHNPDKSTSTVSSTVSSSAPPKDLLLYSKLSPIAS ACHNYPDLLHEYMNYAPFSLCPSDADLAEKLILRGCHPLPRRRCFSRTPRATDSKPDS HVIWSRYSSCKSFDCLAAKLPGAGFDLSAEKSKSQFSAYKSELDLPITQLLQIAKSAN SVLRLGIDVGGGTGSFAAAMKARNVTVVTTTMNFNAPYSEAVALRGLVPLHVPLQQRL PVFDGVVDLVRCGRAVNRWIPVTVMEFFLFDLDRVLRGGGYLWLDRFFSKKVDLENVY GPMIGKLGYKKVKWAVANKVDSKHGEVFLTALLQKPVAR" gene 17932354..17932997 /locus_tag="BRARA_H01926" /db_xref="Phytozome:Brara.H01926" mRNA 17932354..17932997 /locus_tag="BRARA_H01926" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01926" CDS 17932440..17932757 /locus_tag="BRARA_H01926" /codon_start=1 /product="hypothetical protein" /protein_id="RID51248.1" /db_xref="Phytozome:Brara.H01926" /translation="MSESSSSFSSLTLLLIIFLLVLLCPSLSLSSESEVSVLDRELLE IKTNPKLNKTSRKPKCCEMRTRSQCSGFPRCRWCRSEALDDLCFSKAEALRLPSQVFR CEL" gene complement(<17933520..>17934185) /locus_tag="BRARA_H01927" /db_xref="Phytozome:Brara.H01927" mRNA complement(<17933520..>17934185) /locus_tag="BRARA_H01927" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01927" CDS complement(17933520..17934185) /locus_tag="BRARA_H01927" /codon_start=1 /product="hypothetical protein" /protein_id="RID51249.1" /db_xref="Phytozome:Brara.H01927" /translation="MSIFTFHCRLLRCVSRCFTATTIIYPTTTRHDDYTKLENQPQTE HTNTKRTIILDLDETLVHSTTQMPGVRYDFMVLVKMESEIMPIFVVKRPGLTEFLERL GESFEVVVFTAGLEDYASQVLDKIDRKGVITQRLYRDSCREVNGRYVKDLSMVVGEDL RSVLIVDDNPSSYSLQPENGVPIKAFVDDLKDQELMKLVEFLEICYAYEDMRDAVQDF LAN" gene complement(<17935677..>17936522) /locus_tag="BRARA_H01928" /db_xref="Phytozome:Brara.H01928" mRNA complement(<17935677..>17936522) /locus_tag="BRARA_H01928" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01928" CDS complement(17935677..17936522) /locus_tag="BRARA_H01928" /codon_start=1 /product="hypothetical protein" /protein_id="RID51250.1" /db_xref="Phytozome:Brara.H01928" /translation="MACHGFLGTPTLRSSHGRHNHGRRNRHQRHQSTCSAAAVAAAGS IFTSLNKSIFTFHSRLLRCVSRLFRLTSATPSRKQGYKKLEKLKHHHHHHQPPLRKHN DKKRTIVLDLDETLVHSSMEPPVRANVDFMVRLKIQGMVIPMFVVKRPGVTEFLDRIG KNYRVAVFTAGLPEYASQVLDKLDKNRVITQRLYRDSCTDMNGRYAKDLSLVAKTDLG SVLLVDDNPFSYSLQPDNGVHIKPFVDDMEDQELMKLAEFFDGCCQYEDVRDAASELL YNKVT" gene complement(17937001..17938873) /locus_tag="BRARA_H01929" /db_xref="Phytozome:Brara.H01929" mRNA complement(join(17937001..17937606,17937683..17938873)) /locus_tag="BRARA_H01929" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01929" CDS complement(join(17937139..17937606,17937683..17938780)) /locus_tag="BRARA_H01929" /codon_start=1 /product="hypothetical protein" /protein_id="RID51251.1" /db_xref="Phytozome:Brara.H01929" /translation="MDSSSSSHDEELDRFLDAPDEFYYDCMPPTRRHSHRQYSSPSAN PSAATLRRRNSAPHRNSIRSDVETEPSSSSSNGFKVDGKPSDDVIESTKDLIDLSPEK DNDFAVTDSGQNRVDPFQESREKTDESMDVTDSGQARVDPVREGVSLTEESTVTTARD DREHDNARSSPPELREPNQTEWSLLGYLVGLVLKAIEFQFNLFISLVKYPPLLLHWCF LFFFDPFSTIRLGRRFITTVITNKLSWFDDTKRVLNVACKCGWGLFYAVYVGAVLFGL LLLSLMLGGFMINRVADKPFVLKEVLNFDYTKNSPEAFVPITSCDGSCKESNEMLKIR GVRAIPRDHKLEITLSMTVPESEYNKNLGMFQVRVDFLSADGQTLNSIRRPCMLRFRS EPIRLVQTFLKMVPLVTGYVSEIQTLSLKLKGFAEKDIPTACLKVMIEQRAEFRPGAG IPELYDASLSLESDLPFFKKVIWKWRKTLYVWISMSLFVMELLFALVCCRPLVIPRTR SRDRTPSNRTGSR" gene <17940590..>17940808 /locus_tag="BRARA_H01930" /db_xref="Phytozome:Brara.H01930" mRNA <17940590..>17940808 /locus_tag="BRARA_H01930" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01930" CDS 17940590..>17940808 /locus_tag="BRARA_H01930" /codon_start=1 /product="hypothetical protein" /protein_id="RID51252.1" /db_xref="Phytozome:Brara.H01930" /translation="MAGEDFAFYQQKIPGYYLGIGIRNEQVGSVHSVHSPYFFLDENV LPIGSAVFAALAEMYIQDHQNQTKSGQRR" gene 17941241..17947207 /locus_tag="BRARA_H01931" /db_xref="Phytozome:Brara.H01931" mRNA join(17941241..17941487,17941975..17942149, 17942307..17942378,17942467..17942535,17942615..17942686, 17942875..17942946,17943063..17943134,17943234..17943305, 17943388..17943459,17943546..17943617,17943696..17943767, 17943877..17943945,17944033..17944098,17944177..17944239, 17944313..17944357,17944448..17944491,17944606..17944994, 17945075..17945270,17945352..17945498,17945597..17945715, 17945793..17946003,17946091..17946328,17946414..17946564, 17946645..17947207) /locus_tag="BRARA_H01931" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01931" CDS join(17941373..17941487,17941975..17942149, 17942307..17942378,17942467..17942535,17942615..17942686, 17942875..17942946,17943063..17943134,17943234..17943305, 17943388..17943459,17943546..17943617,17943696..17943767, 17943877..17943945,17944033..17944098,17944177..17944239, 17944313..17944357,17944448..17944491,17944606..17944994, 17945075..17945270,17945352..17945498,17945597..17945715, 17945793..17946003,17946091..17946328,17946414..17946564, 17946645..17947013) /locus_tag="BRARA_H01931" /codon_start=1 /product="hypothetical protein" /protein_id="RID51253.1" /db_xref="Phytozome:Brara.H01931" /translation="MFADKKKKKDINIFVFSIFAIICFKSFSINAIKLPQDEVDALQQ IATTLGSKYWKFDAESCTVEKVGLTETPPPLAEQVIECECSPTNETDCHVVKIALKDH SLPGTLPPQIVKLPKLREIDLAYNYLNGTIPLEWFTTNLTLISLLVNRLSGEIPKELG NLTSLTFLNLESNAFSGTIPQELGNLVNLGTLMLSSNNLTGNLPASLSKLQNMTDFRI NDLQLNGTIPTYTQNWKELERLEIVASGLTGPIPSVISVLNNLKNLRISDIGGPVQPF PYLRNSTEISKLVLKNCNLAGQIPDYLSNFKNLETLDLSFNKLPGVIPSFAHAEKLRF LILTGNRLEGDVPPELLRDGITVDLSYNNLKWQSSESRSCRPNMNLNLNLFQSTSTKE SSKVLPCIDDFKCPRYSSCLHVNCGGSDLTLKQNKTKILYQGDGEAEGGAAKYYLKHN SYWGFSSTGDYMDDNNFQNTRFTVFVPTSNLSDLYKSARIAPVSLTYFHACLENGKYT VNLDFAEMRFTNDETYNRLGRRVFDIYIQEKLVVKDFNIMEEAKGAQKPITKSFTVNV TNHFLAIRLSWAGKGTTRIPTRGVYGPLISAISIVSDSKPCASPGSGMSLGAKIAIGL GSICLIIFILGVLWFFGCLPKCWQRRKDPNEEELPSGTFTLRQIKFATDDFNPANKIG EGGFGPVFKGVLSDGRVVAVKQLSSKSRQGNREFLNEIGAISCLQHPNLVKLHGFCVE RSQLLLVYEYMENNSLAQALFSPKHKQIPLDWPTRFKICCGIAKGLAFLHEESPLKFV HRDIKATNILLDKDLTPKISDFGLARLDEEENTHVSTKVAGTIGYMAPEYALWGYLSF KADVYSYGVLVLEIVAGINNSSFMAAGDEVCLLEWAMECEESGDLMQVVDERLRPEVD KKEAETLIKVALVCTSASASDRPIMSEVVGMLEGHYPVPEPTPGTSRKSGDIRFKAFK DVRKGMEENSSKTQFSLNSYPSSSSDTHVAGQERKQDESGT" gene <17948690..>17950156 /locus_tag="BRARA_H01932" /db_xref="Phytozome:Brara.H01932" mRNA join(<17948690..17948824,17948903..17949113, 17949206..17949437,17949516..17949603,17949731..>17950156) /locus_tag="BRARA_H01932" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01932" CDS join(17948690..17948824,17948903..17949113, 17949206..17949437,17949516..17949603,17949731..17950156) /locus_tag="BRARA_H01932" /codon_start=1 /product="hypothetical protein" /protein_id="RID51254.1" /db_xref="Phytozome:Brara.H01932" /translation="MYDLVDLRAQGLQTLCFTWRQLQAATNDFDQANKLGEGGFGSVF KGELSDGTIIAVKQLSSKSCQGNREFVNEIGMISGLNHPNLVKLYGCCVEKDQLLLVY EYMENNSLALALSGKSSTKLEWAMRQKNCVGIARGLAFLHEGSIVRMVHRDIKTTNVL LDADLNAKISDFGLAWLHEEEHTHISTKIAGTIGYMAPEYALWGQLTEKADVYSFGVV AMEIKTFTFESQALMLQQTGDIMDIVDPVLEGDFNSKEAVRMIKVALVCTHSSPSLRP TMSEAVQMLEGEIEVTQVMSDHGLYGHNWSISKMRDVDTHGSSSTSGVTDHQTESTMK SSVSGSDLYPSYPESVFLKSTVELPSSSI" gene 17951229..17958370 /locus_tag="BRARA_H01933" /db_xref="Phytozome:Brara.H01933" mRNA join(17951229..17951357,17951444..17951627, 17951710..17951781,17952489..17952560,17952639..17952710, 17952793..17952864,17953990..17954061,17954309..17954380, 17954468..17954539,17954619..17954684,17954762..17954833, 17954917..17954979,17955061..17955129,17955212..17955262, 17955336..17955377,17955495..17955538,17955621..17956009, 17956114..17956312,17956408..17956428,17956511..17956645, 17956743..17956861,17956941..17957151,17957244..17957475, 17957629..17957779,17957881..17958370) /locus_tag="BRARA_H01933" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01933" CDS join(17951255..17951357,17951444..17951627, 17951710..17951781,17952489..17952560,17952639..17952710, 17952793..17952864,17953990..17954061,17954309..17954380, 17954468..17954539,17954619..17954684,17954762..17954833, 17954917..17954979,17955061..17955129,17955212..17955262, 17955336..17955377,17955495..17955538,17955621..17956009, 17956114..17956312,17956408..17956428,17956511..17956645, 17956743..17956861,17956941..17957151,17957244..17957475, 17957629..17957779,17957881..17958219) /locus_tag="BRARA_H01933" /codon_start=1 /product="hypothetical protein" /protein_id="RID51255.1" /db_xref="Phytozome:Brara.H01933" /translation="MGVVFSAFVLFFTISTSFFSTLTTSASPALHPDELKALGEIATT LGIKRLNLSDGDPCLLKTLKLGVVSNPDSDVENIILCDCSFDNNMTCHITELTLKSIS FSGKLPPELAKLKYLHKIAFCRNYLSGSIPMEWASLPNLTFISLCANRLSGPLPRGLQ NFKSLTFLGVEANQFSGPIPDELGNLTNLTVLELASNQFTGSLPSSLARLVNLDKFRI SDNNFTGIIPEYIGNWSRLTRLDIQASGLKGPFPDAVARLENLTNLFISDMTGINTFP NISSQAIKDLVLRNVSMSGKIPSYIWSKPNLRSLDLSFNKLTGEVLGINIIPKFTYLT GNMLSGEIKSGVYLNSRKNIDLSYNNFSWPSSCQERSNINTYRSSSLKNTLTGLLPCA GPMTCKHYKRSLHINCGGETVTVTNSTGKITYEADNSDQVKAATNQHFKNWGISNTGD FMDDNKDDDTYIVSTSLTLPGDSPDLYKTARRSALSLVYYAFCLENGEYNLKLHFMEI QFSDKELYSRLGRRIFDVYVQGKLFLRDFNIRQEANGTLKPVVKELKDVNVTDHKLEI WLYWAGKGTTLIPKRGNYGPLISAISLCHSLEQHCGVEKTKHHINYPLIFGVTGPLVA IIFLALGFYAHRKYRQDKKKRERDLRAQGLQTVCFTWRQLQAATNNFDEANKLGEGGF GSVFKGELSDGTIIAVKKLSSKSCQGNREFVNEIGMISGLNHPNLVKLYGCCVEKDQL LLVYEYMENNSLALALSGRSSLKLDWAARKKICVGIARGLEFLHEGAAMRMIHRDIKT PNVLLDANLNAKISDFGLARLHEEEHTHISTKVAGTIGYMAPEYALMGHLTEKADVYS FGVVAMEIVSGKSNTTQKGSDDNAPLIKWAMTLQQKGDIMEIVDPKLEGEFNSLEAER MTRVALVCTNATPSLRPLMSEAVKMLEGEMEIPRIMSGPAVYGHDLNFSKLMEMQEST SMSGYGLSPFNQESATSNSTAEFSS" gene 17959403..17966287 /locus_tag="BRARA_H01934" /db_xref="Phytozome:Brara.H01934" mRNA join(17959403..17959475,17960838..17960909, 17961032..17961103,17961398..17961469,17962498..17962563, 17962658..17962729,17962872..17962940,17963008..17963076, 17963153..17963203,17963279..17963320,17963411..17963454, 17963530..17963915,17964008..17964203,17964396..17964416, 17964520..17964654,17964742..17964860,17964944..17965154, 17965238..17965469,17965552..17965702,17965786..17966287) /locus_tag="BRARA_H01934" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01934" CDS join(17963024..17963076,17963153..17963203, 17963279..17963320,17963411..17963454,17963530..17963915, 17964008..17964203,17964396..17964416,17964520..17964654, 17964742..17964860,17964944..17965154,17965238..17965469, 17965552..17965702,17965786..17966187) /locus_tag="BRARA_H01934" /codon_start=1 /product="hypothetical protein" /protein_id="RID51256.1" /db_xref="Phytozome:Brara.H01934" /translation="MLSGNVESAFLINNKPNIDLSYNNFSWSSSCQEKSNINTYRSSI LKNNLTGLLPCAGPVNCKTYQRSVHINCGGEDLKITNSFGKITYQADNSKTNAATNHH QQNWGISNTGDFTDDAYVDDTFIISTSLRLSKDSPYLYKTARRSALSLVYYAFCLENG AYNVKLHFMEIQFSEKEVYSRIGRRIFDVYVQGKLFLRDFNIKEEANGTLKPVVKELK ANVTDHLLEIRLYWAGKGTALIPNRGNYGPLISAISLCHSLEPQCGAEKIKHHISFPL ILGATGVLVTITLLAVGIYARRRCLKDSNTIKRDLRAEGLQTVCFTWRQLQDATNNFD KANKLGEGGFGSVFKGELPDGTIIAVKQLSSKSCQGNREFVNEIGMISGLNHPNLVKL YGCCVEKNHLMLVYEYMENNSLALVLSGKSSMKLDWKTRQKICVGIARGLEFLHEGSM IRMVHRDIKTPNVLLDAYLNAKISDFGLARLHEEEHTHISTKVAGTMGYMAPEYVLWG QLTEKADVYSFGVVAMEIVSGKSNTKHKGTADHLSLLDWALSLHQKGNILEVVDPVLE GHFNRKEAVRMINVALVCTNSSPALRPTMSEAVKMLEGVIELTQVSSDPGIYGDDWSL LKLRDIDDTHGSSSTSGVTDQTRTTTKSSVSGCDLYPLYPESMTLNSTVEYHSSSL" gene 17966731..17968914 /locus_tag="BRARA_H01935" /db_xref="Phytozome:Brara.H01935" mRNA join(17966731..17966843,17967205..17967508, 17967584..17967709,17967790..17967948,17968024..17968142, 17968216..17968407,17968495..17968585,17968688..17968914) /locus_tag="BRARA_H01935" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01935" CDS join(17967233..17967508,17967584..17967709, 17967790..17967948,17968024..17968142,17968216..17968407, 17968495..17968585,17968688..17968780) /locus_tag="BRARA_H01935" /codon_start=1 /product="hypothetical protein" /protein_id="RID51257.1" /db_xref="Phytozome:Brara.H01935" /translation="MAMVVSGGKMPLHANALPLSIINTKTRVRSVSTVPLFSPASHSP SSSLSIRFKLSPRVSRSLSVVSSVLSEDRATGVSGSGGTDAFKLTYLEGNSWLWETSG LRILVDPILVGNLDFGIPWLYDAAKRFLKGFKLDDLPEVDCLLITQSLDDHCHLNTLR PLSKKSPDLKVIATPNAKPLLDPLFRNITYLEPGESYELNARNGSKVRVKATAGPVLG PPWQRPENGYLLASPEDQISLYYEPHCVCNMELLKNERADIVITPVIKQLLPQFTLVS GQEDAVQLAKILKAKFIVPMQNGDLDAKGILASIIKKEGTIESFKDLLSRELPKAQVL EPIAGVPLEILPPTSDV" gene 17970456..17973684 /locus_tag="BRARA_H01936" /db_xref="Phytozome:Brara.H01936" mRNA join(17970456..17970863,17971184..17971422, 17971505..17971700,17971777..17971960,17972055..17972209, 17972750..17973684) /locus_tag="BRARA_H01936" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01936" CDS join(17970576..17970863,17971184..17971422, 17971505..17971700,17971777..17971960,17972055..17972209, 17972750..17973388) /locus_tag="BRARA_H01936" /codon_start=1 /product="hypothetical protein" /protein_id="RID51258.1" /db_xref="Phytozome:Brara.H01936" /translation="MENRKGGNFSVPSSEALTTTLRNAIQALGRGFDVTSDVRLLYCK GAPGSRLVHIEEGQNRDLELSDGFLLPNVPVDIECSPGEEGIQRIPVCTFHEMAAAFN EISGVKGNIPLGCFNAMFNYTGSWQVDAASTKSLAVVGYFNRLYEVKLAKLTLFLRNE IKRAVPSSWDPASLASFIENYGTHIVTSVTIGGRDVVYIRQHMSSPLPVSEIDNYVND MRKHRFQDAESQSITGPLKYKDKDITVIFRRRGGDDLEQSHTRWAKTVPAAPDIINMT FTPIVSLLEGVPGLRHLTRAIELYLEYKPPIEDLQYFLDFQIARAWAPEQSNLQRKEP VCESLQFSLMGPKLFVSADQVTVGRKPVTGLRLSLEGSKQNRLSIHLQHLVSLPKILQ PHWDSHVPIGAPKWQGPEEQDSRWFEPIKWKNFSHVSTSPIEHTETHIGDLSGVHIVT GAQLGVWNFGSKNVLHLKLLFSKVPGCTIRRSVWDHTPVASTGRLEQGGASTSSSSGE EKREDLSGQAGKLAKIVDSSEMLKGPQDLPGHWLVTGAKLGVEKGKIVLRVKYSLLNY " gene complement(17974371..17976073) /locus_tag="BRARA_H01937" /db_xref="Phytozome:Brara.H01937" mRNA complement(join(17974371..17974717,17974812..17975064, 17975155..17975400,17975481..17975605,17975714..17976073)) /locus_tag="BRARA_H01937" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01937" CDS complement(join(17974494..17974717,17974812..17975064, 17975155..17975400,17975481..17975605,17975714..17975948)) /locus_tag="BRARA_H01937" /codon_start=1 /product="hypothetical protein" /protein_id="RID51259.1" /db_xref="Phytozome:Brara.H01937" /translation="MESYLRKWCVVFVLLGLAFSVTKAQQVPCYFIFGDSLVDNGNNN GLVSFARANYFPYGIDFGGPTGRFSNGRTTVDEIAELLGFNDYIPAYNSVRGRQILTG VNYASAAAGIRDETGRQLGQRISFSGQVRNYQNTVQQVVSLLGGETQAADYLKRCIYS VGMGSNDYLNNYFMPTFYSSSRQFTPEQYANDLISRYSTQLNALYNYGARKFALIGIG AIGCSPNALARSRDSRTCDERINSANQIFNSKLRSLVDQLNNNHPDAKFTYINAYDIF QDMIKNPSRFGFRVTNAGCCGIGRNAGQITCLPGQRPCRDRNAYVFWDAFHPTEAANI VIARRSYKAESPSDAYPMDISGLARL" gene complement(17979326..17981667) /locus_tag="BRARA_H01938" /db_xref="Phytozome:Brara.H01938" mRNA complement(join(17979326..17979691,17979839..17980094, 17980645..17980890,17980984..17981108,17981265..17981667)) /locus_tag="BRARA_H01938" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01938" CDS complement(join(17979468..17979691,17979839..17980094, 17980645..17980890,17980984..17981108,17981265..17981508)) /locus_tag="BRARA_H01938" /codon_start=1 /product="hypothetical protein" /protein_id="RID51260.1" /db_xref="Phytozome:Brara.H01938" /translation="MESYLRKWCLVSVLVLYLGLGFNVKAEPQVPCYFIFGDSLVDNG NNNGLSSLARADYYPYGIDLGGPTGRFSNGKTTVDEIAELLGFDNYIPAYSDVSGEQI LQGVNYASAAAGIREETGQQLGQRIPFSGQVQNYQNTVAQVVELLGDENTAADYLRKC IYSVGLGSNDYLNNYFMPQYYSTSRQYTPEQYADDLINRYRDQLNALYNYGARKFALV GVGAIGCSPNALAQGSPDGTTCVERLNSANRIFNNRLKSMVQQLNNEHSDAKFTYINA YGVFQDIIANPSAYGFTVTNAACCGVGRNGGQLTCLPGQGPCPNRNEYVFWDAFHPTD AANTIIAQRSYKAQSSSDVYPIDISALARL" gene 18010447..18011155 /locus_tag="BRARA_H01939" /db_xref="Phytozome:Brara.H01939" mRNA 18010447..18011155 /locus_tag="BRARA_H01939" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01939" CDS 18010528..18010947 /locus_tag="BRARA_H01939" /codon_start=1 /product="hypothetical protein" /protein_id="RID51261.1" /db_xref="Phytozome:Brara.H01939" /translation="MSEEFDESEIIFSDSFFPIRRREDVIEKENRPVNFRENSESRMR NTSRLSKTTPLPSSLATFSSSLPVNIPGRKYSSEDEEYSEDGGKRMVPPHLIVGRRIE SGQMAFSVCTGQGRTLKGRDLSRVRNSVLRLTGFLEA" gene 18014662..18016594 /locus_tag="BRARA_H01940" /db_xref="Phytozome:Brara.H01940" mRNA join(18014662..18014910,18015163..18015671, 18015754..18015849,18016326..18016594) /locus_tag="BRARA_H01940" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01940" CDS join(18014817..18014910,18015163..18015671, 18015754..18015843) /locus_tag="BRARA_H01940" /codon_start=1 /product="hypothetical protein" /protein_id="RID51262.1" /db_xref="Phytozome:Brara.H01940" /translation="MPPGAKKRKALKKKQQQQQAIGTSTNGDNLHGGNDEHGSQQDDR ESDGNLSSPGSQGNEEFGGMKDPSAAMVKDTAKEITEATQGLEPNNGNGIALDKKTIV EKSGNVIAVERGTDEVEKSPISSYENSTQTAKNVASQDPVSKVVISEESEHAETSNLV KHKSGAGENGKVATLPGSASGTSKMVESVRESEVPSSPEEKRLLLPGPPAVRTSWLSC CGLFDAMTGSDR" gene complement(18016627..18017671) /locus_tag="BRARA_H01941" /db_xref="Phytozome:Brara.H01941" mRNA complement(join(18016627..18016905,18016994..18017039, 18017134..18017353,18017442..18017671)) /locus_tag="BRARA_H01941" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01941" CDS complement(join(18016819..18016905,18016994..18017039, 18017134..18017353,18017442..18017568)) /locus_tag="BRARA_H01941" /codon_start=1 /product="hypothetical protein" /protein_id="RID51263.1" /db_xref="Phytozome:Brara.H01941" /translation="MAGEQMKPVASLLLVLNFCMYVIVLGIGGWAMNRAIDHGFEIGP DLKLPAHFSPIYFPMGNAATGFFVVFALLAGVVGAASTISGLSHIRSWTAGSLPAAAG AATIAWTLTVLAMGFAWKEIELHVRNARLRTMEAFLIILSVTQLLYIAAVHGVRRPA" gene complement(18017969..18018556) /locus_tag="BRARA_H01942" /db_xref="Phytozome:Brara.H01942" mRNA complement(18017969..18018556) /locus_tag="BRARA_H01942" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01942" CDS complement(18018065..18018490) /locus_tag="BRARA_H01942" /codon_start=1 /product="hypothetical protein" /protein_id="RID51264.1" /db_xref="Phytozome:Brara.H01942" /translation="MINTKKLMKMAKKWQQRAALHRKRISFQRSNAATSSTATEKGCF VVYTADKTRFAFPLSYLSNSVFQELLKISEEEFGLPAGGPITLPFDSVFMDYLIKLIE RRMDGDTEKALLMSISSARCSLQCSLQQQEQSNQQLFVF" gene complement(<18020157..>18020411) /locus_tag="BRARA_H01943" /db_xref="Phytozome:Brara.H01943" mRNA complement(<18020157..>18020411) /locus_tag="BRARA_H01943" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01943" CDS complement(18020157..18020411) /locus_tag="BRARA_H01943" /codon_start=1 /product="hypothetical protein" /protein_id="RID51265.1" /db_xref="Phytozome:Brara.H01943" /translation="MSMNQHCNSDLQTYGNIILIPLISTKRKDHFKEKKQNFIIKFGS ENKASQSLCISRSDWVSQNIMPFHHIHTQHHKINHKKPLG" gene complement(18021137..18021703) /locus_tag="BRARA_H01944" /db_xref="Phytozome:Brara.H01944" mRNA complement(18021137..18021703) /locus_tag="BRARA_H01944" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01944" CDS complement(18021201..18021617) /locus_tag="BRARA_H01944" /codon_start=1 /product="hypothetical protein" /protein_id="RID51266.1" /db_xref="Phytozome:Brara.H01944" /translation="MMNTKKLIKMAKKWQQRAALHRRRISFHRSSTSGSRAVEKGCFV VYTADQKRFAFPLRYLSNSVFQELLKISEEEFGLSAGGPITLPFDSVFVEYLIKLVER RMDGDTEKALLVSISSARCSTLHCSLELQEQQLLVF" gene complement(18022460..18023008) /locus_tag="BRARA_H01945" /db_xref="Phytozome:Brara.H01945" mRNA complement(18022460..18023008) /locus_tag="BRARA_H01945" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01945" CDS complement(18022518..18022937) /locus_tag="BRARA_H01945" /codon_start=1 /product="hypothetical protein" /protein_id="RID51267.1" /db_xref="Phytozome:Brara.H01945" /translation="MMNPKKLMKMAKKWQQRAALSRKRISFQRSSTTTTTSTAVEKGC FVVYTADNARFAFPLSYLSNPVFQEILKISEEEFGLPSSGPITLPFDSVFLEYLIKLI ERRIDGDTERALLMSISSARCSLPCSLQQQQEHLLVC" gene complement(<18024364..>18024771) /locus_tag="BRARA_H01946" /db_xref="Phytozome:Brara.H01946" mRNA complement(<18024364..>18024771) /locus_tag="BRARA_H01946" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01946" CDS complement(18024364..18024771) /locus_tag="BRARA_H01946" /codon_start=1 /product="hypothetical protein" /protein_id="RID51268.1" /db_xref="Phytozome:Brara.H01946" /translation="MAKKWQQRAALHRKRISFQRSSITTSSPATEKGCFVVYTVDKTR FSFPISFLSNSVFQELLKISEEEFGLQAGGPIKLPFDSVFLEYLIKLIERQMDGDTEK ALLISVSSARCSLHCSLQQQEQQSSTNQQLLVF" gene complement(18025679..18026985) /locus_tag="BRARA_H01947" /db_xref="Phytozome:Brara.H01947" mRNA complement(join(18025679..18026458,18026584..18026985)) /locus_tag="BRARA_H01947" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01947" CDS complement(join(18025859..18026458,18026584..18026778)) /locus_tag="BRARA_H01947" /codon_start=1 /product="hypothetical protein" /protein_id="RID51269.1" /db_xref="Phytozome:Brara.H01947" /translation="MSAIVCGSKRSLFEDLSAASSPPVSKKLRYFSSSSPPRFPPPPP PASSSLLLDHLAAIFPDMDHQILERAIEECGDDIDSAIRCLNQLRLESTTNKNSESSL IQEEAKVEAPQQQGKEEEVLNLDGTEWVNLFVSEMMNASDMKDAKDRAGRALEALEKS INARAGADAAMQSSLQQENSMLRQQLEAIVQENGLLKRAVVMQQKRQKESDDQSQELQ HLRQMVTQYQEQLRTLEVNNYALTLHLKQAQQNNSSIPGRFHPDVF" gene 18029349..18032831 /locus_tag="BRARA_H01948" /db_xref="Phytozome:Brara.H01948" mRNA join(18029349..18029525,18029816..18030508, 18030579..18030728,18030809..18031079,18031161..18031271, 18031517..18031691,18031778..18032047,18032124..18032318, 18032403..18032831) /locus_tag="BRARA_H01948" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01948" CDS join(18029861..18030508,18030579..18030728, 18030809..18031079,18031161..18031271,18031517..18031691, 18031778..18032047,18032124..18032318,18032403..18032631) /locus_tag="BRARA_H01948" /codon_start=1 /product="hypothetical protein" /protein_id="RID51270.1" /db_xref="Phytozome:Brara.H01948" /translation="MAMGRYSRVDGKRSSSSFGLTITIVLIVSLSLVGAWMFMSSWSA PTESIDFSSSQTTTKDVETTSKSDFTNEKNEETEVVTESNQEKVEERKEFEDKNGEGD RKDGEDVFPAGDQAEITKESTTRTGSWSTQLVESQNEKKAQVSSSSSIKWKLCNVTAG PDYIPCLDNLQAIRKLHSTKHYEHRERHCPEEAPRCLVPLPEGYKRSIKWPKSREKIW YNNIPHTKLAQVKGHQNWVKMSGEYLTFPGGGTQFKNGALHYIDFLQESYPDIAWGNR TRVILDVGCGVASFGGYLFDRDVLALSFAPKDEHEAQVQFALERGIPAMSNVMGTKRL PFPGSVFDLIHCARCRVPWHIEGGKLLLELNRALRPGGFFVWSATPVYRKTEEDVGIW KAMSKLTKAMCWKLMTIKTDELNEVGAAIYQKPMTNECYNERSQEEPPLCKDSDDQNA AWNVPLEACMHKVTEDSSKRGAVWPEKWPERVETAPQWLESQEGVYGKPAQEDFTTDH ERWKNTVSKSYLNGMGIDWSYVRNVMDMRAVYGGFAAALKDLKLWVMNVVPIDSADTL PIIYERGLFGIYHDWCESFSTYPRTYDLLHADHLFSPLKKRCNLVSVMAEVDRILRPQ GTFIVRDDSETVGEIEKMVKSMKWNVTMTHSKGGEGLLSVQKSWWRPTEVETITSAIA " gene complement(18035464..18037445) /locus_tag="BRARA_H01949" /db_xref="Phytozome:Brara.H01949" mRNA complement(join(18035464..18035941,18036030..18036072, 18036511..18037146,18037274..18037445)) /locus_tag="BRARA_H01949" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01949" CDS complement(join(18035704..18035941,18036030..18036072, 18036511..18037146,18037274..18037337)) /locus_tag="BRARA_H01949" /codon_start=1 /product="hypothetical protein" /protein_id="RID51271.1" /db_xref="Phytozome:Brara.H01949" /translation="MAHIVARRYFQIMLLCLLISSPLDVIAQGGQGDIPVVNPTSPGG DTTTPTITQPSPPSSTFPGPVTNPNPPTGGYPPLDGTTPTGGGYPPLDGTTPTGGGGY PPLDGTTPTGGGAPGGGGGDTGTGAGGGGGGAPGGGGGGGDTGGGGGGGGGSGQWCIA KANASPTSLQVALDYACGYGGADCGQIQQGASCYEPNTIRDHASFAFNSYYQKHPGSD SCNFGGAAQLTSTDPSKGSCRFSASSGTVSTSPPSQPSPPDFNSPPSTSTFPPPITTP TTGMPGSGPPFGVAEPTGLPSSATHASHSFFISLFTAVGILMPLLRQYYL" gene 18039378..18043389 /locus_tag="BRARA_H01950" /db_xref="Phytozome:Brara.H01950" mRNA join(18039378..18039661,18039891..18039934, 18040183..18040300,18040383..18040497,18040619..18041157, 18041278..18041521,18041607..18041892,18041994..18042143, 18042292..18042771,18042862..18043389) /locus_tag="BRARA_H01950" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01950" CDS join(18039484..18039661,18039891..18039934, 18040183..18040300,18040383..18040497,18040619..18041157, 18041278..18041521,18041607..18041892,18041994..18042143, 18042292..18042771,18042862..18043179) /locus_tag="BRARA_H01950" /codon_start=1 /product="hypothetical protein" /protein_id="RID51272.1" /db_xref="Phytozome:Brara.H01950" /translation="MSSGKVIGARKGNNDIPTGSRKIVQSLKEIVNSPEAEIYAMLKE CNMDPNEAVHRLLSQDPFHEVKSKKEKKKETRDVPDYRLRGGHNTYNRGGRGGSDRYG GRSGSTHLSSTDSGNFRGKSTNKRESGTQGYTSSWSSASGVANHHQTPHSDSVVTENK LPPAASSDGILSSQPASGHQTPWFGAQGQMSMADIVKRGIPQNKTTNSQRSEINHGHE VNANHLVPVKDEWPSIEKPLAPITSSVSVAPVESEACGGAADFQSERGVQQHLRDRLE NIQLDESGPSEDLGVDHVQPGSVGNVQEDDSGVSSESNDNQYTYETQSHPVEHHKDED EVSSGSADSQELTIDGHDQEASHEEDRPAVVIPKHLLIHTEECSQLSFGSFGGFGSRP LSNNAEETPDVAPQNEHPDARNTEFYGDERLGSTVNGSMGHAPAAGSYDDSLESRREV LKQENPETVQENQYTFAQSETEYAKQQQQQQQLNTAYDASQTNAQNQMQNLASLSNVM QAYPHSDPNSLLAQNARELEFQYSNFAQSMQSRNSNNASSLGAQSISMPEALRGSGIP GTQPMQQNLQGANIATGPALPQQLPQMHPYSQPTMPLAHFANMISYPLIPQNYPYMPS AFQQTYAGNTSYHQQLAALLPQYKTNLSSSNLPQSATAPASAYGFGNNSTNVGSAGNF PLNQQQSAPTGYEDVLSSQYKESNHLLALQHQQQQQNENSAMWHHGHGSRTMSGVPAN TYYNLQAQQQQLQQTQQAAAGGYRQAQQQQQQRYGSHGYPNFYQSQTEMSLERQQQNP RDGAGAQVGQQPSDQTQQQLWQNSY" gene complement(18046669..18049265) /locus_tag="BRARA_H01951" /db_xref="Phytozome:Brara.H01951" mRNA complement(18046669..18049265) /locus_tag="BRARA_H01951" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01951" CDS complement(18046954..18049095) /locus_tag="BRARA_H01951" /codon_start=1 /product="hypothetical protein" /protein_id="RID51273.1" /db_xref="Phytozome:Brara.H01951" /translation="MATAAIFSSLRRRRSPSLEAFLSPVDLSGLPLVQTLAAISTEII SSFSGTRFSFQRRNARSLIRKIEIFLVLFESLAESRWGSTPSSSSTALLCLKELYLLL YRSKILLDYCAHSSKLWLLLQTQSISGYFHDLNQEISTLLDVFPVNDLNLSDDVREQI ELLQSQSRRSRLYIDSNDESLRRTFYSFLDGFENGEIPNSIALRSFFVEKLGIKDSKS CGNEIEFLEEQIANHDGDVEPTGSVINGFVAITRYCRFLLFGFEEEWRIKNNNPNKKS KRDGDGFITVPKDFVCPISLDLMSDPVIISTGQTYDRTSIARWIEEGHCTCPKTGQML MDSRIVPNRALKNLIVQWCAASGVSYESEFVTDSSTNEGFVSGLPTKAAVEANKATVS ILIEYLADGSEAAQTVAAREIRLLAKTGKENREFIAEAGAIPHLRRLLKSEDAVAQEN SVTAMLNLSIYEKNKSRIMEEEDCLEAIVSVLVSGLTVEAQENAAATLFSLSAVHEYK KRIAMADQCVEALASLLQNGTPRGKKDAVTALYNLSTHPENCSRMIEGGGVSSLVGAL KNEGVAEEAAGALALLVRQSLGAEAIGKEESAVTGLMGMMRCGTPRGKENAVAALLEL CRRGGAAVAERVLRAPAIAGVLQTLLFTGTKRARRKAASLARVFQRREHAAMRAGGYG FVGDVNGNRDGGNFTTDVSVPMSISISVPVL" gene 18054807..18057535 /locus_tag="BRARA_H01952" /db_xref="Phytozome:Brara.H01952" mRNA join(18054807..18055064,18055255..18055520, 18056273..18056388,18056600..18056673,18056764..18056827, 18056919..18057535) /locus_tag="BRARA_H01952" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01952" CDS join(18054974..18055064,18055255..18055520, 18056273..18056388,18056600..18056673,18056764..18056827, 18056919..18056955) /locus_tag="BRARA_H01952" /codon_start=1 /product="hypothetical protein" /protein_id="RID51275.1" /db_xref="Phytozome:Brara.H01952" /translation="MNIFRFAGDMTHLISILILLLKIYATKSCAGISLKTQELYALVF LTRYMDLITDYVSLYNTVMKIVFIVSSLAIVWCMRRHPLVRRSYDKDLDTFRHQYVVL ACFVLGLILNEKFTFQEVFWAFSIYLEAVAILPQLVLLQRSGNVDNLTGQYVLFLGAY RGLYIINWIYRYFTEDHFTRWIACVSGLVQTALYADFFYYYYLSWKTNTKLKLPA" gene complement(18056917..18059816) /locus_tag="BRARA_H01953" /db_xref="Phytozome:Brara.H01953" mRNA complement(join(18056917..18057535,18057619..18057676, 18057754..18057809,18057901..18057990,18058068..18058221, 18058303..18058410,18058487..18058550,18058638..18058817, 18058898..18059034,18059118..18059147,18059250..18059365, 18059459..18059816)) /locus_tag="BRARA_H01953" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01953" CDS complement(join(18057297..18057535,18057619..18057676, 18057754..18057809,18057901..18057990,18058068..18058221, 18058303..18058410,18058487..18058550,18058638..18058817, 18058898..18059034,18059118..18059147,18059250..18059365, 18059459..18059540)) /locus_tag="BRARA_H01953" /codon_start=1 /product="hypothetical protein" /protein_id="RID51274.1" /db_xref="Phytozome:Brara.H01953" /translation="MPRVITNETYGCPPIRALTFDSLGLIKVTEARGKERGTPTVVNT WGEMNASRSVLAASMDDRPSNPLLAVARKDGNVEVLNPCNGDLHFAYSLFGDDGSSPE DDEVSGLHLFRKQKYDQAERSCTLLTCMKKGDVSLRTVKFPDSPDDSIDDAAPKTWKA CGSGEVLVGKVDGSENFGLFGGKRVEVNIWDLEQCTKIWSAKSPPKDNLGIFTPTWFT CAAFLSNEDHRKFATGTKSHQVRLYDVSAQRRPVLSFDFHETAITAITEDPDGHTIYV GNASADLAAFDIRTGKLLGNFLGKCSGSIRSVVRHPHHPVIASCGLDRYLRVYDVKTR QLISAVFLKQHLTGLVFDSGFSGEEIAVANTVVEAETEEKMTIMEEDDEDKTEEVPVK KKKSKKEKRSRDKVSEKGEEIDEVRSKKTRDHKKKTKKVKHTQED" gene 18062385..18064434 /locus_tag="BRARA_H01954" /db_xref="Phytozome:Brara.H01954" mRNA 18062385..18064434 /locus_tag="BRARA_H01954" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01954" CDS 18062584..18063996 /locus_tag="BRARA_H01954" /codon_start=1 /product="hypothetical protein" /protein_id="RID51276.1" /db_xref="Phytozome:Brara.H01954" /translation="METLKKNPSVRRKGKLARTFQKVCSLRATSTKVSSNNGIGICML KSHNNPNFEDDDDDGDSVFDLKSTSSRSSRSGELKVRERRRAVFEAVVAKIFASTTSI KAAYAELQMAQRPYDNAAIQAADTAVVEELKALSELKRSFLRKELNISPQVAIMLAEI QEQQSLMRTYEITIKKLESESAEKQSRIDSLKTSLEEVSAVNKSNEKKLTASGSISPL DNVAFSNLNLSGYVQILGFTLRSVRSFVKSMVKEMESASWDLDAAASAAIRNASTVFA RPSHRCFAFESFVCCKMMENFESPDFSLPNVDEKPSRERFFNLRSVDPVQYLTRNPGS SFARFVLHKYLSVVHAKMECSFFGNLNQRKLVNSGGFPDSGFFAAFCEMAKRIWLLHC LAFCISENVTVFQIKKGCRFSQVYMEGVKSGDESLLSDDNADIRVGFTVVPGFKIGDN VIQSQVYLSPVTGFPPPGTS" gene complement(18072132..18072701) /locus_tag="BRARA_H01955" /db_xref="Phytozome:Brara.H01955" mRNA complement(18072132..18072701) /locus_tag="BRARA_H01955" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01955" CDS complement(18072329..18072649) /locus_tag="BRARA_H01955" /codon_start=1 /product="hypothetical protein" /protein_id="RID51277.1" /db_xref="Phytozome:Brara.H01955" /translation="MAVRILTIWLFIVFAIIVVVSPLPVSSRKLLEMKNQENLTVREE EKNHMPHVTKTTTLTALPKGKIQNSTPSKKGYAVISNVKHRSRHLSTVYRLLQSVPSP GVGH" gene complement(18086426..18087830) /locus_tag="BRARA_H01956" /db_xref="Phytozome:Brara.H01956" mRNA complement(join(18086426..18087329,18087474..18087830)) /locus_tag="BRARA_H01956" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01956" CDS complement(join(18086669..18087329,18087474..18087598)) /locus_tag="BRARA_H01956" /codon_start=1 /product="hypothetical protein" /protein_id="RID51278.1" /db_xref="Phytozome:Brara.H01956" /translation="MKRGLDMARSYNDHESSQETGPESPNSPTFNAVISSHSPKRSRR SMEKRVVNVPMKEIEGSRHKGDTTPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSTKGC PARKQVERSRDDPTMIIITYTSEHNHPWPLSSSSRHGPKPKPEPKPEPELEVPEEVEL EEDGNSKLMVMGREIETTPSCIVDEFAWFSEMETTSSTILESPIFSSEKKTAVSAAAD DVGVFFPMGEEDESLFADLGELPECSVVFRHRSSVVGSQVEIF" gene <18096620..>18097019 /locus_tag="BRARA_H01957" /db_xref="Phytozome:Brara.H01957" mRNA join(<18096620..18096653,18096694..18096884, 18096948..>18097019) /locus_tag="BRARA_H01957" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01957" CDS join(18096620..18096653,18096694..18096884, 18096948..18097019) /locus_tag="BRARA_H01957" /codon_start=1 /product="hypothetical protein" /protein_id="RID51279.1" /db_xref="Phytozome:Brara.H01957" /translation="MCWTHGERNQRRRRSERRECVSDLEGEETKEFIDLGFVSTKEDL NSELPEILPGHGNISPLGRREYICWKHGSFKLCGDTNINDSLKWWARSVACNLK" gene 18101000..18103113 /locus_tag="BRARA_H01958" /db_xref="Phytozome:Brara.H01958" mRNA 18101000..18103113 /locus_tag="BRARA_H01958" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01958" CDS 18101154..18102725 /locus_tag="BRARA_H01958" /codon_start=1 /product="hypothetical protein" /protein_id="RID51280.1" /db_xref="Phytozome:Brara.H01958" /translation="MSQALAPPPLLVVTTVVPDPPHPPPPPQQKPYALQYVTELLSRI GIKETDKDGNISPQSPRSPRNNILMGKYELGKLLGHGTFAKVYLALNIKSGENVAIKV IDKEKIMKSGLVAHIKREISILRRVRHPYVVHLFEVMATKTKIYFVMEYVPGGELFNK VAKGRLPEDTARRYFQQLISSVSFCHGRGVYHRDLKPENLLLDAKGNLKVSDFGLSAV AEQLRIDGLCHTFCGTPAYLAPEVLTRKGYDAAKADVWSCGVILFVLMAGHIPFYDKN IMAMYKKIYKGEFRCPRWFSSDLIRLLTRLLDTNPDTRITIPEIMKSRWFKKGFKHVK FYIEDDKLCRDDEEEEEESCSSGRSSTVSESDAEFDVKRIGSMPRPASLNAFDIISFS SGFDLSGLFEEGGEGTRFLSGAPVSEIIAKLEEIARVVSFTVRKKEWSLRLEGCREGA KGPLTIGAEIFELTPSLVVVEVKQKGGDRDEYEEFCNKKLRPELEKLMHNKAEEKGEV VVEEEETVCLPSDTV" gene complement(18103333..18106265) /locus_tag="BRARA_H01959" /db_xref="Phytozome:Brara.H01959" mRNA complement(join(18103333..18103529,18103609..18104280, 18104523..18105478,18105992..18106265)) /locus_tag="BRARA_H01959" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01959" CDS complement(join(18103500..18103529,18103609..18104280, 18104523..18105452)) /locus_tag="BRARA_H01959" /codon_start=1 /product="hypothetical protein" /protein_id="RID51281.1" /db_xref="Phytozome:Brara.H01959" /translation="MCEPILATSSSMAERKLNLEAPLLSTRRMQKTSAVSVRRNKTFD FTHDDTSSVPVLVPDMGLDHFTESASVPFTWEQAPGKLKGNDSTTPQEVFTPCLPPGK AIDRNLSSKTKQVDEEEESEDVFSDARDTLSPKDSFSVKNSISGVSGYGVVTEMKKTL NPCEDPQSRDFMLNRFLPAAKAMTVEQSHYALNRKPSSCMSEPTLQIRELVPEEKRQT SKRYDESLVLPCYDHQYIDDEESEEGDGEVSEYAYLPRRGCGMLPQLCFKESLGMLNT VPGFKTKHKSFPSHDQAKSSKVSQLKSRFQSVKKLALVSVSKQKLSGKVQSPVHPSMG KKFNSETNLTCSASRSSSPYRHPRCMSPFRTASNSSPFHSTCFHDTRKETENLRANRL NKHIRNISSSQELLYSKDNGSTSSLSEKIVYVETNISPKSNVMIFPEEAEKKPDTNLE HEDFENISIKSGKDRSPLAPPSPKKPSESWLWHNLPSVNSQIPSRGYRFNPQKQDVSE NFRSVSKWEAIVKTSYMHRDHIRFSEELTAHTSLQ" gene complement(18107498..18108845) /locus_tag="BRARA_H01960" /db_xref="Phytozome:Brara.H01960" mRNA complement(join(18107498..18107815,18107915..18107979, 18108091..18108153,18108355..18108403,18108627..18108845)) /locus_tag="BRARA_H01960" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01960" CDS complement(join(18107720..18107815,18107915..18107979, 18108091..18108153,18108355..18108403,18108627..18108746)) /locus_tag="BRARA_H01960" /codon_start=1 /product="hypothetical protein" /protein_id="RID51282.1" /db_xref="Phytozome:Brara.H01960" /translation="MEGITEGVNNMSLAVDSQKKNRIQVSNTKKPLFFYVNLAKRYMQ QYADVELSALGMAIATVVTVAEILKNSGFAVEKKIMTSTVDIKDGARGRPVQKPKIEI TLAKSEKFDELMAAANEDKEAAEAQEQN" gene <18109050..>18110003 /locus_tag="BRARA_H01961" /db_xref="Phytozome:Brara.H01961" mRNA <18109050..>18110003 /locus_tag="BRARA_H01961" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01961" CDS 18109050..18110003 /locus_tag="BRARA_H01961" /codon_start=1 /product="hypothetical protein" /protein_id="RID51283.1" /db_xref="Phytozome:Brara.H01961" /translation="MPVFKAPFNGYSVKFSPFYESRLAVATAQNFGILGNGKIHVLDL SPGPPGVTESVSFDTADAVYDVSWSESHDSVLVAAVGDGSVKIYDTSLPPPSNPIRSF QEHAREVHSVDYNPTRRDSFLTASWDDTVKLWAMDRPASIRTFKEHAYCVYQAVWNPK HGDVFASASGDCTLRIWDVREPGSTMIIPGHDFEILSCDWNKYDDCVLATSSVDKTIK VWDVRSYRAPLAVLNGHGYAVRKVKFSPHRRSLIASCSYDMSVCLWDYMVEDALVGRY DHHTEFAVGIDMSVLVEGLMASTGWDELVYVWQQGMDPRAS" gene complement(18111570..18112437) /locus_tag="BRARA_H01962" /db_xref="Phytozome:Brara.H01962" mRNA complement(18111570..18112437) /locus_tag="BRARA_H01962" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01962" CDS complement(18111744..18112274) /locus_tag="BRARA_H01962" /codon_start=1 /product="hypothetical protein" /protein_id="RID51284.1" /db_xref="Phytozome:Brara.H01962" /translation="MKETIRCCIACILPCGALDVIRIIHSNGHVEEISGTITAGEVMK AHPKHVLKKPSSSQSDHQERGDLISATKIVIVPPEAELQRGKIYFLVPAAKSDKRNAK AVKKRSQTRRQREEGHDDDKSNGDKDKSYDKDVSLLISDRYLTEILSEKIATQKERRK GRVGVWRPHLESINED" gene complement(<18114554..>18115985) /locus_tag="BRARA_H01963" /db_xref="Phytozome:Brara.H01963" mRNA complement(join(<18114554..18114884,18115083..18115825, 18115941..>18115985)) /locus_tag="BRARA_H01963" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01963" CDS complement(join(18114554..18114884,18115083..18115825, 18115941..18115985)) /locus_tag="BRARA_H01963" /codon_start=1 /product="hypothetical protein" /protein_id="RID51285.1" /db_xref="Phytozome:Brara.H01963" /translation="MSRRFIKLSPWSHALIHKKRFRLFSSSFTTPYLLLGTTLKNNLP DGSDVRDVLLFDPTKEEMLTVPNITFPEELAGSRQIGSARGWGIFSNDHDRSLCISDL YSSLGPKSTLTMIHLPSLVAVHSNQTNAVWNVAMSSSPSDQDCVVAIKLLDRQLSLCR PHSDMRWTNVGEMLAENNLQKLENSTLMYSKRERRFYLPGPGGNSLYSWDLHLKKNKV PSFHELLFRDLPELDDSEWKLLGWCCRTEHLVELASSGERFLVKWYAQRFFSSSHEGS NYTTRRFMVFREKKLTEGRYMHYTEDIGDVCIFISMSEAFCVEASSCPGLKPNSIYFI GHGFGIYNIADTRIHHFQAPEGAPTSFKDPYWLPPSRI" gene 18117171..18121658 /locus_tag="BRARA_H01964" /db_xref="Phytozome:Brara.H01964" mRNA join(18117171..18117527,18117888..18118051, 18118373..18118496,18118592..18118721,18118805..18118872, 18118943..18120914,18120992..18121084,18121183..18121305, 18121436..18121658) /locus_tag="BRARA_H01964" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01964" CDS join(18117370..18117527,18117888..18118051, 18118373..18118496,18118592..18118721,18118805..18118872, 18118943..18120914,18120992..18121084,18121183..18121305, 18121436..18121486) /locus_tag="BRARA_H01964" /codon_start=1 /product="hypothetical protein" /protein_id="RID51286.1" /db_xref="Phytozome:Brara.H01964" /translation="MSLSRLGVRNVYGTSQQAEFYGNVDREDPEAILNGVAVSGLIGV LRQLGDLAESAAEIFQGIQEEVMATASRSNQLKMRLQHIEATVPPLEKAVLAQTTHLH FAYTGGVEWHPRIPHEQNHFIHDDLPNSFMDHYEECRDPPRLHMLDKFDINGPGSCLK RYSDPTYFRRASSSLVKGNNKFQNNNMSCKIKKKKSSSRSRDMSRLASMANQNARKTL TSFSFSGQTSSSKSASTSDMEKRSDLQDHHSHTYDCLSTATSSLKAGEKQKGGLGSSS LTPGSCTIGSVLSECETEDEHDNLQFTPLQGQSAVGSSCVSWDEKAEIVEEPLGVQTD EASVVDALDEKATSYGEGTGRVDIENAESEPGLQQSNGIDEVKEMKAGSEIVREPRDS SEHETESEGECFVDALNSIESESENEQGLKTSLEAVSSPCGVTGERLEKSSNKVEESC RSMDNGYLNATDEMNHQDPLESDNRSRSPLNDVCTTSNITCGEDKIGFTVVPAPENSL SDSSNPLYHSEHQKSEAKVSGEVEAIKIWTNGGLLGLNPSKPPVLEVPSLDCKAEERT FGSAEAEKDKSDDLVEHVLDTSSLGTQNLTVDQRECHETSSYGVFGGLSQKLFTNSFR RRDSLSHDNRQALPATIPENDEVTTEKSRFGEQDKVLFREEAPIDWFASSPPLQHMKI SLNPVDNTLQASRLKLKFSDEDNNSNNTFPSFQLLPEAATSLPDSCSDDDTFCMTSDI DYLSDYHSLSDSEQWEEHNDSHERKEHDSFHESTHVDNNGEPSSLDTEAENGCVALNF SYLQSPVEPLPPPFPPAQWMVSKTGSETISENKTTQSIQLQDALRFAFEKHTSSSIVN KEEPNTVASAPKPETKVHVKNNVKEDKQNANEKETDADDFLQQIRTQHVNLKPVVMTR TLSTAAAATTDPAINIKISAMLEKANSIRQAVASNDGDESDTWSDT" gene 18126989..18127873 /locus_tag="BRARA_H01965" /db_xref="Phytozome:Brara.H01965" mRNA 18126989..18127873 /locus_tag="BRARA_H01965" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01965" CDS 18127036..18127560 /locus_tag="BRARA_H01965" /codon_start=1 /product="hypothetical protein" /protein_id="RID51287.1" /db_xref="Phytozome:Brara.H01965" /translation="MATQDSQGIKLFGKTITFNASNITTTTIKKEVHQQQPELQATTD VRSSSTDLTVEKRPDKIIACPRCKSMETKFCYFNNYNVKQPRHFCRGCQRYWTAGGAL RNVPVGAGRRKAKPPGRVGGFAEFLGAATGAVDQVELDALLVEEWRAAASHGGFRHDF PVKRLRCYTDGQSC" gene complement(18129082..18131158) /locus_tag="BRARA_H01966" /db_xref="Phytozome:Brara.H01966" mRNA complement(join(18129082..18130545,18131010..18131158)) /locus_tag="BRARA_H01966" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01966" CDS complement(18129256..18130515) /locus_tag="BRARA_H01966" /codon_start=1 /product="hypothetical protein" /protein_id="RID51288.1" /db_xref="Phytozome:Brara.H01966" /translation="MVSYPATTETLSLAQEANSSEAIQILYQVLEDPSSSPEALRIKE QAITNLCDRLTEEKRGEDLRTLLTKLRPFFSLIPKAKTAKIVRGIIDAVAKIPGTTDL QITLCKEMVEWTRAEKRTFLRQRVEARLAALLMENKEYVEALALLSTLVKEVRRLDDK LLLVDIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGTIDLQSGILHAEE KDYKTGYSYFFEAFESFNALGDPRAVFSLKYMLLCKIMVSQADDVAGIISSKAGLQYV GPDLDAMKAVADAHSKRSLKLFENALRDYKAQLEDDPIVHRHLSSLYDTLLEQNLCRL IEPFSRVEIGHIAELIGLPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKADAI YSATLDTIANMGKVVDSLYVRSAKIMS" gene complement(18131728..18132878) /locus_tag="BRARA_H01967" /db_xref="Phytozome:Brara.H01967" mRNA complement(join(18131728..18132192,18132602..18132878)) /locus_tag="BRARA_H01967" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01967" CDS complement(join(18131846..18132192,18132602..18132770)) /locus_tag="BRARA_H01967" /codon_start=1 /product="hypothetical protein" /protein_id="RID51289.1" /db_xref="Phytozome:Brara.H01967" /translation="MAKFFIVFLASALCFTTLLHFAAADADDLDRFHIKGSVYCDTCR VQFMTRLSKVLEGAKVKLECKARENQTVTLTKEAVTDKDGKYEMVVMGDHEEEVCEII LVESPDAECGEVNNQEFLRNAARISLTANDGIVSNEVRTINPLGFMRKTPLADCPQVF KELGIVPDAIF" gene complement(18133303..18136573) /locus_tag="BRARA_H01968" /db_xref="Phytozome:Brara.H01968" mRNA complement(join(18133303..18133589,18133665..18133762, 18133853..18134021,18134206..18134258,18134355..18134410, 18134480..18134643,18134782..18135021,18135122..18135192, 18135538..18135605,18135771..18135918,18136192..18136573)) /locus_tag="BRARA_H01968" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01968" CDS complement(join(18133485..18133589,18133665..18133762, 18133853..18134021,18134206..18134258,18134355..18134410, 18134480..18134643,18134782..18135021,18135122..18135192, 18135538..18135605,18135771..18135918,18136192..18136357)) /locus_tag="BRARA_H01968" /codon_start=1 /product="hypothetical protein" /protein_id="RID51290.1" /db_xref="Phytozome:Brara.H01968" /translation="MSTASWIQPPCRYYSPDRRGGGFAKPSCSRARQFPGVVSSSSCS CGYSEILNFDFGSSRSWNHQGLRVQAMSATAQRKFSLSKGDADEKVEPDHLLVLVHGI LASPSDWLYVEAEMKRRLGRRFLIYASSSNTFTKTFGGIDGAGKRLAEEVRQVIQKSK SLKKISFLAHSLGGLFARHAVAVLYSAAASDGAAVSNSGNSHLPRGLLAGLEPINFIT LATPHLGVRGRKQLPFLLGVPILERLAAPLAPFVVGRTGSQLFLTDGKADKPPLLLRM ASDCEDLKFLSSLGSFRSRIVYANVSYDHMVGWRTSSIRRETELFKPPRRSLDGYKHV VDVEYCPPVSSDGAHFPPEAAKAKEAAQSSPSPQNTLEYHEIVEDEMIRGLQTLGWKK VDVSFHSTFWPYLAHNNIHVKSERLYKAGAGVVAHVADSIKQQETSTFITASL" gene complement(18137746..18138629) /locus_tag="BRARA_H01969" /db_xref="Phytozome:Brara.H01969" mRNA complement(join(18137746..18138155,18138371..18138629)) /locus_tag="BRARA_H01969" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01969" CDS complement(join(18137893..18138155,18138371..18138593)) /locus_tag="BRARA_H01969" /codon_start=1 /product="hypothetical protein" /protein_id="RID51291.1" /db_xref="Phytozome:Brara.H01969" /translation="MASSLSISVVASASSRLCHPSSSTGKIGVPSASLSLSTGSRRAP FSLSSSTSASSQLLHCSFLSSSLSLASSFSGLSVAFDLSSGASGGLNSQKRRGLVVRA GKAALCQTKRSRSRKSLARTHGFRLRMRTTSGRATIKRRRAKGRWNLCPKSNPSSGKR A" gene complement(18138822..18140588) /locus_tag="BRARA_H01970" /db_xref="Phytozome:Brara.H01970" mRNA complement(join(18138822..18139175,18139689..18139745, 18140173..18140296,18140377..18140588)) /locus_tag="BRARA_H01970" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01970" CDS complement(join(18139014..18139175,18139689..18139745, 18140173..18140296,18140377..18140447)) /locus_tag="BRARA_H01970" /codon_start=1 /product="hypothetical protein" /protein_id="RID51292.1" /db_xref="Phytozome:Brara.H01970" /translation="MASNPHRGGGGGSLYGGAAPYRSRDGLSTRTATGSEEIQLRIDP MHSDLDDEITGLHGQVRQLKNIAQEIGSEAKFQRDFLDELQVTLMRAQAGVKNNIRKL NLSIIRSGNNHIMHVVLFALFCFFILYMWSKMFKR" gene 18140786..18143122 /locus_tag="BRARA_H01971" /db_xref="Phytozome:Brara.H01971" mRNA join(18140786..18140883,18141004..18141368, 18141611..18141753,18142122..18142241,18142336..18142533, 18142669..18142798,18142886..18143122) /locus_tag="BRARA_H01971" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01971" CDS join(18141044..18141368,18141611..18141753, 18142122..18142241,18142336..18142533,18142669..18142674) /locus_tag="BRARA_H01971" /codon_start=1 /product="hypothetical protein" /protein_id="RID51293.1" /db_xref="Phytozome:Brara.H01971" /translation="MEPAVTTTATTSSLGGSSRLAALAQQLRMYKPPPSSTFDEAEEM QADQESAGKVVSQVGFQESMTPVPKDPERFRPKRAAVLICIFEGEEGDLRVILTKRSS RLSTHSGEVSLPGGKAEEDDKDDGMTATREAEEEIGLDPSLVDVVTSLEPFLSKHLLR VIPVIGILRDKKTFNPKPNPAEVEAVFDAPLEMFLKDENRRSEEREWMGEKYLIHYFD YRTGDKDYTIWGLTAGILIRAASVTYQRPPAFIEQCPKFKYPKMN" gene complement(18144608..18145199) /locus_tag="BRARA_H01972" /db_xref="Phytozome:Brara.H01972" mRNA complement(join(18144608..18144991,18145080..18145199)) /locus_tag="BRARA_H01972" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01972" CDS complement(join(18144743..18144991,18145080..18145187)) /locus_tag="BRARA_H01972" /codon_start=1 /product="hypothetical protein" /protein_id="RID51294.1" /db_xref="Phytozome:Brara.H01972" /translation="MSCKGEVVLRAEEVLFLLPSNLFNEVFLDCIGPYPQSYRGGSYH DRKPRMWHALNHYGSIKKPSSDDASTGRVKPRGTGVFLPARPVSSSEEKRPKKKPCPI ISSRSRQVFLPKEWAY" gene 18146084..18158339 /locus_tag="BRARA_H01973" /db_xref="Phytozome:Brara.H01973" mRNA join(18146084..18146355,18147475..18147574, 18148350..18148482,18148633..18148730,18149394..18149437, 18149527..18149596,18151130..18151232,18154251..18154338, 18157486..18157551,18157885..18158339) /locus_tag="BRARA_H01973" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01973" CDS join(18151180..18151232,18154251..18154338, 18157486..18157551,18157885..18157941) /locus_tag="BRARA_H01973" /codon_start=1 /product="hypothetical protein" /protein_id="RID51295.1" /db_xref="Phytozome:Brara.H01973" /translation="MKFTGLKTYILILDVKQRIQLLMLSDQSAIQKVFSCCTCESVDV KAKLSLEEVAAFSYSPESVFFQKAKLPFWRTWQHKKGVFVKQI" gene 18158478..18160323 /locus_tag="BRARA_H01974" /db_xref="Phytozome:Brara.H01974" mRNA 18158478..18160323 /locus_tag="BRARA_H01974" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01974" CDS 18158551..18160086 /locus_tag="BRARA_H01974" /codon_start=1 /product="hypothetical protein" /protein_id="RID51296.1" /db_xref="Phytozome:Brara.H01974" /translation="MRIFRLSSVAPRIFQSNHYATLSPAATIAGALQEHINSPSPKAG KKIHADIIKTGFRPNLNISIKLLILHLKCGCMTYARQVFDELPKPTLSAYNYLISGYL KQGLIKQCLLLVQRMAFSGENADGYTLSMVLKASSSSSTSLGSLCRLVHARIIKGHVE LDDVLVTALVDAYVKSGKLECARTVFETMKDESVVCSTSMISGYMNQGLVEDAEEIFD KTRVKDIVVYNAMVEGLSRTGETAKRAVEMYVLMQRAGFHPNISSFASVIGACSVLTA REVGMQVHGQVMKSGVYTHIKMGSSLLDMYAKCGGIDDARKVFDQMKERNVFSWTSMI DGYGKNGNPEEALELFAKMKEVNIAPNYVTFLGALSACSHSGLVENGYEVFESMQRDY SMRPKMEHYACMVDLMGRAGDLSKALEFVRAMPERPNSDVWAALLSSCRLHGNVDIAS EAADELFKLNADKRPGAYIALSNVLASAGKWEKVSEIRDVMKARKIPKNIGRSWISAE KSQ" gene 18165994..18168056 /locus_tag="BRARA_H01975" /db_xref="Phytozome:Brara.H01975" mRNA join(18165994..18166315,18166799..18167017, 18167100..18167248,18167330..18167606,18167690..18168056) /locus_tag="BRARA_H01975" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01975" CDS join(18166051..18166315,18166799..18167017, 18167100..18167248,18167330..18167606,18167690..18167952) /locus_tag="BRARA_H01975" /codon_start=1 /product="hypothetical protein" /protein_id="RID51297.1" /db_xref="Phytozome:Brara.H01975" /translation="MASPLKKLISTFLFLLSSTVIMVASSEPLCHPYKSIISFGDSIA DTGNYLRLSDVNHLPQAAFLPYGETFFHPPSGRYSDGRLIIDFIAEFLGLPYVPPYFG SQNVSFEQGINFAVYGATALDRAFLMEKGIKSDFTNVSLNVQLNTFKQILPNLCASTS RDCREMLGDSLILMGEIGGNDYNYPFFEGKSINEIKELVPLIIKTISSAIVDLVDLGG KTFLVPGNFPIGCSASYLTLFHNAKEEEHDPFTGCIPWLNEFGEYHNDQLKTELKRLQ KLYPHVNIIYADYYNSIHTFFQEPAKYGFKNRPLAACCGVGGKYNFTVNEECGYRGVN YCQNPSEYVNWDGYHLTEAAYRKIAHGLLNGPYAAPAFDWSCPGSASVDKKYSFSS" gene 18168515..18170339 /locus_tag="BRARA_H01976" /db_xref="Phytozome:Brara.H01976" mRNA join(18168515..18168831,18169010..18169231, 18169297..18169445,18169522..18169798,18169882..18170339) /locus_tag="BRARA_H01976" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01976" CDS join(18168570..18168831,18169010..18169231, 18169297..18169445,18169522..18169798,18169882..18170144) /locus_tag="BRARA_H01976" /codon_start=1 /product="hypothetical protein" /protein_id="RID51298.1" /db_xref="Phytozome:Brara.H01976" /translation="MASSLKKLISSFLLIFSSTITVDSSEPSCRSYKSIISFGDSGAD TGNYLHLSDVNHPPQAAFPPYGETFFHAPTGRNSDGRLIIDFIAEFLGLPYVPPYFGS KNVSVKQGINFAVYGATALDCALLIEKGIGSDFTNVSLSVQLNIFKQTLPSLCASSSS HDCKEMLGDSLILMGDIGANDYDYMFFQGKSINEVEELVPLVIKAISSVIVDLINLGG KTFLVPGTFPYGCFPAYLTLFQTAKEEEYDPLTGCLSWLNELGKNHDEHLKTELKRLR KIYPHVNIIYADYYNSMYRFFQEPAKYGFKERPLGACCGVGGQYNFTIGEECGYQGVG SCENPSEYVNWDGYHLTEATHQKMAHGLLNGPYATPAFDWSCLGSASVDTESSFTS" gene 18178930..18181607 /locus_tag="BRARA_H01977" /db_xref="Phytozome:Brara.H01977" mRNA join(18178930..18179131,18179208..18179354, 18179914..18180031,18180131..18180219,18180305..18180392, 18180476..18180568,18180756..18180881,18180979..18181607) /locus_tag="BRARA_H01977" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01977" CDS join(18178950..18179131,18179208..18179354, 18179914..18180031,18180131..18180219,18180305..18180392, 18180476..18180568,18180756..18180881,18180979..18181518) /locus_tag="BRARA_H01977" /codon_start=1 /product="hypothetical protein" /protein_id="RID51299.1" /db_xref="Phytozome:Brara.H01977" /translation="MESESSEEEIATSGIPRGGPIYLPNMVGQVSSVPEFQSSFLSLL HDFETHLSSSSHQHDLSTDALKIYTDEELTDMAMKEAFKEDNLSLRDDDDTFSINELE QSLIVSHPENPSAEKERGTKRRRTVKTGAVKKTVKKTEVKRTVKKPEEAYIARVEQLA KLKQKQDEDKSDVRLHCFSETWEDCEDASTSLEGFEKMQSLKSVDNYTLVKTSDIQGT VDTLFPEVILCVEIYNSRKSKTQEFLVLGRQMLTELKDKIHCVTDQVMEKAGKYDPSG YFLIEDIFHNDLRNRKAADYSKPILNWLWNSKDEALKKWEGIITGELQQKQRTALGVT KAMDLPRFGSAEMQSTRFCDLRFRLGASYLYCHQGDCKHMIVIRDMRLSHPEDVQNRA AYPRLIYQLKTRPQKCSVCKIYRASKVVLDDKWGNENQCYYCDICFGHLHNEGGPLYC DVPVFDYVYE" gene <18181871..>18182581 /locus_tag="BRARA_H01978" /db_xref="Phytozome:Brara.H01978" mRNA join(<18181871..18182091,18182155..>18182581) /locus_tag="BRARA_H01978" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01978" CDS join(18181871..18182091,18182155..18182581) /locus_tag="BRARA_H01978" /codon_start=1 /product="hypothetical protein" /protein_id="RID51300.1" /db_xref="Phytozome:Brara.H01978" /translation="MGAYRAEDDYDYLFKVVLTGDSGVGKSNLLSRFTRNDFSNDSRA TIGVEFATRSIQCDDKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFE NVERWLKELRDHTDANIVIMLVGNKADLRHLRAISTEDAKGFAERENTFFMETSALEA LNVENAFTEVLTEIYRVVSKKALEAGDDPTTALPKGQTINVGDISAVKKPGCCSA" gene complement(18182810..18183434) /locus_tag="BRARA_H01979" /db_xref="Phytozome:Brara.H01979" mRNA complement(join(18182810..18182841,18183030..18183434)) /locus_tag="BRARA_H01979" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01979" CDS complement(18183038..18183379) /locus_tag="BRARA_H01979" /codon_start=1 /product="hypothetical protein" /protein_id="RID51301.1" /db_xref="Phytozome:Brara.H01979" /translation="MSEKSQIPDNNNNNAASSSSAKKPAAEIGSNSSFSGQRMTYPNR PESVNPDQATLREQWKFAIRQYSKWYSHAWGTAILAGGVFFGLGWIIKGSNPLPSLQS SSKSPKPDEEK" gene 18183777..18186737 /locus_tag="BRARA_H01980" /db_xref="Phytozome:Brara.H01980" mRNA join(18183777..18184242,18184323..18185018, 18185349..18185459,18185711..18185896,18186014..18186244, 18186333..18186453,18186547..18186737) /locus_tag="BRARA_H01980" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01980" CDS join(18183847..18184242,18184323..18185018, 18185349..18185459,18185711..18185896,18186014..18186244, 18186333..18186453,18186547..18186668) /locus_tag="BRARA_H01980" /codon_start=1 /product="hypothetical protein" /protein_id="RID51304.1" /db_xref="Phytozome:Brara.H01980" /translation="MASSTTSSPSLLFPSRNFASFPSIPLHRSSVSFIRCISKNPSPS TTTTSEDDILRFVANSDGKALPCVRTYENNSARLSLVGTVAFDQALTAAAADGGEAAD EHLRENVPVMVVETVFPGGSDPKATVSTRLFLPTKKVKERAKRLRRSLSEDLSTGDLS KNILAMTFRQVVLRQLWNFQLVLFGPGAEREMGDYQNPREDSTSFIVSSSDERVISVI AEVICISALQNTEKHFLDDYLGKAKFPFFKWLTKHRRIASRDSSVVLHKVFDDELNEN ANQLLEYFQSRKETYKVTESRQRSRWWNLSAKSKLEKIGGTGFSNWASEYLPAYRLEI DSAILGDVKLEGWRKSSENKWEVLLTHSQMVGLAEALDIYFEDIYSLPRKQLPCDAFG NYGNLPNEKRGLSLLKMISVTVASGILLLAVSAAAQFSIPQKSERKYPGKSQDISWSE SELLSHQSSDTSELESFCGLVVNKLKDAYSWVGEITVESSIGAWIGEVPDYLKETSRA KATEDNVGTGSSLLEKLNEDAKASAQEIATYQVVLSSEGKIIGFQPTSRVAVNHWSAN PLAKELYSGRKLSPGLIERGLKSGRPPTKVVVLELLMSVNSDRPFALVRPLRAQ" gene complement(18186547..18188799) /locus_tag="BRARA_H01981" /db_xref="Phytozome:Brara.H01981" mRNA complement(join(18186547..18187589,18187672..18188269, 18188395..18188799)) /locus_tag="BRARA_H01981" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01981" mRNA complement(join(18186547..18187589,18187672..18188272, 18188395..18188799)) /locus_tag="BRARA_H01981" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01981" CDS complement(join(18187042..18187589,18187672..18188269, 18188395..18188625)) /locus_tag="BRARA_H01981" /codon_start=1 /product="hypothetical protein" /protein_id="RID51303.1" /db_xref="Phytozome:Brara.H01981" /translation="MTGKRSKNPCRSVSHKLFKDKAKNRVDDLQGMLLDLQFARKESS ALDVTLLEEQVNLMLREWKSELNEPSPASSLQQGGGTLGSFSSDICRLLQLCDEEDDA TSKLAAPKPEPTDQNLEPYGPLADHRKDPSLVVGNNLDGTAHLEYNLQQEFEPSFNGG FNDCPGYGVEGPLDISAFIPIICPPPSAFLGPKCALWDCPRPAQGLDWFQDYCSSFHA SLAFNEGPPGMNPVVRPGGIGLKDGLLFAALSAKAGGKDVGIPECEGAATAKSPWNAP ELFDLTVLESETLREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQVMSEFGGL KRSYYMDPQPLHHFEWHLYEYEINNCDACALYRLELKLVVDGKKNSKGKVSTDSVADL QKQMRRLTAEFPQENNNNKRCIKGRNKVNTKVATGNVQNTVEQTNEYGVGEEFNYLVG NLTDYYVN" CDS complement(join(18187042..18187589,18187672..18188272, 18188395..18188625)) /locus_tag="BRARA_H01981" /codon_start=1 /product="hypothetical protein" /protein_id="RID51302.1" /db_xref="Phytozome:Brara.H01981" /translation="MTGKRSKNPCRSVSHKLFKDKAKNRVDDLQGMLLDLQFARKESS ALDVTLLEEQVNLMLREWKSELNEPSPASSLQQQGGGTLGSFSSDICRLLQLCDEEDD ATSKLAAPKPEPTDQNLEPYGPLADHRKDPSLVVGNNLDGTAHLEYNLQQEFEPSFNG GFNDCPGYGVEGPLDISAFIPIICPPPSAFLGPKCALWDCPRPAQGLDWFQDYCSSFH ASLAFNEGPPGMNPVVRPGGIGLKDGLLFAALSAKAGGKDVGIPECEGAATAKSPWNA PELFDLTVLESETLREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQVMSEFGG LKRSYYMDPQPLHHFEWHLYEYEINNCDACALYRLELKLVVDGKKNSKGKVSTDSVAD LQKQMRRLTAEFPQENNNNKRCIKGRNKVNTKVATGNVQNTVEQTNEYGVGEEFNYLV GNLTDYYVN" gene complement(18189913..18191623) /locus_tag="BRARA_H01982" /db_xref="Phytozome:Brara.H01982" mRNA complement(join(18189913..18190370,18190664..18190750, 18191158..18191292,18191358..18191623)) /locus_tag="BRARA_H01982" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01982" CDS complement(join(18190176..18190370,18190664..18190750, 18191158..18191292,18191358..18191486)) /locus_tag="BRARA_H01982" /codon_start=1 /product="hypothetical protein" /protein_id="RID51305.1" /db_xref="Phytozome:Brara.H01982" /translation="MVLPLMKLGTLLVKTISKPLASQLKHQAKVHPRFRQSIINFAQR NHRVTTQIQRRIYGHATDVEIRPLNEEKAVQAAVDLIGELFIFAVGGGVVIFEVQRSS RSEARKEEARKQELEELRIKDEELEKKMADLQSKLAEVEELAKARGLTGFFKVKQQPG TTTKGSSEKPDAKSSESSSSS" gene complement(18192295..18193733) /locus_tag="BRARA_H01983" /db_xref="Phytozome:Brara.H01983" mRNA complement(join(18192295..18192581,18192662..18192752, 18192903..18193090,18193182..18193295,18193452..18193565, 18193659..18193733)) /locus_tag="BRARA_H01983" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01983" CDS complement(join(18192405..18192581,18192662..18192752, 18192903..18193090,18193182..18193295,18193452..18193565, 18193659..18193712)) /locus_tag="BRARA_H01983" /codon_start=1 /product="hypothetical protein" /protein_id="RID51306.1" /db_xref="Phytozome:Brara.H01983" /translation="MSSAQDPFYIVKEEIQDSIDKLQSTFHKWERVSPGMGDQVHVTK ELLANCGSIEWQVDELEKAVAVAAKDPALYGIDDAELERRRRWTSNARTQVRNVKTGV LAGKGSAGAGNASEVRRELMRMPNSSEASRYDQYGGRDDDGFVQSESDRQMLLIKQQD EELDELSKSVERIGGVGLTIHDELVAQERIIDELGTEMDSTKNRLEFVQKKVGMVMKK AGAKGQMMMICFLLVLFIILFVLVFLT" gene complement(18196322..18199910) /locus_tag="BRARA_H01984" /db_xref="Phytozome:Brara.H01984" mRNA complement(join(18196322..18197103,18197191..18199910)) /locus_tag="BRARA_H01984" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01984" CDS complement(join(18196709..18197103,18197191..18199795)) /locus_tag="BRARA_H01984" /codon_start=1 /product="hypothetical protein" /protein_id="RID51307.1" /db_xref="Phytozome:Brara.H01984" /translation="MHLLFLFLLFPSVFSLNQEGLILQQVKLSLNDPDSSLSTWNSHD ASPCRWHGVSCDNKNSSSSSSVTSVDLSNANLAGPFPSVICRLPNLSHLSFSNNSITS DLPLDVGACKSLKTLDLSQCLFTGKIPHTLADLPSLTSLDLSGNNFSGDIPASFGKFE NLEALSLISNLLDGTIPPLLGNVTSLKMLNLSYNPFAPGRIPPELGNLTNLQVLWLTE CNLIGEIPDSLGRLSKLVNLDLALNNLVGPIPRSLGGLASVIQIELYNNSLTGAIPVE LGNLKSLRLLDASMNRLTGSIPDELCRLPLESLILYENDLEGELPKSIALSPNLYDLR IFGNRLSGVLPSDLGANSPLNRIDVSENEFSGELPAGLCAKGELEELLVINNSLSGVL PEGLGDCKSLTRVRLAYNRFTGRVPAGFWGLPHVSLLELINNSFSGEISKTIGGASNL SMLVLTNNEFTGSLPEEIGSLDKLNELSASGNKLSGSLPDSLMSLIELGTLDLHGNRF TGELSPKIKSWKKLNELNLADNEFSGKIPDEIGSLSVLNYLDLSGNLFSGEIPVSLQG LKLNQLNLSNNRLTGDVPDSLAKEMYKNSFLGNPGLCGDIEGLCGSEDQAKSKGFAWL LRSIFVLAVIVFVAGLAWFYLKYMTFKKARAVERSKWTLMSFHKLGFSEHEILESLDE ENVVGAGASGKVYKVVLTNGETVAVKRIWTGSVKETEDNTDPEKGERPGSVQDEAFEA EVETLGKIRHKNIVKLWCCCTTRDCKLLVYEYMPNGSLGDLLHSSKGGTLGWETRFKI ILDAAEGLSYLHHDCVPAIVHRDVKSNNILIDGDYGAKVADFGVAKVVDLTGKAPKSM SVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILEIVTRKRPVDPELGEKDLVRWVCS TLDQNGVEHVIDPKLDSCYKEEISKILNVGLLCTSPLPINRPSMRRVVKMLQEIGGGD DESLNKTRSGKLTPYYYEETSDQGSVA" gene complement(18204932..18211662) /locus_tag="BRARA_H01985" /db_xref="Phytozome:Brara.H01985" mRNA complement(join(18204932..18205849,18205918..18206148, 18206244..18206348,18206433..18206960,18207046..18208029, 18208109..18208318,18208396..18208551,18208627..18208779, 18208901..18208987,18209126..18209226,18209293..18209515, 18209590..18209754,18209832..18209903,18209992..18210267, 18210353..18210423,18210627..18211076,18211147..18211662)) /locus_tag="BRARA_H01985" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01985" CDS complement(join(18205115..18205849,18205918..18206148, 18206244..18206348,18206433..18206960,18207046..18208029, 18208109..18208318,18208396..18208551,18208627..18208779, 18208901..18208987,18209126..18209226,18209293..18209515, 18209590..18209754,18209832..18209903,18209992..18210267, 18210353..18210423,18210627..18211076,18211147..18211321)) /locus_tag="BRARA_H01985" /codon_start=1 /product="hypothetical protein" /protein_id="RID51308.1" /db_xref="Phytozome:Brara.H01985" /translation="MEMGSDEEDQIKNVNVGDVNKNKKKKIDTFNNKDSLSSKSKRQM KTPFQLQTLEEVYAEETYPSEATRAELSEKLDLSDRQLQMWFCHRRLKDKKDGQAKKP VQPAATPALSSVNELPAADDRSGSGSGSGCSPYSESRRNFESGSGSSGADLGEYEKGG YEPPRLSVMVRRAVVCVEAQLGEPLREDGPVLGMEFDLLPPGAFGTPIAMQTHQGHLY ESKMYEPHDVRPPRSAARSFHEQQSLDDPSSYTPEINGRYSESHARGMDYETSRSKSS SFMHGNGPVPRPYGTHGNASLNGSTSQQDMSSPIVPSAHGDSFLLERKVNDGRVGRGS GQKDPEKLEIQRKKYQERMRKEMERHERERRKEEERLMRERIKEEEKLQREQRREMER REKFLQRENERAEKQKQKDEIRRERDAIRRKIAIEKATARRIARESMDLIEDEQLELM DLAAASKGLPSVLQLDHDTLQNLELYRDSLSAFPPKALQLKMPFTISPWKDSEENVGN LLMVWRFLISFSDVLDLWPFTLDEFIQAFHDYDSRLLGEIHITLLRSIIRDIEDVART PYSGIGNNQYTTANPEGGHPQIVEGAYAWGFDIRSWKNHLNPLTWPEILRQLALSSGF GPKLKNKSSHVTQTAHKNEAKDCEDIISTIRSGSAAESAFASMLEKGLLAPRKSRHRL TPGTVKFAALHVLSLEGSKGLTLIELADKIQKSGLRDLSTSKTPEASISVALTRDVKL FERIAPCTYCVRAPYVKDPADGDAILADARKKIKAFENGLTGPEDLNDLERDEDFECD VDEDPEVDDLATPARASNGLSGKGEDAMFCDVKADAKSIVPQYHSKDSAVSCVDDNND VVEDSNKGQSWIQGLTEGDYCHLSVEERLEALVTLVGIVNEGNSLRASLEDRMEAANS LKKQMWAEAQLDNSCMRDVLKLDRDPSQRMDESKPMEAVSNDLHKGFSNQEATQENCS SKRSRSQLKSYIGDKAEEVYPYRSLPLGQDRRRNRYWHFAASASKTDPCSGLIFVELH DGKWRLIDSEEAFDFLVASLDMRGIRESHLRIMLLKIEGSFKENAYKAKSVVNHSPAD SVSPSSAIFGSNSDSMEASTSIRVELGRNDREKESLSKRFHDFQRWMWTETYSSLPSC ARKHGKKRCELLATCEVCVASYLSEYTHCTSCHRRLSMFDSSERKILDSALTASPLPF GARLLKALLVFLEACVPDEALESFWTENKRKDWGFRLNASSSPEELLQVLTSLDSAIK KESLSSSFVSAKELSGAADDSGSVDVFPWIPKTISAVALRLLDLDAFIIYVKPEKPEL ISEDDKEQISLFPGKSLIKGKGAKEQEDQDEVIVSNSGKKSKKRRVRFGRVSNRNVKR KKVQESPDRFVAGRSDVSVDRNLGSVALNHHQVPGRGKRTVRKRPERNDEDNYRLVSR MADIVRPNSEDVEEEEEDEQTFRDINEDWASETPREMEVMTPMQVDDESDNSVGVESE DDDEGQFVVYDQRNKWGLDWNSNPNEAMEDDEEVVGAVQVEREDVAEMSESSEDDDVP ANNAAANNFESGSEDYSSSDS" gene complement(<18214536..>18215672) /locus_tag="BRARA_H01986" /db_xref="Phytozome:Brara.H01986" mRNA complement(<18214536..>18215672) /locus_tag="BRARA_H01986" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01986" CDS complement(18214536..18215672) /locus_tag="BRARA_H01986" /codon_start=1 /product="hypothetical protein" /protein_id="RID51309.1" /db_xref="Phytozome:Brara.H01986" /translation="MNKKRRRLERSRDDRSQPNHIPLDLTLEILSRLPAKSILRYQCV SKLWSSSITLPSFINSFTSRSTSRSPTLLVTFSSSWGDYVFSFPQHQIPDGSTCSPFY SYQITNTDCDSPRSQSVQGLILLPVLKIWNPTLRRFLALPHPGKHMSSRDGWSSYLGY DSLEGKHKVLCVLTNKYSDQPQVLTLGAQESWRIITKGRCPVHSPTSEYGRCFNGILY YTARLRGAGHDIIMSFDVKSENFNIIKFPEGRSRKLHMIPYEGRLALVTHDYHVVKLY SLKDAHGHEWTRECFVLHLPCENLQRDCIRFRGITDAGEFIFAPCRFKEAFYILYLDP RRSSTREVFFERNMGEFRRRCGLSSSHTLTMDVFPNHIESLFSL" gene <18217932..>18219074 /locus_tag="BRARA_H01987" /db_xref="Phytozome:Brara.H01987" mRNA <18217932..>18219074 /locus_tag="BRARA_H01987" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01987" CDS 18217932..18219074 /locus_tag="BRARA_H01987" /codon_start=1 /product="hypothetical protein" /protein_id="RID51310.1" /db_xref="Phytozome:Brara.H01987" /translation="MNKKRRRLERSRDDRSQPNHIPLDITLEILSRLPAKSIMRNRCV SKLWSSSIKLPSFINSFASRSTSRSPTLRVTISSGSAKYVFSFPQHQIPDGSICSPFY SYQIANLDWKYSLSNSIHGLILTSVFKIWNPALRQFLAFPHPDKYVSSRHASSYLGYD PLEGKHKVLLFMSTIKCTDQPRVLTLGTQESWRIITKGHCPVHRPCGHERCFNGILYY RACLDGDSQCIIMSFDVKSEYFNIINYPEGRSCSSFHMIPYEGRLALVTYDHPYDDVE LNILKDAHGHIWTRERYVLHLPYESIRRDLIYFVGTTDAGEYAFAPHGVYEAFYIIYF DPRRNSTRKVLFERNLDEFRRRCGLDSDDYFTMQVYPNHIESLFSL" gene complement(18234639..18236380) /locus_tag="BRARA_H01988" /db_xref="Phytozome:Brara.H01988" mRNA complement(join(18234639..18235006,18235090..18235593, 18235669..18235971,18236114..18236380)) /locus_tag="BRARA_H01988" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01988" CDS complement(join(18234755..18235006,18235090..18235593, 18235669..18235971,18236114..18236320)) /locus_tag="BRARA_H01988" /codon_start=1 /product="hypothetical protein" /protein_id="RID51311.1" /db_xref="Phytozome:Brara.H01988" /translation="MASARSLVAKANNTNVGSLILMALVLGSCVANGEYLGGRRGLAA VAGNPTVFDITKNGAVGNGATDSSKAFLNTWLQVCASPVPATLLVPKGDFLAGPVIFA GPCKSKVTVEVQGTIIAPPSGYPTPEWFLFEHVDNVVLTGPGTFHGKGEAVWKADGCG KKLNCNLPPTSLKFRNILNLDISGISSVNAKAFHMFLVKTTNVNVQNIKIIAPAESPN TDGIHLSNAVNVHIADSLIATGDDCISVGRGSTNVTVERVTCGPGHGLSVGSLGKYPN EENVAGIHFRNCTMKDTDNGLRIKSWGGSSPSTAVDITYEDIMMTNVKNPIIIDQNYG SRGGDSKVAISNVLFKNVRGTTITKDEVQFMCSKSVPCKGVSVVDVELNFVGDKGGHP SSSGGLVGALCTNANVIFGGKLSFPLCPK" gene <18238813..>18241810 /locus_tag="BRARA_H01989" /db_xref="Phytozome:Brara.H01989" mRNA join(<18238813..18238858,18240841..18240944, 18241039..18241254,18241291..18241435,18241485..>18241810) /locus_tag="BRARA_H01989" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01989" CDS join(18238813..18238858,18240841..18240944, 18241039..18241254,18241291..18241435,18241485..18241810) /locus_tag="BRARA_H01989" /codon_start=1 /product="hypothetical protein" /protein_id="RID51312.1" /db_xref="Phytozome:Brara.H01989" /translation="MIPRATHEDDQKSQQDTPRLPAKSIVRYQCVSKLWSSFITLPSF INSFVSNPDGSYHMKNSYYDSYLRSESVQGLILLYGSRIWNPSLRRVFTLPHPKEHIH ISLDRRKSFLGYDPLEGKHKPLILTLGAQESWRIITKGLCPMHSLTRGGYGLCFNGIM YYDALDTDGHPLVTRDFPCGDGELYILKDADGHEWTRQCLSHVRFKSEWRIYMQLKGI TDAGELVFAPKSFDPRRNSTREAFFEGFMGDEFRRSHGVPNDRTDSMSVYTNHMESLV SL" gene 18250162..18251252 /locus_tag="BRARA_H01990" /db_xref="Phytozome:Brara.H01990" mRNA 18250162..18251252 /locus_tag="BRARA_H01990" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01990" CDS 18250211..18251059 /locus_tag="BRARA_H01990" /codon_start=1 /product="hypothetical protein" /protein_id="RID51313.1" /db_xref="Phytozome:Brara.H01990" /translation="MAFSTRSSLFFFFFTTLVLLSTQINARDSYFFGKFHRESPKSQN ANNVLPLKTSQKTTVEESFPNKKDQEQDPTFVPESENGYGLYGHETTYNNNNNKYDEK FNGETFSTPSLSETEESYNNYDEKYPKKTESYDNNEEFNKKYDEHVKEESFSENNEDK RSFYNSNAYGTELERETPFKGYSHNMERQGMSDARFMEKGNYYYDLYNDRNHGHFYRK PHQKSHAGYYSSPATENNFDQSYNNYNNEEENSFKDPYNSKWEKNIMNEQPEEFAEEQ GDQFKP" gene 18253577..18254973 /locus_tag="BRARA_H01991" /db_xref="Phytozome:Brara.H01991" mRNA join(18253577..18253777,18253853..18253968, 18254140..18254181,18254415..18254481,18254686..18254973) /locus_tag="BRARA_H01991" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01991" mRNA join(18253577..18253777,18253853..18253968, 18254140..18254181,18254686..18254973) /locus_tag="BRARA_H01991" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01991" mRNA join(18253577..18253777,18253853..18253968, 18254415..18254481,18254686..18254973) /locus_tag="BRARA_H01991" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01991" mRNA join(18253577..18253777,18253853..18253968, 18254686..18254973) /locus_tag="BRARA_H01991" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01991" CDS join(18253626..18253777,18253853..18253968, 18254686..18254693) /locus_tag="BRARA_H01991" /codon_start=1 /product="hypothetical protein" /protein_id="RID51314.1" /db_xref="Phytozome:Brara.H01991" /translation="MSAARSVFRSAASRASAAASRFSTGPKPTPSSSRPAFRMPKQSP LSNRIFRSPVELSCCVETMLPYHTATASALLNSMLSASRRGWIVEDG" CDS join(18253626..18253777,18253853..18253968, 18254415..18254434) /locus_tag="BRARA_H01991" /codon_start=1 /product="hypothetical protein" /protein_id="RID51317.1" /db_xref="Phytozome:Brara.H01991" /translation="MSAARSVFRSAASRASAAASRFSTGPKPTPSSSRPAFRMPKQSP LSNRIFRSPVELSCCVETMLPYHTATASALLNSMLSASRRGWIVEDCNDDV" CDS join(18253626..18253777,18253853..18253968, 18254140..18254159) /locus_tag="BRARA_H01991" /codon_start=1 /product="hypothetical protein" /protein_id="RID51315.1" /db_xref="Phytozome:Brara.H01991" /translation="MSAARSVFRSAASRASAAASRFSTGPKPTPSSSRPAFRMPKQSP LSNRIFRSPVELSCCVETMLPYHTATASALLNSMLSASRRGWIVEGLDETR" CDS join(18253626..18253777,18253853..18253968, 18254140..18254159) /locus_tag="BRARA_H01991" /codon_start=1 /product="hypothetical protein" /protein_id="RID51316.1" /db_xref="Phytozome:Brara.H01991" /translation="MSAARSVFRSAASRASAAASRFSTGPKPTPSSSRPAFRMPKQSP LSNRIFRSPVELSCCVETMLPYHTATASALLNSMLSASRRGWIVEGLDETR" gene 18255870..18257538 /locus_tag="BRARA_H01992" /db_xref="Phytozome:Brara.H01992" mRNA 18255870..18257538 /locus_tag="BRARA_H01992" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01992" CDS 18255954..18257339 /locus_tag="BRARA_H01992" /codon_start=1 /product="hypothetical protein" /protein_id="RID51318.1" /db_xref="Phytozome:Brara.H01992" /translation="MGYLSCNGESAVSICDPYNCNPRRSKKRPPSTLRVFKYDELAAA TNGFSAGNFLGKGSHGRVYKAVLDGGKLLAAVKRTTIASVSQVDNEIEILSRVRHRYM VNLIGYCVDQRRKTKLLVVEYMPNGTLHDHLHSRSSLAPPLSWNRRIKHALQIASAVH ALHSAETPVIHRDIKSSNILIDGDGNARLADFGLALIGNVDDERLKATPPAGTMGYLD PSYLAPADLTAKSDVFSFGILLFEIVSGRDAIDLNYSPPCIVDWAVPLVKRGEYGAIC DLKMRNRPSSAVIRGLVVMAARCVRSTAEKRPDMLEVVECLKTVRKMSQESPVWNRLR RRSEDSSGNVFVSEEKEENINVRIVRGGSKKKMSKVSSVMTEDDTVPEKAVSPAQFRR RNRVLRSRSVGAMGGARVGPVPNDVVGDNTLVTTIRVLVERERAAMMKLSKSRSVGIV RSHKTVSLKLY" gene complement(18258876..18261715) /locus_tag="BRARA_H01993" /db_xref="Phytozome:Brara.H01993" mRNA complement(join(18258876..18259731,18259801..18259967, 18260054..18260237,18260318..18260401,18260586..18260778, 18260865..18261103,18261268..18261715)) /locus_tag="BRARA_H01993" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01993" CDS complement(join(18259039..18259731,18259801..18259967, 18260054..18260237,18260318..18260401,18260586..18260778, 18260865..18261103,18261268..18261537)) /locus_tag="BRARA_H01993" /codon_start=1 /product="hypothetical protein" /protein_id="RID51319.1" /db_xref="Phytozome:Brara.H01993" /translation="MAFNISRLDAQSAAEKAVSVIGLGYDLSSDVRLSACKSTPGGSR LVEIDPNRNRDLVFPGGVVVSNVSSSIKCDKGERTRFRSDILSFNQMSEKFNQGMSLS GKIPSGMFNTMFELKEGWQKDASSVKTLSYDGWFISLYSVELVRSQVTLRDEVKREVP SSWDSVALAGFIEKYGTHIVVGVTMGGKDVVHMKQLRNSNHEPGEVQKLLKQLGDKRF AVDSVSPADAYSGTPKEESPNQWGFPGQFGSSVSRPVIFRSKNEDIVSICIRRGGVDM GQSHDRWLSTISQSPNAISMCFVPITSLLSGLPGTGFLSHAVNLYLRYKPPIEELQQF LEFQLPRQWAPVYGDLPLGLRRRKQSSPSLQFSLMGPKLYVNTSKVDSGERPVTGLRL FLEGKKGDHLAIHLQHLSTCPPSLQLSHDDTYEPIDEPSEKGFYEAVKWGIFSHVCTF PVQYNGARSDEAASIVTKAWLEVKGIGMRKVLFLRLGFSLVASAVTRKSTWDNLSSIS RKSGVFSMISTRLSTGLGPNQATAKPVSKIDINSAVYPKGPSPPVKPKLLSLVDMKEV GRGPEVPPGYWVVTGAKLCIEAGKISIKAKYSLLTVKSEDSLV" gene complement(18265919..18266577) /locus_tag="BRARA_H01994" /db_xref="Phytozome:Brara.H01994" mRNA complement(join(18265919..18266011,18266126..18266577)) /locus_tag="BRARA_H01994" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01994" CDS complement(18266209..18266517) /locus_tag="BRARA_H01994" /codon_start=1 /product="hypothetical protein" /protein_id="RID51320.1" /db_xref="Phytozome:Brara.H01994" /translation="MHILSILMMFPHVSSMSMFLITTLVIIIISTVMARPSFSTSKSS SSPDLDSSYKVKSKSFLPKLYGDYGFWNPSPVYGGGFPYPGPVPHGSVGSKQRHKEPK " gene 18273026..18273953 /locus_tag="BRARA_H01995" /db_xref="Phytozome:Brara.H01995" mRNA 18273026..18273953 /locus_tag="BRARA_H01995" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01995" CDS 18273099..18273617 /locus_tag="BRARA_H01995" /codon_start=1 /product="hypothetical protein" /protein_id="RID51321.1" /db_xref="Phytozome:Brara.H01995" /translation="MAPTAKTTAFKTNGADGGNKENRYRGVRKRPWGRYAAEIRDPTK KTRVWLGTFDTPEAAARAYDKAAFQFRGAKAKTNFPLPFFVADNESPSQSSTVESPSS IPDLNLGSISSVDSRFPFPKIQVKSGMIVFDEESGRSESDSSSVVVDLSSEKRPRVFL DLDLNLPPKPEN" gene 18278771..18279452 /locus_tag="BRARA_H01996" /db_xref="Phytozome:Brara.H01996" mRNA 18278771..18279452 /locus_tag="BRARA_H01996" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01996" CDS 18278894..18279118 /locus_tag="BRARA_H01996" /codon_start=1 /product="hypothetical protein" /protein_id="RID51322.1" /db_xref="Phytozome:Brara.H01996" /translation="MTVRRQHIATCCSEKNEDDMWHRSENVTHVTGQKLADGAFNRAL HRNTPETGSSRSNRTNNDERQLNAQRKLKN" gene complement(18280676..18281547) /locus_tag="BRARA_H01997" /db_xref="Phytozome:Brara.H01997" mRNA complement(18280676..18281547) /locus_tag="BRARA_H01997" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01997" CDS complement(18280942..18281496) /locus_tag="BRARA_H01997" /codon_start=1 /product="hypothetical protein" /protein_id="RID51323.1" /db_xref="Phytozome:Brara.H01997" /translation="MASTTCAREVHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTP EEAALAYDGAARFLRGIKAKTNFPPPLSLNLNHIPSAPSATAAAADNHHNQHHWFPAP PPLSIPDNHHHHHRIFLRTGVLSDKASDFPTTEAPVYFAASPDVATSASRVFEFPIMS PSPSSATARRGLAIDLNEPPPLWL" gene complement(<18285051..>18289423) /locus_tag="BRARA_H01998" /db_xref="Phytozome:Brara.H01998" mRNA complement(join(<18285051..18285071,18285180..18285239, 18285388..18285493,18285591..18285727,18285805..18285887, 18285967..18286072,18286149..18286245,18286578..18286861, 18286946..18287099,18287223..18287297,18287410..18287426, 18287560..18287634,18287736..18287841,18287953..18288101, 18288188..18288252,18288334..18288439,18288523..18288619, 18288701..18288984,18289062..18289215, 18289362..>18289423)) /locus_tag="BRARA_H01998" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01998" CDS complement(join(18285051..18285071,18285180..18285239, 18285388..18285493,18285591..18285727,18285805..18285887, 18285967..18286072,18286149..18286245,18286578..18286861, 18286946..18287099,18287223..18287297,18287410..18287426, 18287560..18287634,18287736..18287841,18287953..18288101, 18288188..18288252,18288334..18288439,18288523..18288619, 18288701..18288984,18289062..18289215,18289362..18289423)) /locus_tag="BRARA_H01998" /codon_start=1 /product="hypothetical protein" /protein_id="RID51324.1" /db_xref="Phytozome:Brara.H01998" /translation="MEAPSDNAQPTQASSLASKLQISEEVERRYTMIKSVGDQCINDD ELRDLLSKKAAPVCYDGFEPSGKMHIAQGLMKIMNVNKLTSAGCRVKIWIADWFAFMN NKLGGDLKKIRIVGEYYKEIFQAAGMNGENVEFLWSSDEINARGDEYWPLVMDIACRN SLAKIKRCMPIMGHSETDELSAAHVLYVCMQCADPLFLEADICQLGMDQQTVNLLARD YCDETERGNKPVILSHHMLPTKMSKNDPSSAIFMDDDEVEVNRKIKKKAYCPPKIVEG NPCLEYVKYIILPWFSEFTVERDEKFGGNKTFNNFEDIAADYESDKLHPMDLKNALSK ALNNILQPVRDHFKTNNGAKNLLKQVTNLLKQDQKTNRVVATELSKEMEALSVNAPSS AAGLEMSEEVEKKYNIVRSIGEECIQEDELKNLLSKKPTPICYDGFEPSGRMHIAQGV MKVTNVNKLTSAGCQVKIWIADWFAQLNNKLGGDLEKIKVVGEYFKEIWQAGGMNLEK VAFLWASEEISSRGNKYWPLVMDIARRNNLRRILRCGQIMGRSETEVLSAAQILYPCM QCADIFFLEADICQLGMDQRKVNMLAREYCDDIKRKNKPIILSHHMLPGLLEGQEKMS KSNPSSAIFMEDGEDAVNEKISQAHCPLKTVVGNPCLEYVKYLVLPRFNELVVEQNGG NKTFRSFEDIAAEYESGELSEEDLKKALIKALNIMLQPVRDHFKTNERAKNLLEQVRA FRVTR" gene 18294924..18295914 /locus_tag="BRARA_H01999" /db_xref="Phytozome:Brara.H01999" mRNA join(18294924..18295139,18295325..18295914) /locus_tag="BRARA_H01999" /product="hypothetical protein" /db_xref="Phytozome:Brara.H01999" CDS join(18295074..18295139,18295325..18295849) /locus_tag="BRARA_H01999" /codon_start=1 /product="hypothetical protein" /protein_id="RID51326.1" /db_xref="Phytozome:Brara.H01999" /translation="MFGNCDQKNKMPMISSTNTNPLASMQSKNMIVAPSHQQQQQQPP QLKCPRCDSSNTKFCYYNNYSLSQPRYFCKACKRYWTQGGTLRNVPVGGSYRKNKRVK RPATTTVASTASTTTSSSPNNPHHQISQFSSVNHHPLFYGLSDHVSSCNNLPMMVPSR FSDSSKTSSSSGLESEFISSGFSGLGALGLGLRPHH" gene 18295915..18296916 /locus_tag="BRARA_H02000" /db_xref="Phytozome:Brara.H02000" mRNA 18295915..18296916 /locus_tag="BRARA_H02000" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02000" CDS 18295980..18296222 /locus_tag="BRARA_H02000" /codon_start=1 /product="hypothetical protein" /protein_id="RID51325.1" /db_xref="Phytozome:Brara.H02000" /translation="MMGQSHIRTLAPLPHVGGNTDDMNKEGKLDQISGNINGFMSSSS LNPSNNNNIWNNASVVNGACLDLTNNDVGSFLTSLI" gene 18298235..18299173 /locus_tag="BRARA_H02001" /db_xref="Phytozome:Brara.H02001" mRNA 18298235..18299173 /locus_tag="BRARA_H02001" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02001" CDS 18298274..18298957 /locus_tag="BRARA_H02001" /codon_start=1 /product="hypothetical protein" /protein_id="RID51327.1" /db_xref="Phytozome:Brara.H02001" /translation="MENSPRYRDASTNPIPSPRCSSSSTESNKPPTTPTRNLTPRSES GNPYPTTFVQADTTSFKQVVQMLTGSSDRPKHHNNNTSSLKPDPRSSSPSQFSIPPIK AVPNKKHSSGFRLYERRNSMKHLKINPLNPVFNPVNSPRKPEILSPSILDFPSLVLSP VTPLVPDPFNRSGSSNQSSNDEEEKAMKEKGFYLHPSPATTPMDSEPRLLPLFPVTSP RVSGSSTAS" gene complement(<18303232..>18303567) /locus_tag="BRARA_H02002" /db_xref="Phytozome:Brara.H02002" mRNA complement(<18303232..>18303567) /locus_tag="BRARA_H02002" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02002" CDS complement(18303232..18303567) /locus_tag="BRARA_H02002" /codon_start=1 /product="hypothetical protein" /protein_id="RID51328.1" /db_xref="Phytozome:Brara.H02002" /translation="MGGVKLLFIFGLLVLAMVAKSVNATYPLTKSCINGQGCIGDDDE LESLMDSETNRRQLARGRRYIGYDALKKNNVPCNRRGRSYYDCKKRRRNNPYRRGCSA ITHCYRYAK" gene 18308765..18312846 /locus_tag="BRARA_H02003" /db_xref="Phytozome:Brara.H02003" mRNA join(18308765..18309187,18309388..18309482, 18309557..18310021,18310167..18310289,18310378..18310532, 18310613..18312846) /locus_tag="BRARA_H02003" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02003" mRNA join(18308789..18309024,18309388..18309482, 18309557..18310021,18310167..18310289,18310378..18310532, 18310613..18312846) /locus_tag="BRARA_H02003" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02003" CDS join(18309405..18309482,18309557..18310021, 18310167..18310289,18310378..18310532,18310613..18312200) /locus_tag="BRARA_H02003" /codon_start=1 /product="hypothetical protein" /protein_id="RID51329.1" /db_xref="Phytozome:Brara.H02003" /translation="MEASNGKSPKSNADSANQKQNPILLEVNSIEKQLWTLIHSKGIL HPDVSELYTKSTSTYEQIFKSNLQQEVLQEVEFCLWKLHYKHIDEFRKGIKTNDPATH MKAFKMFLSRAAEFYKNLISKVEESGFLCHRFYVCLGDLERYKEQYLKTHEHPPNWST AASYYLEAAKSWPDSGNPHNQLAVLATYVGDEFLALYHCVRSLAVKEPFPGASNNLLL LFEKNRSSPLKSLSTDGEFNFLNPSERNVVVKDLMAGTDLWPLVVRTISFFFLKSSFD DFGCTFASTMRELDAAFAADDKSLEAMLESYQVMDLSRKGPYRILQLVAVFVFIFHHL AEFNESDKAKEEVKLTRLALTMVFIVMGRVVERCLKTSPLDSCPLLPSLLVFLDYLPF LLEKTEEGECRFDEKSEIAISYFFGKLVDLLNQLKVEGQNCSALWEDHELRSLAPLAL IHLLLDFSSHMELRESFDKGKEIRLQRIVNSAIRITSRQNKSSQKWLFLDKQGTWSYS NEEGSNITCIAVGTAENESERSLPAEEEEVILLKPLVRSQSAPISSSGIATKPLSSDN KTLSDESLRRTSSLIVNHNSESFSFTQGLKNTEPQLEEGTVSGRPPSLSAWVVDKKKE NGGVSNKANGLRPIDETSPVTSFDSLSTSCYSPPTPSAPLLPEDASWFHNGPIDEPPG FMKTYTNSPHVGMSSSEWLRQYRESRNLGPAYSHHQAQGSSKFSLLARYGTPNDQSMI SSENSMFHPQLYNESRGEKLWKGQQSTRNAYGFSDDPGPFLRYLREKEWLNENGERLR EGCK" CDS join(18309405..18309482,18309557..18310021, 18310167..18310289,18310378..18310532,18310613..18312200) /locus_tag="BRARA_H02003" /codon_start=1 /product="hypothetical protein" /protein_id="RID51330.1" /db_xref="Phytozome:Brara.H02003" /translation="MEASNGKSPKSNADSANQKQNPILLEVNSIEKQLWTLIHSKGIL HPDVSELYTKSTSTYEQIFKSNLQQEVLQEVEFCLWKLHYKHIDEFRKGIKTNDPATH MKAFKMFLSRAAEFYKNLISKVEESGFLCHRFYVCLGDLERYKEQYLKTHEHPPNWST AASYYLEAAKSWPDSGNPHNQLAVLATYVGDEFLALYHCVRSLAVKEPFPGASNNLLL LFEKNRSSPLKSLSTDGEFNFLNPSERNVVVKDLMAGTDLWPLVVRTISFFFLKSSFD DFGCTFASTMRELDAAFAADDKSLEAMLESYQVMDLSRKGPYRILQLVAVFVFIFHHL AEFNESDKAKEEVKLTRLALTMVFIVMGRVVERCLKTSPLDSCPLLPSLLVFLDYLPF LLEKTEEGECRFDEKSEIAISYFFGKLVDLLNQLKVEGQNCSALWEDHELRSLAPLAL IHLLLDFSSHMELRESFDKGKEIRLQRIVNSAIRITSRQNKSSQKWLFLDKQGTWSYS NEEGSNITCIAVGTAENESERSLPAEEEEVILLKPLVRSQSAPISSSGIATKPLSSDN KTLSDESLRRTSSLIVNHNSESFSFTQGLKNTEPQLEEGTVSGRPPSLSAWVVDKKKE NGGVSNKANGLRPIDETSPVTSFDSLSTSCYSPPTPSAPLLPEDASWFHNGPIDEPPG FMKTYTNSPHVGMSSSEWLRQYRESRNLGPAYSHHQAQGSSKFSLLARYGTPNDQSMI SSENSMFHPQLYNESRGEKLWKGQQSTRNAYGFSDDPGPFLRYLREKEWLNENGERLR EGCK" gene 18316566..18319718 /locus_tag="BRARA_H02004" /db_xref="Phytozome:Brara.H02004" mRNA join(18316566..18317096,18317160..18317405, 18317492..18317705,18317776..18317885,18317990..18318189, 18318495..18318598,18318722..18318909,18318989..18319188, 18319261..18319718) /locus_tag="BRARA_H02004" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02004" CDS join(18316859..18317096,18317160..18317405, 18317492..18317705,18317776..18317885,18317990..18318189, 18318495..18318598,18318722..18318909,18318989..18319188, 18319261..18319500) /locus_tag="BRARA_H02004" /codon_start=1 /product="hypothetical protein" /protein_id="RID51331.1" /db_xref="Phytozome:Brara.H02004" /translation="MTGLGVRSSSNGSLEKTGLNGVVLSIQTTRAKPSKMHKEREAGL LLPWICKLAGRKKVGMLLLFLISAVVFLRVLFVGKGEDGQVPPSLHFNGTFAVNHSYM LPTNEEEESINIRNISFLGLNAVLSPPMHFLGYTLPQGHPCNSFTLPPPPADRKRTGP RPCPVCYLPVEEAIALMPNAPSFSPLLKNLTYVYEEPLNRDTEFGGSDFGGHPTLKHR NDSFEIRETMSVHCGFVKGPQPGRNTGFDIDEADLLEMKQCRGIVVASAVFDAFDDVK APQNISKYSEETVCFYMFVDEETESILKRERGLNSNKKVGIWRVVVVHNLPYSDGRRN GKVPKLLVHRMFPNARYSLWIDGKLELVVDPHQILERFLWRKNATFAISKHYKRFDVL VEAEANKAAGKYDNASIDFQVEFYKNEGLTPYSVAKLPITSDVPEGCVILREHVPISN LFTCLWFNEVDRFTSRDQISFSTVRDKIAAKTNWTVSMFLDCERRNFVVQRYHRAEQE RYARQKPPVPKFSPPPPSLPTKPVLISSDLPRKVSSGRAVSRTTPPRRRGRRSGPRGH RKANLPVRLQDSA" gene 18322985..18324916 /locus_tag="BRARA_H02005" /db_xref="Phytozome:Brara.H02005" mRNA join(18322985..18323856,18324327..18324916) /locus_tag="BRARA_H02005" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02005" CDS join(18323161..18323856,18324327..18324689) /locus_tag="BRARA_H02005" /codon_start=1 /product="hypothetical protein" /protein_id="RID51332.1" /db_xref="Phytozome:Brara.H02005" /translation="MKNGLIIISCVILTIGTCGGPLLTRLYYTNGGKRIWFMSFLSTA GFPVILVPLFFSFLSRRNRNNSETAEKPKLILMETPLFIASIVIGWLTGLDNYLYAYG LAYLPVSTSSLIIGTQLAFNALFAFFMVKQKFTPFSINAVVLLTVGIVVLALHSSGDK PANETHKEYVVGFLMTVIAAVLYAFILPLVELTYKKARQEITFPLVLEIQMVMCLAAT CFCVVGMIIDGDFKVISREAREFKIGGSAFYYTLIMITGIVWQGFFLGAIGVVFCASS LASAVLISVLLPVTEVLAVICFREKFQAEKGVSLFLSIWGFVSYFYGEYKSGKKVLEK HQPPETELPPLQVSDSVA" gene <18327513..>18329192 /locus_tag="BRARA_H02006" /db_xref="Phytozome:Brara.H02006" mRNA join(<18327513..18328214,18328827..>18329192) /locus_tag="BRARA_H02006" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02006" CDS join(18327513..18328214,18328827..18329192) /locus_tag="BRARA_H02006" /codon_start=1 /product="hypothetical protein" /protein_id="RID51333.1" /db_xref="Phytozome:Brara.H02006" /translation="MKNGLLIIVCIFLAIGTCGGPLLTRLYYTKGGSRIWFMSFLATA GCPIILIPLYVSFLRRSNRNHNNSETAEKAKVFVMETPLFIASIVIGLLIGLDNYLYA YGLAYLPVSTSSLIVGTQLAFNAIFSFLMVKQKFTPFSINAVVLLTVGTGILALHTDR DRPAGVSKKEYVVGFLLTLIAAVLYAFLMPLVELTYKKVRQEITFTLVLEMQMVMCVA STCFCLVGMVVKGDFKAIPREAREFMIGSSLFYYTLIVVIGIVWQCFFLGALGVVYCA SSLASGVFVSVLLPVTEVLAVVCFREKFQAEKGVALLLSLWGFVSYFYGEFKSGKKII DSPQSPETELPPLPVSGPDVA" gene complement(18331786..18337050) /locus_tag="BRARA_H02007" /db_xref="Phytozome:Brara.H02007" mRNA complement(join(18331786..18332099,18332537..18332626, 18332940..18333009,18333092..18333140,18333316..18333372, 18333935..18334006,18334174..18334265,18334681..18334753, 18334843..18334905,18335004..18335068,18335453..18335540, 18335633..18335674,18335762..18335872,18335956..18335994, 18336100..18336183,18336287..18336378,18336463..18336507, 18336739..18336796,18336885..18337050)) /locus_tag="BRARA_H02007" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02007" mRNA complement(join(18331786..18332135,18332537..18332626, 18332940..18333009,18333092..18333140,18333316..18333372, 18333935..18334006,18334174..18334265,18334681..18334753, 18334843..18334905,18335004..18335068,18335453..18335540, 18335633..18335674,18335762..18335872,18335956..18335994, 18336100..18336183,18336287..18336378,18336463..18336507, 18336739..18336796,18336885..18337050)) /locus_tag="BRARA_H02007" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02007" CDS complement(join(18332015..18332099,18332537..18332626, 18332940..18333009,18333092..18333140,18333316..18333372, 18333935..18334006,18334174..18334265,18334681..18334753, 18334843..18334905,18335004..18335068,18335453..18335540, 18335633..18335674,18335762..18335872,18335956..18335994, 18336100..18336183,18336287..18336378,18336463..18336507, 18336739..18336796,18336885..18336902)) /locus_tag="BRARA_H02007" /codon_start=1 /product="hypothetical protein" /protein_id="RID51334.1" /db_xref="Phytozome:Brara.H02007" /translation="MRRFNSVLRSLQARRTFDSTLGLRPGSQKPFLFERYIHSTGISF SSARNYYDVLGVSPKATRDEIKKSFHELAKKFHPDTNRNNPSAKKKFQEIREAYETLG NSERREEYDKVRYRNSEFVNNDGSGAERFRRAYQSNFSDSFHKIFSEIFEDQSNPPSP DIRVELTLSLYESLKGCTKRLEFDAYVFCDSCDGLGHSLDAATRVCPTCRGLGRVTIP PFTAQCQTCKGSGHIVKEHCMSCRGSGVVEATKTVEVVIPGGMESGATVTIDDAGHVR SRTSRPGKLYIKFKVANDSTFSRDGSDIYVDANISFTQAILGGKVVVPTLSGKTEIDI PKGAQPGELLILRGKGLPKQGFFVDHGDQYVRLRVSVPTELNERQRAILEEFAKEEIN SELSGSAEGSWWDRMGPRIIRDFSLVVLLAILLRKLMG" CDS complement(join(18332108..18332135,18332537..18332626, 18332940..18333009,18333092..18333140,18333316..18333372, 18333935..18334006,18334174..18334265,18334681..18334753, 18334843..18334905,18335004..18335068,18335453..18335540, 18335633..18335674,18335762..18335872,18335956..18335994, 18336100..18336183,18336287..18336378,18336463..18336507, 18336739..18336796,18336885..18336902)) /locus_tag="BRARA_H02007" /codon_start=1 /product="hypothetical protein" /protein_id="RID51335.1" /db_xref="Phytozome:Brara.H02007" /translation="MRRFNSVLRSLQARRTFDSTLGLRPGSQKPFLFERYIHSTGISF SSARNYYDVLGVSPKATRDEIKKSFHELAKKFHPDTNRNNPSAKKKFQEIREAYETLG NSERREEYDKVRYRNSEFVNNDGSGAERFRRAYQSNFSDSFHKIFSEIFEDQSNPPSP DIRVELTLSLYESLKGCTKRLEFDAYVFCDSCDGLGHSLDAATRVCPTCRGLGRVTIP PFTAQCQTCKGSGHIVKEHCMSCRGSGVVEATKTVEVVIPGGMESGATVTIDDAGHVR SRTSRPGKLYIKFKVANDSTFSRDGSDIYVDANISFTQAILGGKVVVPTLSGKTEIDI PKGAQPGELLILRGKGLPKQGFFVDHGDQYVRLRVSVPTELNERQRAILEEFAKEEIN SELSGSAEGSWLYQKLSTG" gene complement(<18339789..>18342082) /locus_tag="BRARA_H02008" /db_xref="Phytozome:Brara.H02008" mRNA complement(join(<18339789..18340006,18341143..>18342082)) /locus_tag="BRARA_H02008" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02008" CDS complement(join(18339789..18340006,18341143..18342082)) /locus_tag="BRARA_H02008" /codon_start=1 /product="hypothetical protein" /protein_id="RID51336.1" /db_xref="Phytozome:Brara.H02008" /translation="MRVFKKNKKRRRREKSRDDRSQPNHIPLDLTFEILSRLPAKSIV RYQCVSKLWSSFTTLPSFINSFASRSSSRSPRLLLTFTLQGKHFVFSFPQNQNPEGSY SPVYRYHMKNAYNDYMRSESVHGLILLYGFRIWNPSLRRIFTLPHPEEHIPISLCSRR SYLCYDPLEGKHKVLCLYYGSSSVEPLIITLGAQESWRIITKGRCPVHSPTKEGYGRC FNGILYYQARVDDHDIIMSFDVKSESFSPINYPKYSSFRRSYKMMIPYDGRLALVTRD FPSELYILKDADGHEWTRQCLPRVRFKSKWRIYMQLKGITDAGELVFAPKSFVDSFYI LYFDPRRNSTREAFFEGFMGDEFRRSDYGFPNNRTDCFGVFANHMESLVSF" gene complement(18342561..18344610) /locus_tag="BRARA_H02009" /db_xref="Phytozome:Brara.H02009" mRNA complement(18342561..18344610) /locus_tag="BRARA_H02009" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02009" CDS complement(18344297..18344557) /locus_tag="BRARA_H02009" /codon_start=1 /product="hypothetical protein" /protein_id="RID51337.1" /db_xref="Phytozome:Brara.H02009" /translation="MEEQGDKKTREIHMDTQDDDNDISQPDHIPLDLTSEILSRLPAK SFARFLCVSKLWTSFTTLSSFINSFAFRSSSQTPRLLITFTL" gene 18346496..18348143 /locus_tag="BRARA_H02010" /db_xref="Phytozome:Brara.H02010" mRNA join(18346496..18347566,18347649..18348143) /locus_tag="BRARA_H02010" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02010" CDS join(18347446..18347566,18347649..18347791) /locus_tag="BRARA_H02010" /codon_start=1 /product="hypothetical protein" /protein_id="RID51338.1" /db_xref="Phytozome:Brara.H02010" /translation="MEKMVDPHPVGFRFHPTDEEIIGYYLREKNMDSFDPWELPSHSS SIRKDDVWYFFARKDNKYVHTKKKSKDDKYNRGTPFFKKRRQI" gene complement(<18349223..>18350359) /locus_tag="BRARA_H02011" /db_xref="Phytozome:Brara.H02011" mRNA complement(join(<18349223..18349897,18349938..18350044, 18350077..>18350359)) /locus_tag="BRARA_H02011" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02011" CDS complement(join(18349223..18349897,18349938..18350044, 18350077..18350359)) /locus_tag="BRARA_H02011" /codon_start=1 /product="hypothetical protein" /protein_id="RID51339.1" /db_xref="Phytozome:Brara.H02011" /translation="MEEHKKTREIHRVTQDDEEDRCQVDNIPLDLTLDMLSRLPPKSI ARFLCVSKLWSSFTTLPSFINSFASRSSSQPPRLLLTFTHLEAHTSREALHGSYPPYH SYHMPNPKYSVITLSESVQGLILLKDLVLPQPQETISVKGSPCSGYRSYLGYDPLEGK HKVLFMSRNEYTDQPRVLTLGAQESWRIITKGRCPMHFSSIGGYGRCFNGILYYEAFD TDGHRIIMSFDVKYENFNLIKILEGFYKMPCHMILYEGRLALVHKRTYDPNVDLYILN DSTGDEWLHEECCLHILAGLCLNGVTAAGELVFTSTENESLYILYLNPRRNMMREALF EGIVGGDFRSRYGLCSNIGI" gene 18351449..18352938 /locus_tag="BRARA_H02012" /db_xref="Phytozome:Brara.H02012" mRNA join(18351449..18351689,18351771..18351872, 18351975..18352246,18352547..18352938) /locus_tag="BRARA_H02012" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02012" CDS join(18351476..18351689,18351771..18351872, 18351975..18352246,18352547..18352726) /locus_tag="BRARA_H02012" /codon_start=1 /product="hypothetical protein" /protein_id="RID51340.1" /db_xref="Phytozome:Brara.H02012" /translation="MSGHEENHDGRRISTPASSDPKAAPHSSDYAPYPKLDPNDVTPP PPPISGGTATTMPPEFNPYVSPSPVPKNTMDSVKDTLGKWGKMAADATKKAQDLSGNV WQHLKTGPSVADAAVSRIAQGTKILAEGGYEKVFKQTFECFPDEQLRKTYACYLSTSA GPVMGVMYLSTHKLAFCSDNPLSYKEGDQTKWSYYKVVLPVNQLKAVNPSTSRVNTSE KYIQVISVDNHEFWFMGFVTYDSAVKSLQEAVQSHAP" gene 18358156..18359247 /locus_tag="BRARA_H02013" /db_xref="Phytozome:Brara.H02013" mRNA 18358156..18359247 /locus_tag="BRARA_H02013" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02013" CDS 18358277..18359086 /locus_tag="BRARA_H02013" /codon_start=1 /product="hypothetical protein" /protein_id="RID51341.1" /db_xref="Phytozome:Brara.H02013" /translation="MGHHRLASLLVKLHKAPTAWLCLHPRPDRSISDGGGHRRKIKLV RSDGSSELYDRPVVVSELTRDFPKHQICRSDSLYIGQKTPVLSETDTLKLGLNYFLLP SDFFTNDLSFLAIAALKPPQNGGVLVRSKSAGAQQQPFLIQKGGTGEKLKIRVSEEFM SELMMEGRRNKEKEEEEERENEERVCTTVKLKKDYVQLVGLRKWKPKLETIRETKATV EKKKKKRRKFLMMKKRSTSCKDSSQTDSCGKRKLQSKLKSKTKKATLGKSA" gene complement(<18361864..>18362841) /locus_tag="BRARA_H02014" /db_xref="Phytozome:Brara.H02014" mRNA complement(<18361864..>18362841) /locus_tag="BRARA_H02014" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02014" CDS complement(18361864..18362841) /locus_tag="BRARA_H02014" /codon_start=1 /product="hypothetical protein" /protein_id="RID51342.1" /db_xref="Phytozome:Brara.H02014" /translation="MDVNEISKTLERDEQETISLISSLPSDIDFNGTKLFKYQACWYD NKTLQGILNFQRGFEPQETDIIISSFPKSGTTWLKALTVALLERSKHSSNHPLLSDNP HGLVPVLELRLYTETSKPDLTSFSSTPRLFSTHVPFHTLQEALKNTPSKVVYVWRNLK DALVSLWYFECANLKIKIDEERSLLESMFESFCRGVSYYGPFWEHVLSYWRGSLEDSK NVLFLRYEELKVDPRGQVKKLAEFLGVPFSVEEEESGSVEEILDLCSLDNLKNLEVNK IGKTARGVEHKNFFRKGEVGDSKNYLTPEMERRIDMIIDERFRGADLKF" gene complement(<18363564..>18363962) /locus_tag="BRARA_H02015" /db_xref="Phytozome:Brara.H02015" mRNA complement(<18363564..>18363962) /locus_tag="BRARA_H02015" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02015" CDS complement(18363564..18363962) /locus_tag="BRARA_H02015" /codon_start=1 /product="hypothetical protein" /protein_id="RID51343.1" /db_xref="Phytozome:Brara.H02015" /translation="MASSDSQPHKRSDRHETYRPTQDIIIFMVFVAISVVVTFAMGFT GTKFYMSSAPDLDITGLVKKAVFMVFMFCNSITTVASVATLMHLIWACNLSEFEQVQT ALIRAMALVTVALISMSVAFVVRMLSCCLP" gene complement(<18364898..>18365602) /locus_tag="BRARA_H02016" /db_xref="Phytozome:Brara.H02016" mRNA complement(<18364898..>18365602) /locus_tag="BRARA_H02016" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02016" CDS complement(18364898..18365602) /locus_tag="BRARA_H02016" /codon_start=1 /product="hypothetical protein" /protein_id="RID51344.1" /db_xref="Phytozome:Brara.H02016" /translation="MDFNGNSYAGSSSRSKKSHRQKQQQQQPQPQHNEDIKYVGVRRR PWGRYAAEIRNPTTKERYWLGTFDTAEEAALAYDRAARSIRGLTARTNFVYSDMPRGT SVTSFISPDESQRFISELFNPSSQLEATNNINNNNNNLNSSTNNGWPHESECGYQCIN TNDEHCDHELPPFPPSTCFGAELMIPETDSYWNVVHASMNTFSFEPDGFVGQNSLGES GTKGFDCSSSTFFYQS" gene 18369942..18372149 /locus_tag="BRARA_H02017" /db_xref="Phytozome:Brara.H02017" mRNA join(18369942..18370074,18370292..18370439, 18370587..18370652,18370732..18370815,18370900..18371022, 18371108..18371160,18371256..18371305,18371381..18371481, 18371559..18371816,18371896..18372149) /locus_tag="BRARA_H02017" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02017" CDS join(18370299..18370439,18370587..18370652, 18370732..18370815,18370900..18371022,18371108..18371160, 18371256..18371305,18371381..18371481,18371559..18371816, 18371896..18371919) /locus_tag="BRARA_H02017" /codon_start=1 /product="hypothetical protein" /protein_id="RID51345.1" /db_xref="Phytozome:Brara.H02017" /translation="MQNQNDTVKDDAELAASMSAEQWGCCSVEEPSFQDDEAAKVPYV GDKEPMSSLSAEYQAGSPILLEKIKVLDSQYVAIRRTRGDGNCFFRSFMFSYLEHILE SQDGAEVDRIKVNVEKCRKNLQSLGYTDFTFEDFFALFLEQLDDILQGGEESISYDEL VNRSRDQSVSDYIVMFFRFVTAGEIKTRAEFFEPFITGLSNTTVDQFCKTSVEPMGEE SDHIHITALSDALGVAIRVVYLDRSSCDTGGGVTVNHHDFVPVGTTNEKEEASAAAPF ITLLYRPGHYDILYPKVLNNVEK" gene 18379546..18382463 /locus_tag="BRARA_H02018" /db_xref="Phytozome:Brara.H02018" mRNA join(18379546..18379863,18379938..18380039, 18380129..18380221,18380788..18380880,18380954..18381033, 18381112..18381393,18381482..18381606,18381676..18381768, 18381861..18381978,18382050..18382463) /locus_tag="BRARA_H02018" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02018" CDS join(18379617..18379863,18379938..18380039, 18380129..18380221,18380788..18380880,18380954..18381033, 18381112..18381393,18381482..18381606,18381676..18381768, 18381861..18381978,18382050..18382199) /locus_tag="BRARA_H02018" /codon_start=1 /product="hypothetical protein" /protein_id="RID51346.1" /db_xref="Phytozome:Brara.H02018" /translation="MSPPPSLQCLTFSFALIFFLSSSTVLSHSDLVTRLPGQPRVGFQ QYSGYVTLDEKKQRALFYYFAEAETNPTSKPLVLWLNGGPGCSSLGVGAFSENGPFRP KGSVLVKNQHSWNQEANMLYLETPVGVGFSYSTQRSYESVDDKITARDNLVFLERWFL KFPHYLNRSLFITGESYAGHYVPQLADLMIQYNKKHHLFNLRGIAIGNPVLEFSTDFN SRAEYFWSHGLISDSTFKLFTSYCNYSRYVSEYYRGSMSSICSKVMSQVNTETSRFVD KYDVTLDVCISSVLSQSKVVSPNQVGESVDVCVEDETVNYLNRRDVQEALHARLVGVR EWTVCSNVLDYQMLDVEKPTINIVGSLVEAGVPVLVYSGDQDSVIPLTGSRTLVSRLA KRLGLRTSVPYRVWFAGQQVGGWTQVYGNVLSFATVRGAAHEVPFSQPERSLVLFKAF LDGHPLPEEF" gene complement(<18383766..>18388042) /locus_tag="BRARA_H02019" /db_xref="Phytozome:Brara.H02019" mRNA complement(join(<18383766..18383942,18386915..18386987, 18387102..18387152,18387279..18387428, 18387501..>18388042)) /locus_tag="BRARA_H02019" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02019" CDS complement(join(18383766..18383942,18386915..18386987, 18387102..18387152,18387279..18387428,18387501..18388042)) /locus_tag="BRARA_H02019" /codon_start=1 /product="hypothetical protein" /protein_id="RID51347.1" /db_xref="Phytozome:Brara.H02019" /translation="MTDLFPLEPSPLYGVCSNGGGGFLEAVMMREATINNQDSSGEAC SVSDPIAASNEYYYNPANEDDGSASKNDVVASTNLEDGNRDKSWLRLGVGPEKNNNGT SSYKLQRCCSKNASGRENSLDLTLFTSSSTAAGAVRSKVDHPQPQPPYSHDELLTMRG ASLVYNHQLFRPQTLLNRGYSERNVTISNSFTRSWCEEEGGAGPSSEFRVIDPPKRPH SGLWFLLQASQFQEKEPFLPQVNKSYLRIKDGRITVRLLIKYLMKKLQLDSESEIEIR CRGQQLSPLLTMQHVRDTIWNPKSSLPSSSPSFTLLRDSSTSDHVMILHYGRTA" gene complement(18393714..18395114) /locus_tag="BRARA_H02020" /db_xref="Phytozome:Brara.H02020" mRNA complement(join(18393714..18394382,18394809..18395114)) /locus_tag="BRARA_H02020" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02020" CDS complement(join(18394047..18394382,18394809..18395009)) /locus_tag="BRARA_H02020" /codon_start=1 /product="hypothetical protein" /protein_id="RID51348.1" /db_xref="Phytozome:Brara.H02020" /translation="MDMATVTKYLERSVQNCSLSNRRRSFEDELGLTDKREEDHVPIL DTTLELNSHISVPSHLEQCLDLKTGEFFYINRNSRMRVMEDPRNSVSYNNAGEFSGES DVTVFSEDDSLSYYENEESSSESSRESHIEEEEEQVLAVAGCKACYMYFMVPKLLNDC PKCAAQLLHFDRPHSASP" gene complement(<18395761..>18399027) /locus_tag="BRARA_H02021" /db_xref="Phytozome:Brara.H02021" mRNA complement(join(<18395761..18396652,18397190..18397344, 18397445..18397639,18397726..18398097,18398190..18398474, 18398620..>18399027)) /locus_tag="BRARA_H02021" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02021" CDS complement(join(18395761..18396652,18397190..18397344, 18397445..18397639,18397726..18398097,18398190..18398474, 18398620..18399027)) /locus_tag="BRARA_H02021" /codon_start=1 /product="hypothetical protein" /protein_id="RID51349.1" /db_xref="Phytozome:Brara.H02021" /translation="MANERYSRSNRDDRDRDSSVDRSPEREGGHRNQVRDRDGDSKRR DSDHYRPSRRDDREEERDSGKDRGRDREGSRDRDRHHERSKDKEARSKRKEREEENVN REGNKKSRFADGSSERRSSVEDVAEGSGAMNGEIEGAASYSSTALETTSLAPRQTLPT KVSSLSTKDENKGVSNVRSHEVHGNSSTDGRTSSTAGESSASLSSLDALAKAKKAIEL GKGIADRFKKLSSMNQGTMPTSEGSPHTRVQSSTTTPAVSAGTSSASALPHAVFPRPE STSNIEAVRKAQELAAKMTFRHDPSALNYFSGQAPTETMAVTQKPAKPPVLRVDALGR EIDEHGNVISVTKPSNLTTLKVNINKQKKDAFQILKPQLEVNPEENPHFDPRMGIDKN KILRPKRMSFEFVEEGKWTRDAESLKLKSQFGEAKARELKVKQAHLAKASDGINPNLI EVSERAPRKEKPKEVIPDVEWWDASVLTSGIYGDIADGTITDNDLKIEKLTHYIEHPR PIEPPAEAAPPPPQPLKLTKREQKKLRTQRRLAKEKEKQEMIRQGLLEPPKAKVKMSN LMKVLGPEATQDPTKLEKEIRTAAAEREQAHVDRNTARKLTPAEKREKKERKLFDDRT TTLETIVSVYKVNKLSHPKARFKVEMNARQNRLTGCSVMTDEMSVIVVEGKSKAIKRY GKVMLKRINWEEAVKKDDKEGEEEDEDEEENSENNKCWLAWQGSVAKQSFHRFHVQEC LTESAAKKVFSDAGVAHYWDLAVNYTDD" gene 18399898..18402094 /locus_tag="BRARA_H02022" /db_xref="Phytozome:Brara.H02022" mRNA join(18399898..18400740,18400873..18401040, 18401334..18401572,18401698..18402094) /locus_tag="BRARA_H02022" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02022" CDS join(18399994..18400740,18400873..18401040, 18401334..18401572,18401698..18401800) /locus_tag="BRARA_H02022" /codon_start=1 /product="hypothetical protein" /protein_id="RID51350.1" /db_xref="Phytozome:Brara.H02022" /translation="MSSSSERVPCDFCNERAAVLFCRADAAKLCLPCDRHVHTANLLS KKHVRSQICDNCGSEPVSVRCFTDSLVLCQECDWDVHGSCSVSDAHVRSVVEGFTGCP SALELGALWGIDLEGRKEEEKQVPVTMESFGMELDSWISGSDVLQELVVPVPKKGGSS CGRYKQVLGKQLEELLRSGGGGGDDGGYDGEAGEEGNMVPEMQERLGWRRDEEEISGG GEVNQQPQTTSFTALLWNAANTSDGQRQSTQIWDFNLGQSREPEDSSRMKAAYVTKDA ASFKINSFVEVKKNDTCSTKAKGVKEIFQDDYNQSTSGQVLTCESNNLPITFGSEKGS NSSSELNFTENIGGTSCKSTRRVATKADLERLAQNRDNAMQRYKEKRKTRRYDKTIRY ESRKARADTRLRVKGRFVKATEAPYP" gene complement(18402220..18405961) /locus_tag="BRARA_H02023" /db_xref="Phytozome:Brara.H02023" mRNA complement(join(18402220..18402522,18402613..18402691, 18402764..18402910,18402988..18403042,18403136..18403243, 18403331..18403346,18403533..18403659,18403783..18403874, 18403974..18404024,18404170..18404311,18404400..18404624, 18404746..18404805,18404909..18405060,18405477..18405522, 18405611..18405961)) /locus_tag="BRARA_H02023" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02023" CDS complement(join(18402400..18402522,18402613..18402691, 18402764..18402910,18402988..18403042,18403136..18403243, 18403331..18403346,18403533..18403659,18403783..18403874, 18403974..18404024,18404170..18404311,18404400..18404624, 18404746..18404805,18404909..18405060,18405477..18405522, 18405611..18405822)) /locus_tag="BRARA_H02023" /codon_start=1 /product="hypothetical protein" /protein_id="RID51351.1" /db_xref="Phytozome:Brara.H02023" /translation="MDSLSYSSVKSMLIQARVSLNSRLSEFEPLALLVAPLVTLFLAQ VIGSVLGVVHEKGLKACLLGFIMGFLKMIPGVQSYIDAEKQKVVDQLQSGGSSKKNNW AKELPVKGLGVQVLEKMETEKRNDPNWQGKCSGTVYIGGNESEGHFTLINQACSMFAH TNPLHIDVFQSVVRFESEVVAMTAALLGSKETVSGEQICGNMTSGGTESIVLAVKSSR DYMKYKKGIKRPEMIIPESGHSAYDKAAQYFNIKLWRVPVGKDFRADVKAMRRHINRN TIMIVGSAPGFPHGIIDPIEELGQLALSYGICFHVDLCLGGFVLPFARKLGYQIPAFD FSVQGVTSISIDVHKYGLAPKGTSTVLYRNHEIRKHQFVAVTEWSGGLYVSPTIAGSR PGSLVAGAWAAMMSLGEEGYLENTGKIMEASKRLEEGVRGISELFVVGKPDMTIVAFG SKSLDIFEVNDIMSSKGWHLNALQRPNSIHICVTLQHVPVVDDFLQDLREAVETVKAN PGPITGGLAPIYGAAGKMPDRGMVNELLVSFMDSQY" gene complement(18406239..18407218) /locus_tag="BRARA_H02024" /db_xref="Phytozome:Brara.H02024" mRNA complement(join(18406239..18406549,18406829..18407218)) /locus_tag="BRARA_H02024" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02024" CDS complement(join(18406475..18406549,18406829..18407134)) /locus_tag="BRARA_H02024" /codon_start=1 /product="hypothetical protein" /protein_id="RID51352.1" /db_xref="Phytozome:Brara.H02024" /translation="MAQMDPDAVAKAFVEHYYSTFDNNRVGLAGLYQEASMLTFEGQK IQGSQNIVAKLTSLPFQQCKHNISTVDCQPSGPASGMLVFVSGNLQLAGEEHALKFSQ MFHLMPTPQGSFYVFNDIFRLNYA" gene 18408896..18412209 /locus_tag="BRARA_H02025" /db_xref="Phytozome:Brara.H02025" mRNA join(18408896..18409145,18409359..18409417, 18409502..18409601,18409873..18409949,18410026..18410460, 18410545..18411021,18411138..18411350,18411809..18412209) /locus_tag="BRARA_H02025" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02025" CDS join(18409103..18409145,18409359..18409417, 18409502..18409601,18409873..18409949,18410026..18410460, 18410545..18411021,18411138..18411350,18411809..18412075) /locus_tag="BRARA_H02025" /codon_start=1 /product="hypothetical protein" /protein_id="RID51353.1" /db_xref="Phytozome:Brara.H02025" /translation="MAASNRSTNSSPSTGSVKDMVERLSTDKSVLQHQMLQDTNSFRP FCASGYPFSPSSCGINTDSFHIGGYEHGVDTPSSYRSSTDTLSFEEVYHGMRYDDMFY HGNGIPSDSQRSSNSIRNQSFPQHYGDLHADDANYFAPFRSSNQQYPLPEPLHASPEF HMSQTNSTHPYRHGNEINSFETDYMMRSTRNFGLRNNIFGKPKLNPFTGRRTFLPMAS TTRSMKHPISADLSANDFDMGSPSRFLQDDFGSSASLTYREQAYNQCKRGSFSASSSS PSSPWELDYIFPPLDESTSGSCSDFYHRPAMSDLLTERNRGPRASRPNAKSKMITYDQ QDLLSQFRDAKFFVIKSYSEDNVYKSMKYCVWASTKNGNKKLDAAYREAKTKEVACPV FLLFSVNASAQFCGVAEMVGPVDFETSVEYWQQDRWSGHFPVKWVIVKDVPNSLFRHI IIEDNDNKPVTNSRDTQEVGVEQGIEMINIFNSCEMKSSILDDFSFYEERQRIQDRKA RQRALLEPLRASSLTSVPTHLASSLHEDYVREMSKSFADALVLQHKLN" gene complement(18412224..18414286) /locus_tag="BRARA_H02026" /db_xref="Phytozome:Brara.H02026" mRNA complement(join(18412224..18412621,18413869..18414286)) /locus_tag="BRARA_H02026" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02026" CDS complement(join(18412392..18412621,18413869..18414226)) /locus_tag="BRARA_H02026" /codon_start=1 /product="hypothetical protein" /protein_id="RID51354.1" /db_xref="Phytozome:Brara.H02026" /translation="MKGHYFHLFLVTMTVVASISAATPAAPAGGGSLLDECSKDIQTV SLCLDFASGKAPNPSKKCCDAIEDIKEKDPKCLCFVIQQAKSGGQTLKDLGVQEAKLI QLPTSCQLHNASISNCPKLLGISPSSPAAAIFTSNATSTTTPAAPGGTSPATPATSSE KGGSASIKDGHAVMLLAVALMSISFLSTLPWMGLA" gene 18418869..18423132 /locus_tag="BRARA_H02027" /db_xref="Phytozome:Brara.H02027" mRNA join(18418869..18419251,18419571..18419684, 18419962..18420147,18420232..18420339,18420543..18421687, 18422147..18423132) /locus_tag="BRARA_H02027" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02027" CDS join(18419634..18419684,18419962..18420147, 18420232..18420339,18420543..18421687,18422147..18422957) /locus_tag="BRARA_H02027" /codon_start=1 /product="hypothetical protein" /protein_id="RID51355.1" /db_xref="Phytozome:Brara.H02027" /translation="MDVNEVEESFFAPGDAKLHGEMCNALSVIYCKIMSVFPSLEAAR PRSKSGIQALCSLHVVLEKVKNILRHCTESSKLYLAITGDSVVLKFEKAKTSLIDSLR RVEDIVQQSIGSQILEIIMELENTQFSLDPSEKEVGDQIIGLLQQGGNFESSSDNNEL EIFHQAATRLGITSSRAALSERRCLKKLIERARMEDDKRKESIVAYLLHLMRKYSKLF RSEIWDDNDSQGSNSLPCSPTIQGSLDDPPGRAFDRQLSKLSSFNFKSCNNNRRSVQM SVPPDELRCPISLQLMYDPVIIASGQTYERLCIEKWFSDGHNTCPKTQQELSHLCLTP NYCVKALISSWCEQNGVQVPDGPPESLDLNYWRLALSVSESGKSVGSCKFKDVKVVPL EESGTIKEESSCELEYQEAEVTLVERCTDLLTTLSGVDTLRKKCRVVEQMRVLLKDDE EARILMGENGCVEALLQFLGAALSEKNDSAQKVGAMALFNLAVDNNRNKELMLVSGII PLLEEMLCNPHSHGSVTALYLNLSCLEDAKPVIGSSLAVPFMVNLLWTETETQCKVDA LHALFHLSTYPPNIPCLLSADIVNALQSLTVSDDQRWTEKSLAVLLNLVLNEAGKEEM VSVPGLVSNLATILDTGEANEQEQAVSLLLILCKYSEMCSQMVLQEGVIPSLVSISVN GTQRGRERAQKLLTLFRELRQRDQTHHITTEQHVEVVCPEEGGFSVAAAAVTESKPQC KSASRKKMGRAFSFLWKSKSFSVYQC" gene 18424348..18428724 /locus_tag="BRARA_H02028" /db_xref="Phytozome:Brara.H02028" mRNA join(18424348..18424791,18424852..18424956, 18425043..18425260,18425343..18425395,18425481..18425597, 18425689..18425780,18426127..18426213,18426498..18426712, 18426814..18426906,18426998..18427121,18427224..18427374, 18427661..18427730,18427920..18428080,18428197..18428372, 18428476..18428724) /locus_tag="BRARA_H02028" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02028" CDS join(18424499..18424791,18424852..18424956, 18425043..18425260,18425343..18425395,18425481..18425597, 18425689..18425780,18426127..18426213,18426498..18426712, 18426814..18426906,18426998..18427121,18427224..18427374, 18427661..18427730,18427920..18428080,18428197..18428372, 18428476..18428638) /locus_tag="BRARA_H02028" /codon_start=1 /product="hypothetical protein" /protein_id="RID51356.1" /db_xref="Phytozome:Brara.H02028" /translation="MANLPILQFEEKIVETVENNPVVVIIGETGSGKSTQLSQILRRH GYTKSGVIAVTQPRRVAAVSVARRVAQELDVPLGEDVGYAIRFEDRTSNKTRIKYLTD GVLLRESLSNPMLDDYSVIILDEAHERSLNTDILLGLMKRLVRIRSSNFKVLITSATL DGEKVSRFFSGCPVLNVPGKLYPVEILYSKERPGSYIESSLKVAIDIHVREPEGDILI FMTGQDDIEKLVSKLEEKVRSLAEGSCMDAIIYPLHGSLPPEMQVRVFSPPPPNCRRF IVATNIAETSLTVDGVVYVIDSGYVKQRQYNPSSGMYSLDVTQISKVQANQRAGRAGR TRPGKCYRLYPLAVYRDDLLDATIPEIQRTSLAGSVLYLKSLDLPDIDILKFDFLDAP SSESLEDALKQLYLIDAIDENGAITNIGRTMSELPLEPSLSRTLIEANESGCLSQALT VVAMLSAETTLLPGRSKPNEKKRKRDEESNLPDGSGFGDHIQLLQIFECWDSNNYDIR WCKENDLQVRGMVFVRDVRRQLCQIMQKISKDRLEVGARGRKSSSREEYRKLRKALCV GNANQVAERMLRHNGFRTLTFKPQLVQVHPASVLSTDQDGMLPNYVVYHELISTTRPF MRNVCSVEMSWVAPIKRKIEKLDVRKLSGGPDNSFKEPEEKKQNTELSTNTNTAETPT VSENVESRIEAARERFLARKGQK" gene complement(18428928..18433798) /locus_tag="BRARA_H02029" /db_xref="Phytozome:Brara.H02029" mRNA complement(join(18428928..18429535,18429633..18431940, 18432423..18432540,18432631..18432727,18432809..18433131, 18433355..18433798)) /locus_tag="BRARA_H02029" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02029" CDS complement(join(18429078..18429535,18429633..18431940, 18432423..18432540,18432631..18432727,18432809..18432992)) /locus_tag="BRARA_H02029" /codon_start=1 /product="hypothetical protein" /protein_id="RID51357.1" /db_xref="Phytozome:Brara.H02029" /translation="MPPSPALRCSPGKEHRRGHSIEYGTLFRDNDEEDLALFSELQEK ERDDFLLQSSDDLEDAFSTKLKHFSEFTIPIQGESSRLLSAEEDKNDYDWLLTPPDTP LFPSLDDEPQATSVGTRGRPQSQTSLSRSSTMEKRRRSSKGSPSPNRLSTSPRADTMQ QQIRGRPSSSRHPSPASGQRSVTPVRRISPSPGKPVSSRSSTPTSRRMSTGSTTTAAP PAGRGTSPVRSSRGNSASPKIKVWQSNIPGFSLDAPPNLRTSLGDRPASYVRGSSPAS TRSRQSVSPSASRSVSSSHSHEDLLHPIQSIPVGSSERAVSKRASLSPNSRSSRSSKL QSPGSAPRRPFESALRQMDHPKSHHSMFRPLASSLPSTGIYSGKSSSSSYHHLMLRHS SATVGSNSSSSQVIGFMPDTKGSDSVAQSEAYPDKHGEIMDVLNEGSRHESHESSQSD MDQGYAVECESSVNEEVNHIGNDFLEGADLETMEVCGRCGSHYRATETTRSEINICAD CREEHSFVETDSHGTTRALDENSKSQEKFDEKESIVEKTPAISVLESLPVAMVEIEQC DDSYQQEENHLQESSIFRALGEQDDEIESSTGCGLLSTENIQSELSEKHHDVKIGSLE GRRGAPLLIKRSVSMKSPITQASNSSGFTRSYDGFSYLRDKSMSLRSSTETTSASSSW DYGSSIRKGSHVRHQSGSTLDMETHRYDTNSKFLSSMSSTSGVPADSFEVCAAQMTCT HDETHQESHPELQDPKCNETNVMNGSIGLSTNVVGVLAEHDPVIIENGFSENRDEVDN TVMSKVEISESPAHVRNTSEVGASTVTDDCSLYDHSKLQEKDVNETPHHGSSTTTSSE IEPESCKIPESECNVNAVDDDCSEKSMAHASVDHHISLAPPVNEISDESTVLVECPGQ KEPKSLTLEEATDAILFCSSIVHDLVYQAASIAMDKARDVAATEEEVLRPTVTVLGKS DANRSSYTSGGGTKTKKQSSKGAKASRKQTETEENIEVHIENDENAGEAARMISNVGV PPSNKADNLKPPPKLENKCNCSIM" gene 18436806..18441756 /locus_tag="BRARA_H02030" /db_xref="Phytozome:Brara.H02030" mRNA join(18436806..18437359,18437962..18438016, 18438229..18440180,18440331..18440489,18440651..18440822, 18440921..18441210,18441296..18441756) /locus_tag="BRARA_H02030" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02030" CDS join(18437183..18437359,18437962..18438016, 18438229..18440180,18440331..18440489,18440651..18440822, 18440921..18441210,18441296..18441544) /locus_tag="BRARA_H02030" /codon_start=1 /product="hypothetical protein" /protein_id="RID51358.1" /db_xref="Phytozome:Brara.H02030" /translation="MENYLNENFGDVKPKNSSDEALQRWRKLCWIVKNPKRRFRFTAN LTKRSEAEAIRRSNQEKFRVAVLVSQAALQFINGLKLSSEYTVPEEVRKAGFEICPDE LGSIVEGHDVKKLKIHGGTEGLTEKLCTSITSGIGTSEDQLSIRKEIYGINQFTESPS RGFWLFVWEALQDTTLMILAACAFVSLIVGILMEGWPIGAHDGLGIVASILLVVFVTA TSDYRQSLQFKDLDAEKKKIVVQVTRDKMRQKISIFDLLPGDIVHLGIGDQVPADGLF LSGFSVLIDESSLTGESEPICVNVEHPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMA TLSEGGDDETPLQVKLNGVATIIGKIGLFFAVITFAVLVQGLATRKLQDGSHWAWTGE ELMSVLEYFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRNLAACETMGSA TTICSDKTGTLTTNHMTVVKACICGKARDVNCSDSARYLASSIPESAVKILLQSIFTN TGGEIVVGKGNKTEILGSPTETALLEFGLALGGDFQKERQASNVVKVEPFNSTKKRMG VVIELSGEHFRAHCKGASEIVLAACDKYINKDGDVVPLDEASTNHLNNIIEEFASEAL RTLCLAYLEIGDEFSLEDPIPSGGYTCIGIVGIKDPVRPGVKESVAICRAAGITVRMV TGDNITTAKAIARECGILTDDGIAIEGPEFREKSEEELLKLIPNLQVMARSSPMDKHT LVRNLRTMFQEVVAVTGDGTNDAPALHEADIGLAMGISGTEVAKESADVIILDDNFST IVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFLSACLTGNAPLTAVQLLWVNMIMD TLGALALATEPPQDDLMKRTPVGRKGNFISNVMWRNILGQSLYQLVIIWCLQTKGKTM FGIDSDLTLNTLIFNTFVFCQVFNEISSREMEKIDVFTGILKNYVFVGVLTCTVVFQV IIIELLGTFADTTPLNGNQWFVSIVLGFLGMPVAAALKMIPVGAH" gene complement(<18442253..18444696) /locus_tag="BRARA_H02031" /db_xref="Phytozome:Brara.H02031" mRNA complement(join(<18442253..18442360,18442454..18442585, 18442674..18442751,18442830..18443133,18443220..18443329, 18443454..18443564,18443650..18443765,18443854..18443936, 18444027..18444107,18444218..18444383,18444605..18444696)) /locus_tag="BRARA_H02031" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02031" CDS complement(join(18442253..18442360,18442454..18442585, 18442674..18442751,18442830..18443133,18443220..18443329, 18443454..18443564,18443650..18443765,18443854..18443936, 18444027..18444107,18444218..18444383,18444605..18444614)) /locus_tag="BRARA_H02031" /codon_start=1 /product="hypothetical protein" /protein_id="RID51359.1" /db_xref="Phytozome:Brara.H02031" /translation="MSKKAQPKNAPMDLFDSDDDTCSLSSFYTMRSEIPRMDEVHVQK DVMLDQSLDALYEKRSSTREQALASIVDAFKSDLQHEFVEKNFATLLDRCLHCIKKGS TKESLLASHVIGLLALTAGLGDQAQEILEESVTPLSQALKSSREALKITSILECLAVI TFVGGANAEQTERSMQIIWQMIDPKLVSNVVATKPSPAVITTVVSSWTCLLTTVDRWT LGPKILQGTVTYLSTLLEKDDRSVRIAAGEALALMFELGILEKFDAEVKGSDNGSVKE EREALINMHRLISKVTDQVRDLAVEAGGKGCAKKDLNTQRSLFKDLVEFLEDGVAPET SIKIGGSSIETSTWCQMIQLNFLKHFLGGGFIKHMQENEFLHDVFSFTPKKIGGHSTM TNEEKRLFQSPNSAVSKARTKLMKKERMISLTRKFYSISS" gene complement(18446407..18450868) /locus_tag="BRARA_H02032" /db_xref="Phytozome:Brara.H02032" mRNA complement(join(18446407..18447789,18447899..18448311, 18448586..18448683,18448994..18449059,18449161..18449274, 18449382..18449476,18449919..18449980,18450127..18450286, 18450365..18450868)) /locus_tag="BRARA_H02032" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02032" CDS complement(join(18446600..18447789,18447899..18448311, 18448586..18448683,18448994..18449059,18449161..18449274, 18449382..18449476,18449919..18449980,18450127..18450286, 18450365..18450734)) /locus_tag="BRARA_H02032" /codon_start=1 /product="hypothetical protein" /protein_id="RID51360.1" /db_xref="Phytozome:Brara.H02032" /translation="MSHRRSNQQDENARYIPKGQQKFVPKVSLSSSLRQSDSSSRAPA PGGSSRVRIGDQGQLVSSKTPAQGGGSFVNYLPQDEAVAAGLGPEDGGLDPVESQGVV DLLNRELARLLKLSPRLFWREVASDASLHDFLDSFLQFRGRWYDFPFHGVKGVVAGVI VGEIELCRRVFMVLYRISSHRDPGARAADSLSQKDHEVLLQEKKLLDLPKLLDICAIY GHENAELTKSLIENAVKSQVGVPDNLKTMLSHFLGILNTMHRRCTSSLETLFTSANNE DHRSKQLHSDLLEVMDFINDGVVSLDALTSAYSPAALILACPVETSYGSDELLSCLVR LHDSLLPSLHRGCQVLFKDGDHDSLSDISTSLSMLSTRIGSLCWKILDTCYLSKDLSD HESSIPAVTKMFPSRVEDPMVRADILIQTFREISGLSDQSLESKNRLLHKIEKSYRII ERLRSLQKAGWISMEDEQLQYLSMIMLHSGGTVSVKESPLLLTDGRNTSELMDENAVV MQSKISQIKDIFPEYGNGFLAACLEAYNQNPEEVIQRILEGTLHEDLQRLDTSSEIMP QPKPAPALGSKDKGKGKLIESDSSSSGIYTEQPMTRPSVPASSASSTAVGRFVRKPKD DTPSYKILDARKESDRERNAALLAQYEYDDEYDDSFDDLGFSVGESATGESESFGGNR AGQADSEPSAASKWGSRKNPQFYVKDGKNYSYKVAGSVAVANANEASLVNEAQEDMIL GLGRGGNIPLGAVRKLTEYQAQRDDKGQSNANVNASDGRENVRFGRGRGRGRGMAREQ TQEKSNDNSNNSEATTEAENGGGRGRGRGRRGGGGGRNNHNHKDRAMKKHIASVSGF" gene complement(18453718..18455209) /locus_tag="BRARA_H02033" /db_xref="Phytozome:Brara.H02033" mRNA complement(join(18453718..18454091,18454192..18454323, 18454431..18454625,18454723..18455209)) /locus_tag="BRARA_H02033" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02033" CDS complement(join(18453996..18454091,18454192..18454323, 18454431..18454625,18454723..18455070)) /locus_tag="BRARA_H02033" /codon_start=1 /product="hypothetical protein" /protein_id="RID51361.1" /db_xref="Phytozome:Brara.H02033" /translation="MDVFVDGELESLLGMFNFDQCSSSKEEKPQDEMLSLSSLYNGHL HHHHQNNVLSSDQHAFLIPDVFPFGVMPGGNLPTMLDSWDQNHFQETATLKRKLLNVE NPHNTNSNCDVTRQELVKAKKKQRVSQESNTADESNTNWRDGQSQSNSSDDEKASVTS VKGKTRATKGTATDPQSLYARKRREKINERLKTLQNLVPNGTKVDISTMLEEAVHYVK FLQLQIKLLSSDELWMYAPLAYNGLDMGFHHNLLSRLM" gene 18461611..18462635 /locus_tag="BRARA_H02034" /db_xref="Phytozome:Brara.H02034" mRNA 18461611..18462635 /locus_tag="BRARA_H02034" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02034" CDS 18461689..18462390 /locus_tag="BRARA_H02034" /codon_start=1 /product="hypothetical protein" /protein_id="RID51362.1" /db_xref="Phytozome:Brara.H02034" /translation="MALEALSSPRLASPVPPLFEDSSRFHGVEHWTKGKRSKRSRSDF NQQNLTEEEYLAFCLLLLARDGNRSNRQPLPPVTAAEKSSSSSYKCSVCDKSFSSYQA LGGHKASHRKNSSQTQSGGGDDQSTSATTTSAVTTGSGKSHVCTICHKSFPSGQALGG HKRCHYEGNNNNTSSVSNSEGAGSTSHVSISHRGFDLNIPPIPEFSLVNGDDEVMSPM PAKKPRFDFSEKLQH" gene complement(<18463787..>18464194) /locus_tag="BRARA_H02035" /db_xref="Phytozome:Brara.H02035" mRNA complement(<18463787..>18464194) /locus_tag="BRARA_H02035" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02035" CDS complement(18463787..18464194) /locus_tag="BRARA_H02035" /codon_start=1 /product="hypothetical protein" /protein_id="RID51363.1" /db_xref="Phytozome:Brara.H02035" /translation="MVELYCICGKWIQNDRAQWEFVVDTTRLGSLSEIDQNVPFNVLV TTVTEDLDVPDKDIALSYGIPLDARCTKPMIQNTPPVDIRNDRQLRAYMNKIKEEGDR RRNPPCIPLCVALFDKPKPRFSCSLGRLFSKEP" gene 18464983..18466475 /locus_tag="BRARA_H02036" /db_xref="Phytozome:Brara.H02036" mRNA join(18464983..18465155,18465323..18465431, 18465615..18466269,18466355..18466475) /locus_tag="BRARA_H02036" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02036" CDS join(18465079..18465155,18465323..18465431, 18465615..18466269,18466355..18466380) /locus_tag="BRARA_H02036" /codon_start=1 /product="hypothetical protein" /protein_id="RID51364.1" /db_xref="Phytozome:Brara.H02036" /translation="MSTSLDRWEKDPFFAAAEEVQESADRMESAYRSWIKRGSSNVWD SDQLHRGLHAALGTTKWQLDEFQRAVKSSYDNRVSDETRDRHREFTFVMETQVAKIEK SLKEADDGKGTPRWVRLDEDDRNELALFLTGPSESEKKQGHRRVASAAPEIGAWNIAV SEDGLLQKSSGEPPVRPPRKVPSVSGFLNFMEPGSKNCVRKWKALDRQGDSDAVLLLP IQANQVRSPNKSCMECEEDCYEKQLHGWYGAIQRQLQRSQYRMRYSKSVQATIWILLL LFLIVVVATHAM" gene complement(18466481..18467591) /locus_tag="BRARA_H02037" /db_xref="Phytozome:Brara.H02037" mRNA complement(join(18466481..18466836,18466917..18466949, 18467173..18467217,18467321..18467363,18467449..18467591)) /locus_tag="BRARA_H02037" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02037" mRNA complement(join(18466481..18466836,18466917..18466949, 18467173..18467217,18467321..18467366,18467449..18467591)) /locus_tag="BRARA_H02037" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02037" CDS complement(join(18466694..18466836,18466917..18466949, 18467173..18467217,18467321..18467360)) /locus_tag="BRARA_H02037" /codon_start=1 /product="hypothetical protein" /protein_id="RID51365.1" /db_xref="Phytozome:Brara.H02037" /translation="MVLSDFTGVGVGFGFGVGCGFGVGWGFGGMPLNVLGVGVGGGCG VGLGLGWGFGTAFGSHYRSSRLTFQGVELEKDGKVENMSNNS" CDS complement(join(18466694..18466836,18466917..18466949, 18467173..18467217,18467321..18467360)) /locus_tag="BRARA_H02037" /codon_start=1 /product="hypothetical protein" /protein_id="RID51366.1" /db_xref="Phytozome:Brara.H02037" /translation="MVLSDFTGVGVGFGFGVGCGFGVGWGFGGMPLNVLGVGVGGGCG VGLGLGWGFGTAFGSHYRSSRLTFQGVELEKDGKVENMSNNS" gene complement(18475378..18477235) /locus_tag="BRARA_H02038" /db_xref="Phytozome:Brara.H02038" mRNA complement(join(18475378..18476208,18476273..18476623, 18476721..18477235)) /locus_tag="BRARA_H02038" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02038" CDS complement(join(18475642..18476208,18476273..18476623, 18476721..18477122)) /locus_tag="BRARA_H02038" /codon_start=1 /product="hypothetical protein" /protein_id="RID51367.1" /db_xref="Phytozome:Brara.H02038" /translation="MDKKHGLFSKSHSRRSRSKSPVRAVSPIIIRRRKGRYVSQPDRH VSEMLPPVIEGPDPDVEDSGSSGDYSRLERRWYNWMKCQLPVAPPSVSSSSGFKRTDL RLLLGVLGAPLGPVHVSALDLLPHLSIKNTPMETSSAQYILQQYTAASGGYKLHSSVQ NGYVMGRIRTVASEFETGSKGSKSKSNSSKAVESGGFVLWHMSPDMWYMELVLGGSKV LAGCDGKLVWRHTPWLGPHAAKGPVRPLRRALQGLDPRTTANMFASARCIGEKKIDGE DCFILKLCADPATLKARSEGASETIRHTLFGYFSQKTGLLVHLEDSQLTRIQNIGGEA VYWETTINSYLEDYKPVEGIVIAHSGRSVATLLRFGDMSSGHNTKTTMQEAWVIDEIA FNVPGLSMDCFIPPSELRFDSHVEELSQGPKIKTLQQGSVATRHNYG" gene complement(18485455..18490124) /locus_tag="BRARA_H02039" /db_xref="Phytozome:Brara.H02039" mRNA complement(join(18485455..18485972,18486761..18486826, 18487178..18487243,18487404..18487532,18488584..18489080, 18489180..18489265,18489636..18490124)) /locus_tag="BRARA_H02039" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02039" mRNA complement(join(18485455..18485972,18486080..18486139, 18486761..18486826,18487178..18487243,18487404..18487532, 18488584..18489080,18489180..18489265,18489636..18490124)) /locus_tag="BRARA_H02039" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02039" CDS complement(join(18485799..18485972,18486761..18486826, 18487178..18487243,18487404..18487532,18488584..18489080, 18489180..18489265,18489636..18489781)) /locus_tag="BRARA_H02039" /codon_start=1 /product="hypothetical protein" /protein_id="RID51369.1" /db_xref="Phytozome:Brara.H02039" /translation="MDSANLHQLQDQLQLVGSSSSSSSLDNNSHPSCYEASSVHQWSP GGISLNCVSLSHNFNNEMLNTRDENNSNNSTSEWLHKIKEELSSATTSDHQQGMPRFT DMLNSPVITNYLKINEHKDYTEKLLLNTISAGFPINGDYSNSLPSSSSSSSPSSQSHR GSFSQIYPSVNISSLSESQRISNTPRPFDMNMQILDGRLFEGNVLVPPLNSQEIRGNF TPFGLPFHHHLQQTLHHPSSSPSHQMEIYSNEPQASEGKRHNLMAPKAGETASKKPRV ESRSSCPPFKVRKEKLGDRIAALQQLVSPFGKTDTASVLMEAIGYIKFLQSQIEGSIP QEGGEEETRDLRSRGLCLVPLSCMTYVTGDGGDGGGGVGSGFWPTPPGFGGGT" CDS complement(join(18485799..18485972,18486080..18486139, 18486761..18486826,18487178..18487243,18487404..18487532, 18488584..18489080,18489180..18489265,18489636..18489781)) /locus_tag="BRARA_H02039" /codon_start=1 /product="hypothetical protein" /protein_id="RID51368.1" /db_xref="Phytozome:Brara.H02039" /translation="MDSANLHQLQDQLQLVGSSSSSSSLDNNSHPSCYEASSVHQWSP GGISLNCVSLSHNFNNEMLNTRDENNSNNSTSEWLHKIKEELSSATTSDHQQGMPRFT DMLNSPVITNYLKINEHKDYTEKLLLNTISAGFPINGDYSNSLPSSSSSSSPSSQSHR GSFSQIYPSVNISSLSESQRISNTPRPFDMNMQILDGRLFEGNVLVPPLNSQEIRGNF TPFGLPFHHHLQQTLHHPSSSPSHQMEIYSNEPQASEGKRHNLMAPKAGETASKKPRV ESRSSCPPFKVRKEKLGDRIAALQQLVSPFGKTDTASVLMEAIGYIKFLQSQIETLSV PYMRASRNRPGKTSQLGSIPQEGGEEETRDLRSRGLCLVPLSCMTYVTGDGGDGGGGV GSGFWPTPPGFGGGT" gene complement(18497880..18499464) /locus_tag="BRARA_H02040" /db_xref="Phytozome:Brara.H02040" mRNA complement(join(18497880..18499043,18499223..18499464)) /locus_tag="BRARA_H02040" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02040" CDS complement(18498162..18499022) /locus_tag="BRARA_H02040" /codon_start=1 /product="hypothetical protein" /protein_id="RID51370.1" /db_xref="Phytozome:Brara.H02040" /translation="MAEHLASIYGTEKDRVNCPFYNKMGACRHGDRCSRIHNRPAISP TLLLANMYQRPDMITPGVDAQGQPLDPRKIQEHFEDFFEDLFEELGKFGEIESLNICD NLADHMIGNVYVQFKEEDQAAAALKALQGRSYLGRPIIGEFSPVTDFREATCRQYEEE NCSRGGYCNFMHVKLVSREMRRKLFGRRSYRRGSRSISPRGRREYGRRGDRDRDPPRR EFSHRERDGEFYRRGSGKRSERGGRDRDGDGSRRRERSPGGGREGSEERRARIEQWNR EREEKEEGGA" gene complement(18500457..18503277) /locus_tag="BRARA_H02041" /db_xref="Phytozome:Brara.H02041" mRNA complement(join(18500457..18500962,18501060..18501205, 18501282..18501457,18501533..18501667,18501770..18501851, 18502489..18502785,18503094..18503277)) /locus_tag="BRARA_H02041" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02041" CDS complement(join(18500775..18500962,18501060..18501205, 18501282..18501457,18501533..18501667,18501770..18501851, 18502489..18502724)) /locus_tag="BRARA_H02041" /codon_start=1 /product="hypothetical protein" /protein_id="RID51371.1" /db_xref="Phytozome:Brara.H02041" /translation="MGEEQHPRKRSRQHFEAEETRHISLIKSPQCETAKWYFSKEEIE RFSPSRKDGIDLAKESFLRSSYCTFLQRLGMKLHVSQVIIGCAMVMCHRFYMRQSHAK NNWQTIATSSLFLACKAEDEPCQLSSVVVASYEIIYEWDPSASIRIHQTEYYHEFKEF ILAGERLLLDTSAFQLLDVELPYKPLAAALNRVNAWPDLATASWNFVHDWLRTTLCLQ YKPHVIATATVHLASTFQNGKVGSRRDWWLDFGVTTKLLKEVIQEMCMLIEVDRRRTM PPPPPPRREVAWAIPAPLKPPVHMSRGYPFHSYPLQSYRQPGIW" gene complement(18504199..18506624) /locus_tag="BRARA_H02042" /db_xref="Phytozome:Brara.H02042" mRNA complement(join(18504199..18505293,18506043..18506624)) /locus_tag="BRARA_H02042" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02042" CDS complement(join(18504388..18505293,18506043..18506462)) /locus_tag="BRARA_H02042" /codon_start=1 /product="hypothetical protein" /protein_id="RID51372.1" /db_xref="Phytozome:Brara.H02042" /translation="MQELPDCLYEGNQPALITPSSPTPNHSLYLSNLDDHHFLRFSIK YLYLFQKSPSSQTLKDSLSRVLVDYYPLAGRIKVSDVSAKLEVDCNGEGAVFAEAFMD ITCQEFLEHSPKPNKSWRKLLFKVQAPSFLEIPPLVIQVTHLRCGGMILCTAINHCLC DGIGTSQFLHAWAHANNTNASLLVQPFHSRHMLDPRDPPRVTHSHPGFTRTTVEKTST NFNICKYLQSQPLAPTTLTFTPSLILRLKKTCAPSLKCTTFEALAAHTWCSWARSLDL PLTMQVKLLFSVNMRKKLSPELPQGYYGNGFVLACAESKVQDLVSGNIYHAVKLVQDA KARITDGYVRSTIDLLEDKTVKTDVSCSLVISQWAKLGLEELDFGGGKPMYTGSLTSD IYCLFLPVAGNLDAIKVQVSLPEDVVKRLEYYMVKFLDGKDKEEDHSLA" gene 18508735..18511078 /locus_tag="BRARA_H02043" /db_xref="Phytozome:Brara.H02043" mRNA join(18508735..18509698,18510263..18510512, 18510608..18511078) /locus_tag="BRARA_H02043" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02043" CDS join(18508953..18509698,18510263..18510512, 18510608..18510778) /locus_tag="BRARA_H02043" /codon_start=1 /product="hypothetical protein" /protein_id="RID51373.1" /db_xref="Phytozome:Brara.H02043" /translation="MASIRRTLSPVYHDRHYENGGAPFSPSSTSSSPSQKSSGKHSSS SDPKASRRGPWRRPFYQFLAFFLIGFLLGMTPYGQIDDVNSTTDRFNFEIKPPNVEES LKRREEVAVDGVSFSAESKNKKEEEEEDFAPRKLVIVVTPTYNRAMQAYYLNRVAQTL RLVEAPVLWIVVEGNAASFETSEILRKTGVMYRHLVCKRNMTSVKDRGVHQRNTALEH IELHKLDGVVYFADDDNIYSLELFQSLRQIRRFGTWPVAMLAPSKNKAILEGPVCNGS QVIGWHTNEKSKRLRRFHVDMSGFAFNSTILWDPKRWRRPFSHPTRQLDTVKEGFQET TFIEQVVADERDMEGVPPACSRILNWHLHLDALDVPYPQGWVVQKNLEALITVK" gene <18515599..>18517018 /locus_tag="BRARA_H02044" /db_xref="Phytozome:Brara.H02044" mRNA join(<18515599..18515895,18515948..>18517018) /locus_tag="BRARA_H02044" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02044" CDS join(<18515599..18515895,18515948..18517018) /locus_tag="BRARA_H02044" /codon_start=1 /product="hypothetical protein" /protein_id="RID51374.1" /db_xref="Phytozome:Brara.H02044" /translation="ACCELCGKQVSYRSITYYCHLCRSYFHKGCLTIIYPKIHEHLLT YLRRKLPVGCDACGFSSPDDIDMFGCLQCDFFVHRSCIFLPRVIKLTRHSHCLSHGRF SSGYGGAYACIDTTCDYVVHSTCATDNRVWDGIDVEGKLEEGSSSSKDASLEEMDDKT VHHFSHEHGLSRIHVDEECWQLCEACILPIDMGTFLRCKECSFALHEECARLPQEMDH PLHRHRLTLKVQMNINEGFFTCSVCKQYHCGFMYKCRYCGTFKMDAKCASFTEPFKHI THRCPLYLRLENHGYNTSSYLCCGCHKKYPTIVATCTTCEDFSFDFKCLNLPPVVRFK YDIHPLYLYFDTEHNKKQLLEKRQESYSWCDVCEEEIHENLLFYICFDCRISLHVKCI LGNYPYMKPGHNIKVEDLNIQIASNTGACRPMCHKCHSLCRDKLVFKEEDLCFCSLTC IRYYL" gene complement(18517146..18528388) /locus_tag="BRARA_H02045" /db_xref="Phytozome:Brara.H02045" mRNA complement(join(18517146..18517612,18517704..18517807, 18517897..18517980,18518072..18518116,18518565..18518588, 18520234..18520344,18520497..18520559,18520639..18520794, 18521081..18521218,18521308..18521355,18521955..18522197, 18522265..18522375,18522556..18522624,18522780..18523403, 18523481..18524026,18524123..18524251,18524936..18525110, 18526824..18526986,18527093..18527195,18527339..18527431, 18527757..18527916,18528027..18528388)) /locus_tag="BRARA_H02045" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02045" mRNA complement(join(18517146..18517617,18517704..18517807, 18517897..18517980,18518072..18518116,18518565..18518588, 18520234..18520344,18520497..18520559,18520639..18520794, 18521081..18521218,18521308..18521355,18521955..18522197, 18522265..18522375,18522556..18522624,18522780..18523403, 18523481..18524026,18524123..18524251,18524936..18525110, 18526824..18526986,18527093..18527195,18527339..18527431, 18527757..18527916,18528027..18528388)) /locus_tag="BRARA_H02045" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02045" mRNA complement(join(18517146..18517617,18517704..18517807, 18517897..18517980,18518072..18518116,18518565..18518602)) /locus_tag="BRARA_H02045" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02045" CDS complement(join(18517515..18517617,18517704..18517807, 18517897..18517980,18518072..18518116,18518565..18518588, 18520234..18520344,18520497..18520559,18520639..18520794, 18521081..18521218,18521308..18521355,18521955..18522197, 18522265..18522375,18522556..18522624,18522780..18523403, 18523481..18524026,18524123..18524251,18524936..18525110, 18526824..18526986,18527093..18527195,18527339..18527431, 18527757..18527916,18528027..18528232)) /locus_tag="BRARA_H02045" /codon_start=1 /product="hypothetical protein" /protein_id="RID51377.1" /db_xref="Phytozome:Brara.H02045" /translation="MAAATARTQALSLLAAANNHGDLAVKLSSLRQVKEILLSLDPSL SAEIFPYLTELHSSREILVRKSLLEIIEEVGLRMMDHSYALVTVLLVLARDEDPIVAK KAVSVGTAFYCSILEEMAMQFHHRGKVDRWVGELWTWMVKFKDVVFSTALEPGSVGVK VLALKFMETFILLFTPDASDPENFSNEGSRQMFNISWLAGGHPILNSVTLMSEANRTF GILQDLVQSAGRLPGALTVAVVSCLAVVARKRPVHYNTVLSVLLDFHPNLVTVKGCHA ASVQYSIRTALLGFLRCTSSPMIESRDKLVRALRAMNASDVADQAVRQVDKFIRNNER FARENWSGKSNQAISHPNSWDLSKKRKMSQGEDDTINGEAAPKRLRHNTNMHLTPQVK ISDSPHGPVSINGISPANHPSDSEPTPVEQMVSMIGALLAEGDRGAASLDILISQLHP DMLADIVITSMKHLPSSPPKLTTTLATPADIVDSVCSPTLLPQLPFDPTLPAGLSFAD VPSLNSAVADPRRDPRRDPRRIDPRRSNSSVAPTSLPVSEGKEPIPVQMDISSLPSNP LSVPAVTAGASSSVHPTTIEHSQNKVVGSSVIKIIDQPDCREDLLTAPSECVYPSKGI PVSPCRDDEGFRETKCRDDLASIPDFDQHSPLESGPDFDLQPPAASDATAEEESYREL ASVPSYVELTTEQSKTVGKLALERIIESNRHVCGFDCNKIRMPLIARLIAKIGAGNDV VAILREHISVDHREFKGHELVLHVLYHLHSMANLDTDETSSYSAVYENFLITVARLFL DALPASDKSFSRLFGEAPHLPDSAIKLLDELCSTRHDPTGREISDSERVTQGLGAVWS LILVRPNERKAFLAIALNCSVHSEEDIRAKAIRLVTNKLYHLTYTSEHVEKFATDMLL TAVNSEADLSQTGFIAEGIKTEAKSQITSTSDSPSSRTSDTHSQQDLQTSRDASVLSF AEAQRLISLFFALCKKKPSLLRLVFEVYGKAPKTVIQAFHRHIPILIRELGSSYKELL HIISDPPKGSENLLTLAIDAFPTLVDVVMEILSKLVSRQIWRLPKLWPGFLKCVSQTQ PHSFPVLLELPMPQLESIMKKFPDLRPSLTAYANQPAIRASLPNSALSVLGLENGQDS RSQMHPSDAASSIHGAALT" CDS complement(join(18517515..18517617,18517704..18517807, 18517897..18517980,18518072..18518104)) /locus_tag="BRARA_H02045" /codon_start=1 /product="hypothetical protein" /protein_id="RID51375.1" /db_xref="Phytozome:Brara.H02045" /translation="MEILSKLVSRQIWRLPKLWPGFLKCVSQTQPHSFPVLLELPMPQ LESIMKKFPDLRPSLTAYANQPAIRASLPNSALSVLGLENGQDSRSQMHPSDAASSIH GAALT" CDS complement(join(18517591..18517612,18517704..18517807, 18517897..18517980,18518072..18518116,18518565..18518588, 18520234..18520344,18520497..18520559,18520639..18520794, 18521081..18521218,18521308..18521355,18521955..18522197, 18522265..18522375,18522556..18522624,18522780..18523403, 18523481..18524026,18524123..18524251,18524936..18525110, 18526824..18526986,18527093..18527195,18527339..18527431, 18527757..18527916,18528027..18528232)) /locus_tag="BRARA_H02045" /codon_start=1 /product="hypothetical protein" /protein_id="RID51376.1" /db_xref="Phytozome:Brara.H02045" /translation="MAAATARTQALSLLAAANNHGDLAVKLSSLRQVKEILLSLDPSL SAEIFPYLTELHSSREILVRKSLLEIIEEVGLRMMDHSYALVTVLLVLARDEDPIVAK KAVSVGTAFYCSILEEMAMQFHHRGKVDRWVGELWTWMVKFKDVVFSTALEPGSVGVK VLALKFMETFILLFTPDASDPENFSNEGSRQMFNISWLAGGHPILNSVTLMSEANRTF GILQDLVQSAGRLPGALTVAVVSCLAVVARKRPVHYNTVLSVLLDFHPNLVTVKGCHA ASVQYSIRTALLGFLRCTSSPMIESRDKLVRALRAMNASDVADQAVRQVDKFIRNNER FARENWSGKSNQAISHPNSWDLSKKRKMSQGEDDTINGEAAPKRLRHNTNMHLTPQVK ISDSPHGPVSINGISPANHPSDSEPTPVEQMVSMIGALLAEGDRGAASLDILISQLHP DMLADIVITSMKHLPSSPPKLTTTLATPADIVDSVCSPTLLPQLPFDPTLPAGLSFAD VPSLNSAVADPRRDPRRDPRRIDPRRSNSSVAPTSLPVSEGKEPIPVQMDISSLPSNP LSVPAVTAGASSSVHPTTIEHSQNKVVGSSVIKIIDQPDCREDLLTAPSECVYPSKGI PVSPCRDDEGFRETKCRDDLASIPDFDQHSPLESGPDFDLQPPAASDATAEEESYREL ASVPSYVELTTEQSKTVGKLALERIIESNRHVCGFDCNKIRMPLIARLIAKIGAGNDV VAILREHISVDHREFKGHELVLHVLYHLHSMANLDTDETSSYSAVYENFLITVARLFL DALPASDKSFSRLFGEAPHLPDSAIKLLDELCSTRHDPTGREISDSERVTQGLGAVWS LILVRPNERKAFLAIALNCSVHSEEDIRAKAIRLVTNKLYHLTYTSEHVEKFATDMLL TAVNSEADLSQTGFIAEGIKTEAKSQITSTSDSPSSRTSDTHSQQDLQTSRDASVLSF AEAQRLISLFFALCKKKPSLLRLVFEVYGKAPKTVIQAFHRHIPILIRELGSSYKELL HIISDPPKGSENLLTLAIDAFPTLVDVVMEILSKLVSRQIWRLPKLWPGFLKCVSQTQ PHSFPVLLELPMPQLESIMKKFPDLRPSLTAYANQPAIRASLPNSFSSWA" gene 18529409..18530671 /locus_tag="BRARA_H02046" /db_xref="Phytozome:Brara.H02046" mRNA join(18529409..18529817,18529900..18529961, 18530333..18530671) /locus_tag="BRARA_H02046" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02046" CDS join(18529485..18529817,18529900..18529961, 18530333..18530462) /locus_tag="BRARA_H02046" /codon_start=1 /product="hypothetical protein" /protein_id="RID51378.1" /db_xref="Phytozome:Brara.H02046" /translation="MEGWDPSTKSTLTRIPLLTTKAGPRDGDAWKQRLKEEYKSLIAY TQMNKSNDNDWFRISASNPEGTRWTGKCWYVHNLLKYEFDLQFDIPVTYPATAPELEL PEIDGKTQKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEIPILVDSG MIKHKDDAASSAES" gene 18535217..18539124 /locus_tag="BRARA_H02047" /db_xref="Phytozome:Brara.H02047" mRNA join(18535217..18535659,18535734..18535850, 18535928..18535993,18536476..18536582,18536663..18536744, 18536897..18537577,18537765..18537891,18537990..18538156, 18538244..18538318,18538552..18538644,18538728..18539124) /locus_tag="BRARA_H02047" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02047" CDS join(18535354..18535659,18535734..18535850, 18535928..18535993,18536476..18536582,18536663..18536744, 18536897..18537577,18537765..18537891,18537990..18538156, 18538244..18538318,18538552..18538644,18538728..18538829) /locus_tag="BRARA_H02047" /codon_start=1 /product="hypothetical protein" /protein_id="RID51379.1" /db_xref="Phytozome:Brara.H02047" /translation="MASTNPCLIGQRISVPQFHLLFNSKPPNHELSTNKRSNFCVSIA SSTSNRNPGKSSLSCLRRNCAAVDGPETSSSEDKWDWDRWSRHFDEIEEIDGVVSLLK VQLEEAIEREDFGEAAKLKAAIGEATVSDAVAEIMCHLQTAIREERYHDASKLCRQTG SGLVGWWVCYPQDSEEPFGRIVRITPGVGRFIGRSYSPRQLVTAAAGTPLFEIFVVKD TDGEYVMQVVYLQHAKQKPSIPESSYVSSQQSSNASAESPSILDAGGSEVKVDKKKDK LLNAEEPTEEGIQNVIKFLKDKIPGLKLMKVMDVELPDEEIIGSDDDLVELVGEVIEE AGSADEEEDDDDSSEEIASMDRADNRKDLNPKVFIGGVLHSTEDSSIDDELVRVTADI MDNERDSFTLHVPGRRKSDVDTRKDRVSKEQVTALAAQGLSDLMPPEVAKAFWGSERP SLKVSRNVREIVKLAISQAQKGNRLSEYTRFNRIITPESDLDPFDGLYVGAFGPYGTE IVQLKRKYGNWNDAEESDSTDIEFFEYVEAVKLTGDPNVPAGQVTFRARIGKGSRMRD RGMYPEEMGVLASYMGEGRIADFGFKNPRWVGGQLLKLNGKGMGPYVTGGDLGFLYVG PEKSFMVVFNRLRLPE" gene 18540413..18544389 /locus_tag="BRARA_H02048" /db_xref="Phytozome:Brara.H02048" mRNA join(18540413..18540623,18540695..18540814, 18540883..18541156,18541246..18541309,18541407..18541520, 18541612..18541774,18542006..18542153,18542491..18543071, 18543139..18543320,18543465..18543725,18543813..18544389) /locus_tag="BRARA_H02048" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02048" CDS join(18540465..18540623,18540695..18540814, 18540883..18541156,18541246..18541309,18541407..18541520, 18541612..18541774,18542006..18542153,18542491..18543071, 18543139..18543320,18543465..18543725,18543813..18544125) /locus_tag="BRARA_H02048" /codon_start=1 /product="hypothetical protein" /protein_id="RID51380.1" /db_xref="Phytozome:Brara.H02048" /translation="MFEYRCNSINWKPSPLISLATSSDGSQVAAAREDGSLELWLVPP GSLSWHCQLVIHGDPKSRISHLVWLGARLFSSSIDGTISEWDLFDLKQKVVLDSIGVS IWQMAVAPLSTAEAEMMVKKEYSSEESDEEGESGVEDGSEFDELEEKPDRRLAVACDD GCVRMYYVSDSEKLTYYRSLPRVSGRALSVTWSLDAQRIYSGSSDGLIRCWDANLCQE VYRITVGLGGLGNGSELCIWSLLSLRCGVLVSGDSTGSVQFWDSQHGTLLQAHSNHKG DVNALAAAPSHNRVFSAGADGQVILYKLAGGAFKSQDIKPSSTKKWDYIGCVRAHTHD IRALTVAVPISSEGSLPDSDAKGKSRKQRRKEKPGGISYHKWAHLGVPMLISAGDDAK LFAYSVQEFTKFHPHDICPAPQRVPMQMVHNTVFNQTSLLLVQDSCSLDILGIHISSE SSGRVLTKPLVRVKSKGGRKIICSAISNAGSLFAYSDQIRPSLFELKKNKLGKNPWSP IRKRLPNLPSAHSMVFSCDCSRLIIAGHDRKIYTVGTDSMELLHTLTPRQEAQEGESP PREPPITKLYTSTDDHWLAAINCFGDVYVFNLETQRQHWFISRLDGASVAAAGFHPRD NNVLVISTSSNQVFALDVEARELGKWSLLQTLCLPKRYQEFPGEVLGLSFTPSPSSSS VIVYSSRAKCLIEFGKPTEQGEEMDLSERVEDRIASIDLKKLGNGTRKRRLEEYQKES KSNERDEIETSKHPVLYLKHLSKNAILVVEKPWIEVVKSLDSQPVHRHIYGT" gene <18545387..>18546475 /locus_tag="BRARA_H02049" /db_xref="Phytozome:Brara.H02049" mRNA <18545387..>18546475 /locus_tag="BRARA_H02049" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02049" CDS 18545387..18546475 /locus_tag="BRARA_H02049" /codon_start=1 /product="hypothetical protein" /protein_id="RID51381.1" /db_xref="Phytozome:Brara.H02049" /translation="MIKILSSHNSHHSHSTTTTTLKTAEILSKYRPIAPRPGTTQVND NDSSSSSSYMSHKISQSPYLRHLWPQLQARPTRTRKRGRGGMGPTSHLSLKRPKSLAT STKTPTQRVFGPIKTLAFQAFSHAGLPNLTTQVGYALENGGSPALVTLPLLQCSPLSS KCMEPEIKVKGLIDLNKSAETIQERDFLKQLQGPITTTTAAEASRVITPQPIRPVCSR INVACINPLSNPSQISKKSPQEVEEEVESDVLPAIISDSNNKVKLVNSAYKEMMGQPE CSWLDSMVKVKRICGEVVIQFCESKISEKNNGYSCWVKIEWGRDGKEELVHAFCDVMK RECDSKDYVFTWRFHITAKETCQPSYNA" gene complement(18547639..18550570) /locus_tag="BRARA_H02050" /db_xref="Phytozome:Brara.H02050" mRNA complement(join(18547639..18548158,18548235..18548379, 18548449..18549623,18549700..18549970,18550048..18550311, 18550448..18550570)) /locus_tag="BRARA_H02050" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02050" CDS complement(join(18547848..18548158,18548235..18548379, 18548449..18549623,18549700..18549970,18550048..18550254)) /locus_tag="BRARA_H02050" /codon_start=1 /product="hypothetical protein" /protein_id="RID51382.1" /db_xref="Phytozome:Brara.H02050" /translation="MLCACSGEQFRFDDQPGSPESLATRDFSASGLSSSTRTRGGADW DSKLEESQVDEAESTLKEALSLNYEEARALLGRLEYQRGNFDAALQVFKGIDIKVLTP RITKAIVDRTRPCNKPTRSSKALTLPPPSSMSMHSVSLLLEAILLKARSLEELGSSKE AAEECKLILDMVESALPSGMPRVGISGFDKLQEVFHKALELLPLLWTKAGDFHETVAS YRRALSRPWNLDPQRLAVTQKSLALVLLYGSVEASPKDSMEEAIVLLMLLVKKMVVGG IQWDAELVDHLAYALSMVGQFEVLASYLEQILPGVYTRGGRWYLLSLCYSAAGMGKTA INLLKLALGPSESRQIPQASWLLFGAKLCSEDPKHSRDGIYFSQKLLDLANNQSEHLL SQAHRFLGVCYGNAARSSKLDSERVLLQRKSLYSLNKAATMAKGDPEPDAVYNLSVEN AFQRNLQAALDGAVEYSSMVGGVSTRGWKHLAAVLSAEKRLKDAESILDFTMEEAGDM EKLDLLKLKAVLQMAQEQPKQALKTCSNFLALIRAQEKSEQSETLLKKYETEAWQDLA SVYGKLGSWSDAETCIEKARSISFYSPRGWNETGLCLEAKSLHEEALIPFFMSLSIDP DHVPSIVSIAEVMMKSGGDTLATAKSFLMNALRLDPRSHDAWMKLGHVAKMQGLSQQA AEFYQAAYELELSAPVQSFI" gene complement(18550980..18553083) /locus_tag="BRARA_H02051" /db_xref="Phytozome:Brara.H02051" mRNA complement(join(18550980..18551310,18551414..18551563, 18551957..18552049,18552173..18552283,18552496..18552606, 18552770..18553083)) /locus_tag="BRARA_H02051" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02051" mRNA complement(join(18550980..18551310,18551414..18551563, 18551957..18552049,18552173..18552283,18552496..18552609, 18552770..18553083)) /locus_tag="BRARA_H02051" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02051" CDS complement(join(18551192..18551310,18551414..18551563, 18551957..18552049,18552173..18552283,18552496..18552606, 18552770..18552911)) /locus_tag="BRARA_H02051" /codon_start=1 /product="hypothetical protein" /protein_id="RID51383.1" /db_xref="Phytozome:Brara.H02051" /translation="MQSIVSSHHLCLAPPSPVLRQRLLCHRIPSIRLPSHRSTTSLGL FTCAVSRESEMAAAAKEDGQDLRIPKIASSIRVIPDFPKPGIMFQDITTLLLDTEAFK DTIDIFVERYKGKGISVVAGVEARGFIFGPPIALAIGAKFVPMRKPKKLPGKVISEEY SLEYGTDKIEMHVGAVEPGERAIIIDDLIATGGTLAAAIRLLERVGVKIVECACVIEL PELKGREKLGEAPLFILVTSDAA" CDS complement(join(18551192..18551310,18551414..18551563, 18551957..18552049,18552173..18552283,18552496..18552609, 18552770..18552911)) /locus_tag="BRARA_H02051" /codon_start=1 /product="hypothetical protein" /protein_id="RID51384.1" /db_xref="Phytozome:Brara.H02051" /translation="MQSIVSSHHLCLAPPSPVLRQRLLCHRIPSIRLPSHRSTTSLGL FTCAAVSRESEMAAAAKEDGQDLRIPKIASSIRVIPDFPKPGIMFQDITTLLLDTEAF KDTIDIFVERYKGKGISVVAGVEARGFIFGPPIALAIGAKFVPMRKPKKLPGKVISEE YSLEYGTDKIEMHVGAVEPGERAIIIDDLIATGGTLAAAIRLLERVGVKIVECACVIE LPELKGREKLGEAPLFILVTSDAA" gene 18553843..18556182 /locus_tag="BRARA_H02052" /db_xref="Phytozome:Brara.H02052" mRNA join(18553843..18553956,18554276..18554664, 18555006..18555247,18555474..18556182) /locus_tag="BRARA_H02052" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02052" CDS join(18553866..18553956,18554276..18554664, 18555006..18555247,18555474..18555987) /locus_tag="BRARA_H02052" /codon_start=1 /product="hypothetical protein" /protein_id="RID51385.1" /db_xref="Phytozome:Brara.H02052" /translation="MIRSSLSVILLFLFLSASSAEHNARTERISGSAGDVLEDNPVGR LKVYVYDLPSKYNKKLLQKDPRCLTHMFAAEIFMHRFLLSSPVRTRNPDEADWFYTPI YPTCDLTPTGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFVVPHDFGACFHYQ EEKAIKRGILPLLQRATLVQTFGQRNHVCLDQGSITIPPFAPPQKMQAHLIPPDIPRS IFVYFRGLFYDVNNDPEGGYYARGARAAVWENFKNNPLFDISTDHPTTYYEDMQRSIF CLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEKDVPKLDT ILTSIPTEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHDGSIYLR EGEKVLNWTAGPIGDLKPW" gene 18559648..18560459 /locus_tag="BRARA_H02053" /db_xref="Phytozome:Brara.H02053" mRNA join(18559648..18559820,18560067..18560459) /locus_tag="BRARA_H02053" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02053" CDS join(18559686..18559820,18560067..18560165) /locus_tag="BRARA_H02053" /codon_start=1 /product="hypothetical protein" /protein_id="RID51386.1" /db_xref="Phytozome:Brara.H02053" /translation="MGAFWGTRVMEIVKKHDSGGLIWKRIKLTSTRKANAKTRLRRVW QNEAVLKACGTSDASVSPGVSSNTETCTSAVKN" gene complement(18560621..18562254) /locus_tag="BRARA_H02054" /db_xref="Phytozome:Brara.H02054" mRNA complement(join(18560621..18561202,18561293..18561512, 18561689..18562254)) /locus_tag="BRARA_H02054" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02054" mRNA complement(join(18560621..18561202,18561289..18561512, 18561689..18562254)) /locus_tag="BRARA_H02054" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02054" CDS complement(join(18560823..18561202,18561289..18561512, 18561689..18562170)) /locus_tag="BRARA_H02054" /codon_start=1 /product="hypothetical protein" /protein_id="RID51387.1" /db_xref="Phytozome:Brara.H02054" /translation="MSCNKRLIQNRGRQLKMDSSPSSLIPGLTDDAAELCLSRAPRSN FRTISQVCRRWRTFLRSEHFASLRELTGTVEEFMCVLMESECGRDVYWEVFDASGNKL GQIPPVPGPMKRGFGVAVLGGGKIVFVGGYTEVEGSSSINSTIVSASADVYEFDPASN RWRKLAGMNIPRYNFAFAVVNGLLYVIRGYSTDAYSLSNSEVYNPETNRWSLMDCPNR PVWRGFAFSFKSKLFAVGNGSRFIDIYDPKTETWEELDSEQSISVYSYTVVRNKVYFM DRNMPGRLGVFDPEENYWSSVGVPPRAGGFWFRLGEWNNKVLLFSRVCGHETLMYDLD KENGSKWRVCDQIKPSASHLTSVLINF" CDS complement(join(18561143..18561202,18561293..18561512, 18561689..18562170)) /locus_tag="BRARA_H02054" /codon_start=1 /product="hypothetical protein" /protein_id="RID51388.1" /db_xref="Phytozome:Brara.H02054" /translation="MSCNKRLIQNRGRQLKMDSSPSSLIPGLTDDAAELCLSRAPRSN FRTISQVCRRWRTFLRSEHFASLRELTGTVEEFMCVLMESECGRDVYWEVFDASGNKL GQIPPVPGPMKRGFGVAVLGGGKIVFVGGYTEVEGSSSINSTIVSASADVYEFDPASN RWRKLAGMNIPRYNFAFAVVNGLLYVIRGYSTDAYSLSNSEVYNPETNRWSLMDCPNR PVWRGFAFSFKSKLFAAMDRDSLTYMTLKRRHGKS" gene complement(18562470..>18564045) /locus_tag="BRARA_H02055" /db_xref="Phytozome:Brara.H02055" mRNA complement(join(18562470..18562645,18562734..18562801, 18562859..18562961,18563051..18563281,18563886..18563926, 18564009..>18564045)) /locus_tag="BRARA_H02055" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02055" CDS complement(join(18562598..18562645,18562734..18562801, 18562859..18562961,18563051..18563281,18563886..18563926, 18564009..>18564045)) /locus_tag="BRARA_H02055" /codon_start=1 /product="hypothetical protein" /protein_id="RID51389.1" /db_xref="Phytozome:Brara.H02055" /translation="VKYSQEPDNTTKSCKARGADLRVHFKNTRETAHAIRKLPLNKAK RYLEDVIAHKQAIPFTRFCRGVGRTAQAKNRHSNGQGRWPAKSAQFVLDLLKNAESNA EVKGLDVDALFISHIQVNQAAKQRRRTYRAHGRINPYMSNPCHIELILSEKEEPVKKE PETQLAAKSKKGTSS" gene 18564343..18565186 /locus_tag="BRARA_H02056" /db_xref="Phytozome:Brara.H02056" mRNA 18564343..18565186 /locus_tag="BRARA_H02056" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02056" CDS 18564393..18564941 /locus_tag="BRARA_H02056" /codon_start=1 /product="hypothetical protein" /protein_id="RID51390.1" /db_xref="Phytozome:Brara.H02056" /translation="MSYGQDTGVERRKGSVKWFDTQKGFGFITPDDGGDDLFVHQSSI RSEGFRSLAAEEPVEFQVENDNNGRPKAIEVSGPDGAPVQGSSGGGSSGGGRGFGGGG RGGGRGFGGGRGGGGRGGSDCYKCGEPGHIARDCSEGGGGYGGGGGRGGYGGGGGGGG GGSCYSCGESGHFARDCTSGGR" gene 18572192..18573707 /locus_tag="BRARA_H02057" /db_xref="Phytozome:Brara.H02057" mRNA join(18572192..18572368,18572600..18572678, 18572753..18572922,18573020..18573067,18573173..18573268, 18573389..18573707) /locus_tag="BRARA_H02057" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02057" CDS join(18572270..18572368,18572600..18572678, 18572753..18572922,18573020..18573067,18573173..18573268, 18573389..18573535) /locus_tag="BRARA_H02057" /codon_start=1 /product="hypothetical protein" /protein_id="RID51391.1" /db_xref="Phytozome:Brara.H02057" /translation="MEFTTADVEKVFLFDHLRKGCEAQYAKDPLDSDNLLKWAGSLIE LAQFQTVTDAKVMLNDAIFKLEEVLTLSPGKHQALWCLGNAYTTQAFLFPDADVAKGH FDKAVDYLQRAENEDPGNEIYRKALDVAIRGPEILMELNQNGMMQQALGGGGGGPSAS SNATLFQGGENIKTKKNNDFTYNVCGWIILACGIVAWVGMAKALGPPPPPAR" gene 18577766..18579214 /locus_tag="BRARA_H02058" /db_xref="Phytozome:Brara.H02058" mRNA join(18577766..18577950,18578057..18578179, 18578867..18579214) /locus_tag="BRARA_H02058" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02058" CDS join(18577833..18577950,18578057..18578179, 18578867..18579030) /locus_tag="BRARA_H02058" /codon_start=1 /product="hypothetical protein" /protein_id="RID51392.1" /db_xref="Phytozome:Brara.H02058" /translation="MKDRIERFVVLPFSLGCSTQSSVAVAASHQHKKPNQLTERKEES GLFLKEETKIENNGVNISDGIYKLVRSLKSFSHFFIRYEEEREAEMEIGLPTDVKHLS HIGVDGTMTTFDFCSTSSSSSFPFSRFHLTAV" gene 18579888..18582798 /locus_tag="BRARA_H02059" /db_xref="Phytozome:Brara.H02059" mRNA join(18579888..18580979,18581062..18581700, 18581829..18581950,18582053..18582285,18582377..18582798) /locus_tag="BRARA_H02059" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02059" mRNA join(18579888..18580253,18581062..18581700, 18581829..18581950,18582053..18582285,18582377..18582798) /locus_tag="BRARA_H02059" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02059" CDS join(18581108..18581700,18581829..18581950, 18582053..18582285,18582377..18582382) /locus_tag="BRARA_H02059" /codon_start=1 /product="hypothetical protein" /protein_id="RID51393.1" /db_xref="Phytozome:Brara.H02059" /translation="MDCNMASPTWDWDHLIMSNPSKTENDENQQPSAEWEIEKGEGIE SMLFPCFDGLEKVSSGSPSGFWHSQSTSTNSSSPKVKQTNLASESSPGDSCSNVDSVQ VKASTAAESDLCLELGKQTYSEEFWCRDNNDLSAVSMNARKKQSVQVPRCQIDGCELD LSSAKDYHRKHRVCDNHSKCPKVTVGGLERRFCQQCSRLHAVSEFDEKKRSCRKRLTH HNARRRKLPGMFPLNPERVCDRSQHTNMLWNELSLNTKSEETFAWDTTYDTKPTQIES GFTLSFQRGHGRPDEQVVAGSSRSFSPRIKSLAGSNFPAKV" CDS join(18581108..18581700,18581829..18581950, 18582053..18582285,18582377..18582382) /locus_tag="BRARA_H02059" /codon_start=1 /product="hypothetical protein" /protein_id="RID51394.1" /db_xref="Phytozome:Brara.H02059" /translation="MDCNMASPTWDWDHLIMSNPSKTENDENQQPSAEWEIEKGEGIE SMLFPCFDGLEKVSSGSPSGFWHSQSTSTNSSSPKVKQTNLASESSPGDSCSNVDSVQ VKASTAAESDLCLELGKQTYSEEFWCRDNNDLSAVSMNARKKQSVQVPRCQIDGCELD LSSAKDYHRKHRVCDNHSKCPKVTVGGLERRFCQQCSRLHAVSEFDEKKRSCRKRLTH HNARRRKLPGMFPLNPERVCDRSQHTNMLWNELSLNTKSEETFAWDTTYDTKPTQIES GFTLSFQRGHGRPDEQVVAGSSRSFSPRIKSLAGSNFPAKV" gene 18588816..18589610 /locus_tag="BRARA_H02060" /db_xref="Phytozome:Brara.H02060" mRNA join(18588816..18588850,18589190..18589610) /locus_tag="BRARA_H02060" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02060" mRNA join(18588816..18588850,18589190..18589277, 18589367..18589610) /locus_tag="BRARA_H02060" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02060" CDS join(18588848..18588850,18589190..18589277, 18589367..18589413) /locus_tag="BRARA_H02060" /codon_start=1 /product="hypothetical protein" /protein_id="RID51396.1" /db_xref="Phytozome:Brara.H02060" /translation="MKTYKADSQTGKWRSLTTFIKRGFVPETTTSLFQIMRTAASGDT A" CDS join(18588848..18588850,18589190..18589306) /locus_tag="BRARA_H02060" /codon_start=1 /product="hypothetical protein" /protein_id="RID51395.1" /db_xref="Phytozome:Brara.H02060" /translation="MKTYKADSQTGKWRSLTTFIKRGFVPETTTCTFMCISLR" gene complement(18596190..18597337) /locus_tag="BRARA_H02061" /db_xref="Phytozome:Brara.H02061" mRNA complement(join(18596190..18596459,18596634..18596790, 18597150..18597337)) /locus_tag="BRARA_H02061" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02061" CDS complement(join(18596413..18596459,18596634..18596790, 18597150..18597152)) /locus_tag="BRARA_H02061" /codon_start=1 /product="hypothetical protein" /protein_id="RID51397.1" /db_xref="Phytozome:Brara.H02061" /translation="MTTSKRLADRKIEKFDKNITKRGFVPETTTKKGKDYPVGPILLG FFVFVVIGSSLFQIIRTATSGGMA" gene 18597637..18598930 /locus_tag="BRARA_H02062" /db_xref="Phytozome:Brara.H02062" mRNA join(18597637..18597982,18598604..18598930) /locus_tag="BRARA_H02062" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02062" CDS join(18597686..18597982,18598604..18598675) /locus_tag="BRARA_H02062" /codon_start=1 /product="hypothetical protein" /protein_id="RID51398.1" /db_xref="Phytozome:Brara.H02062" /translation="MDPDAVAKAFVEHYYTTFDANRAGLVSLYQEGSMLTFEGQKIQG SQNIVAKLTSLPFQQCKHNITTVDCQPSGPAGGMLVFVSGNLQLAGEQHALKFSQMFH LVSNQGNYYVFNDIFRLNYA" gene complement(18600043..18601250) /locus_tag="BRARA_H02063" /db_xref="Phytozome:Brara.H02063" mRNA complement(join(18600043..18600425,18600730..18601250)) /locus_tag="BRARA_H02063" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02063" CDS complement(join(18600409..18600425,18600730..18601111)) /locus_tag="BRARA_H02063" /codon_start=1 /product="hypothetical protein" /protein_id="RID51399.1" /db_xref="Phytozome:Brara.H02063" /translation="MRSQRDQDSRAFYDLSALVLSLLRSPPMPISLPDHFPDSPMMRT RSPSMAHISPSGFASLLLGISVALMLCGSVTFFIGFLLLPWVLALIVVLYVAGIVSAI SMAGRSILSYVLTPPPSFSSRKDISEWKLL" gene complement(18602398..18604866) /locus_tag="BRARA_H02064" /db_xref="Phytozome:Brara.H02064" mRNA complement(join(18602398..18602760,18602857..18602990, 18603094..18603135,18603227..18603268,18603352..18603451, 18603571..18603638,18603743..18603824,18604574..18604866)) /locus_tag="BRARA_H02064" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02064" mRNA complement(join(18602398..18602760,18602857..18602990, 18603094..18603135,18603227..18603268,18603352..18603451, 18603571..18603638,18603740..18603824,18604574..18604866)) /locus_tag="BRARA_H02064" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02064" CDS complement(join(18602679..18602760,18602857..18602990, 18603094..18603135,18603227..18603268,18603352..18603451, 18603571..18603638,18603743..18603824,18604574..18604758)) /locus_tag="BRARA_H02064" /codon_start=1 /product="hypothetical protein" /protein_id="RID51401.1" /db_xref="Phytozome:Brara.H02064" /translation="MGRGRVELKRIENTINRQVTFAKRRGGVLKKAYELSVLCDAEVA LIIFSNRGKLFEFCSNSSMLKTLERYQKCNYGPPEPNVPSREALAELSSQQEYLKLKQ RYDALQRTQRNLLGEDLGPLSTKELESLERQLDSSLKQIRGLRTQFMLDQLNDLQSKE RMLSDTNKTLRLRLADGYQMPFQLNPNQEEVHVDYGHHQPQQQAFFQPLEYEPIFQMG YHQGQQQDHGMGAGPSANNYMLGWLP" CDS complement(join(18602679..18602760,18602857..18602990, 18603094..18603135,18603227..18603268,18603352..18603451, 18603571..18603638,18603740..18603824,18604574..18604758)) /locus_tag="BRARA_H02064" /codon_start=1 /product="hypothetical protein" /protein_id="RID51400.1" /db_xref="Phytozome:Brara.H02064" /translation="MGRGRVELKRIENTINRQVTFAKRRGGVLKKAYELSVLCDAEVA LIIFSNRGKLFEFCSNSSMLKTLERYQKCNYGPPEPNVPSREALAVELSSQQEYLKLK QRYDALQRTQRNLLGEDLGPLSTKELESLERQLDSSLKQIRGLRTQFMLDQLNDLQSK ERMLSDTNKTLRLRLADGYQMPFQLNPNQEEVHVDYGHHQPQQQAFFQPLEYEPIFQM GYHQGQQQDHGMGAGPSANNYMLGWLP" gene complement(18615189..18618499) /locus_tag="BRARA_H02065" /db_xref="Phytozome:Brara.H02065" mRNA complement(join(18615189..18615726,18615818..18615886, 18615972..18616034,18616116..18616190,18616285..18616317, 18616406..18616480,18616557..18616646,18616824..18616925, 18617125..18617189,18617517..18617592,18617688..18617765, 18617911..18617984,18618221..18618499)) /locus_tag="BRARA_H02065" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02065" CDS complement(join(18615532..18615726,18615818..18615886, 18615972..18616034,18616116..18616190,18616285..18616317, 18616406..18616480,18616557..18616646,18616824..18616925, 18617125..18617189,18617517..18617592,18617688..18617765, 18617911..18617984,18618221..18618263)) /locus_tag="BRARA_H02065" /codon_start=1 /product="hypothetical protein" /protein_id="RID51402.1" /db_xref="Phytozome:Brara.H02065" /translation="MALPLGKLTILIGAGLVGSVLAKEGSLPDVSHFVSGAFKIVLRQ LKQDEPAKSGSRPRNDTLTAQVNSLRHELQLLASNRPITIISTGGSGGKKYGWIIIIG VIGYGYVWWKGWKLPDFMFATRRSLSDACNNVGSQIDGFYESLSGTKRELGSEIDRMD RSLDESTIIIKETGREVNELRDGTANMKDDVRSVFEAVETLASKVYRIEGNQDLTLKG VGALHAQCREHKRLQESNKALPSTSSVPALEPAPVTPSLRSLSLPPASPRESQLPSTP NGAQQSNGPLQHTQSMSGLKDINESSNGTSSGEAIGNTSSGLFSMFSMPRIGRTRSAV NAVPANLTGAQ" gene complement(<18619488..>18620120) /locus_tag="BRARA_H02066" /db_xref="Phytozome:Brara.H02066" mRNA complement(<18619488..>18620120) /locus_tag="BRARA_H02066" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02066" CDS complement(18619488..18620120) /locus_tag="BRARA_H02066" /codon_start=1 /product="hypothetical protein" /protein_id="RID51403.1" /db_xref="Phytozome:Brara.H02066" /translation="MKIKKKGQVHPSPPPLPSFPLDQCLSVLKLLPAVILLLVSSLSP EDKQVLAYLITRSLKTTTTTTPAVSSGRRRSSSGSKNKKARTHRKAPVFDCECFECYT SYWFRWDSSPNRELIHQIIEAYEDHLAKSEKSSGRGGKKKEKSRRRRVVERPEEPVEP VDEVKPEESPEMLRFPVAAGTRHRGLARKVLPDVLGLFNWRFWRLWNPNA" gene 18621974..18624785 /locus_tag="BRARA_H02067" /db_xref="Phytozome:Brara.H02067" mRNA join(18621974..18622268,18622393..18622601, 18622692..18622786,18622894..18623008,18623207..18623412, 18623497..18624000,18624082..18624285,18624371..18624785) /locus_tag="BRARA_H02067" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02067" CDS join(18622079..18622268,18622393..18622601, 18622692..18622786,18622894..18623008,18623207..18623412, 18623497..18624000,18624082..18624285,18624371..18624608) /locus_tag="BRARA_H02067" /codon_start=1 /product="hypothetical protein" /protein_id="RID51404.1" /db_xref="Phytozome:Brara.H02067" /translation="MSSSLSRPSYSSSSRTSSPFFSNHSSLINGDPRRSLSFLSASPQ ELCLRSKRKSVQSSVVLQDGAVVVANSSPIEKLKDGLLSIRSQEVVAELGGGEHESTV SITVVGASGDLAKKKIFPALFALYYEGCLPEHFTIYGYARSKMTDGELRHMVSKTLTC RIDKRANCSEKMEEFLKRCFYHTGQYDSQEHFVALNKKLKEHEGGRLSNRLFYLSIPP NIFVDAVKCASSSASSLSGWTRVIVEKPFGRDSKTSAALTNSLKNYLEEDQIFRIDHY LGKELVENLSVLRFSNLIFEPLWSRRYIQNVQFIFSEDFGTEGRGGYFDHYGIIRDIM QNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPIQLEDVVIGQYKGTTTKGGVT YPGYTDDETVPGDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHTRSAEIRVQFRHV PGNLYNRNSGGTNLDRTTNELVIRVQPDEGIYLKINNKVPGLGMRLDQSHLNLLYSAR YSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPLLEEIEEKKMIPELYPYGS RGPVGAHYLAAKHNVQWGDL" gene 18625320..18627065 /locus_tag="BRARA_H02068" /db_xref="Phytozome:Brara.H02068" mRNA join(18625320..18625841,18626110..18626339, 18626419..18627065) /locus_tag="BRARA_H02068" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02068" CDS join(18625384..18625841,18626110..18626339, 18626419..18626801) /locus_tag="BRARA_H02068" /codon_start=1 /product="hypothetical protein" /protein_id="RID51405.1" /db_xref="Phytozome:Brara.H02068" /translation="MVESSPSWLIPGLTDDVAERCLSRIPRYDFRIISQVCRRWRTFL RSEHFSAVRKLTGPVEEFMCVLMKSKSPEDGSRWVEYLYGEVFDASGNNLGQIPSVPG PLKSQFGVAVLGGGKIVLVGGYAEVEGFPIDGTTRISASADAYEFDPATNSWRKLAGM NVPRREFAFAVVGGLLYVIRGFSSDGECLQSSEVYDPETNHWSLMDDCPDCPDFHRAF AFSFKSKLFVVGNESRFIDIYDPRTETWKELDSGQSLSVYSYTVVRNKVYFSDHNSDY KKPELGVFDPEENSWSSVSVPRCPGAYWCKVGEWNNKVILVSPLGGRTLIRDLDKENA SKWRDTHIKPSGSNPTIVLINF" gene <18628181..>18629624 /locus_tag="BRARA_H02069" /db_xref="Phytozome:Brara.H02069" mRNA join(<18628181..18628629,18628919..18629142, 18629251..>18629624) /locus_tag="BRARA_H02069" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02069" CDS join(18628181..18628629,18628919..18629142, 18629251..18629624) /locus_tag="BRARA_H02069" /codon_start=1 /product="hypothetical protein" /protein_id="RID51406.1" /db_xref="Phytozome:Brara.H02069" /translation="MESSQSSLIPGLTDDVAELCLSRIPHSGFRIIAQVCRRWRTFLR SEHFSAVRKLTGSVEEFTCVLMESQFVRDGRFVKYLFGEVFDVSGNCLGRIPTFPGPF VSGFGVAVLRGRKIVFFGGYTRDERFAIKGTTIYASAHVHEFDPATNSWRKLANMNVP RHNFAYAVVNGLLYVIRGFSSFGDSLLSTEVYNPKTNQWSLMDCPYRPVWRGFAFSFK SKLFVVSNESRFIDIYDPKTETWKELDSGQSLSVYSYTVIRNKVYFFDRKMPGLGVFD PEENSWSWVGVPRSPGGYWFRLGEWNNKVILIARLRGCKALTGDLDKDDASKWRATHI KPSGSNATVVLINF" gene 18630139..18631681 /locus_tag="BRARA_H02070" /db_xref="Phytozome:Brara.H02070" mRNA join(18630139..18630705,18630800..18631026, 18631125..18631681) /locus_tag="BRARA_H02070" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02070" CDS join(18630254..18630705,18630800..18631026, 18631125..18631498) /locus_tag="BRARA_H02070" /codon_start=1 /product="hypothetical protein" /protein_id="RID51407.1" /db_xref="Phytozome:Brara.H02070" /translation="MAESSPSSLIPGLTDDVAERCLSRIPRYDFRIISQVCRGWRMFI KSKHFADVRKLTGPVEEFMCVMMDGRFIRDRQFVNYLYGEVFDASGNNLGQIPRVPGP FKSRFGVAVLGGGKIVIIGGYAEVEGFPVDGNRISASADVYEFDPAFNSWRNLAPMNI PRYSFAFAVADGLLYVIRGRSSDGKHLLSSEVYNPETNQWSLMDCPHRPNFRCAFAFS FNSKLYVVDDKSRFIEIYDPKTETWEELDSGQSLSVYSYTVIRNKVYFFEHNKPGLGV FDPEENSWSSVFVPWAPGAYWFRLGEWNNKVILIARMGGCNALTGDLDKANSSKWTAT QIKPSGSYPTIVLINF" gene 18632865..18635172 /locus_tag="BRARA_H02071" /db_xref="Phytozome:Brara.H02071" mRNA join(18632865..18633483,18633570..18633623, 18633702..18633761,18633841..18633951,18634126..18634191, 18634280..18634366,18634456..18634557,18634638..18634787, 18634870..18635172) /locus_tag="BRARA_H02071" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02071" CDS join(18633031..18633483,18633570..18633623, 18633702..18633761,18633841..18633951,18634126..18634191, 18634280..18634366,18634456..18634557,18634638..18634787, 18634870..18634983) /locus_tag="BRARA_H02071" /codon_start=1 /product="hypothetical protein" /protein_id="RID51408.1" /db_xref="Phytozome:Brara.H02071" /translation="MFGTPSSSPAFGTPSSTPMFGSSSTSAFGTPSSTPAFGTPSSTP AFGTPSATPAFGTPSSTPAFGTPSTSSFASGGFGNSLFSTPFSSQQPQQQQQQQQTSP FQQPASSGFGFQSPFNTAQQQTPFQTTQLTTQMAPVAPIPFSLADRDIQAIVEAYKED PTNPKYAFKHLLFSVTEQQYRVKPAAVSDIMWAEAMSKLEGMDSSERERLWPQLVQGF KDLSQRLKLQDEVLVSDRERIKTTQSNVKMLQRHLQAHTFPSIERLRQKEQNLQRRML RVMRIVEGLEGKGFRLPLTKGEAELSEKLTGITRQVKGPGAELSRRVQSLQTICRAQA NSFSAGSSIYLPGSTKIDEQSLIDMQEVLQQETEAIGRLGNVLKRDMRDMEIMVAEDT EMTEDT" gene 18635516..18639092 /locus_tag="BRARA_H02072" /db_xref="Phytozome:Brara.H02072" mRNA join(18635516..18635888,18636335..18636520, 18636611..18636718,18636825..18637957,18638034..18639092) /locus_tag="BRARA_H02072" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02072" CDS join(18635838..18635888,18636335..18636520, 18636611..18636718,18636825..18637957,18638034..18638844) /locus_tag="BRARA_H02072" /codon_start=1 /product="hypothetical protein" /protein_id="RID51409.1" /db_xref="Phytozome:Brara.H02072" /translation="MDVSELEENLFAASDAKLHRDMCKELSAVYCKVLSIFPSLEEAR PRSKSGIQALCSLHIALEKAKNILQHCSECSKLYLAITGDAVLLKFEKTKSALIDSLR RVEDIVPSSIGSQILDIVGELEHTKFLLDPSEKEVGDSIISLLQQGKKFDNATDNAEL EIFHQAATKLSITSSRSALAERRALKKLIDKARAEEDKRKESIVAYLLHLMRKYSKLF RSEAVDENDSQCSPPCSPTGNEDRPHAFGRQLSKFGSVNNSRKPGQMMPTPPEELRCP LSLQLMFDPVIIASGQTYERVCIEKWLSDGHNSCPKTQQQLPHLSLTPNYCVKGLIAS WCEQNGITVPTEPPQSLDLNYWRLALSDSESANSKSVDGIGSCTPKEAKLVPLEESST IGPEQQQHKEEVNAPDVNVLEQYQDILATLDKEEDLGEKCKVVENARLLLKDDEEARI LMGANGFVEAFLRFLESAVDKNNAAAQETGAMALFNLAVNNNRNKELMLTSGVIPLLE KMITCPHSQGPATALYLNLSCLEKAKPVIGSSQAVPFFVKLLLQGETQCKLDALHALY NLSTHSPNIPTLLSCNIIKTLQVLASTGDHLWIEKSLAVLINLASSQEGKEEMISSQG MISTLATVLDAGDTVEQEQAVSCLVILCTGSEQCIQMVLQEGVIPSLVSISVNGSSRG RDKSQKLLMLFREQRQREQASPNKDEAPRKSLSAPLPMSVPAQASAPGSEVKPLFKST SARRTMTRAFSFFWKKSYSIHR" gene complement(18639389..18640820) /locus_tag="BRARA_H02073" /db_xref="Phytozome:Brara.H02073" mRNA complement(join(18639389..18639640,18639838..18639930, 18640037..18640087,18640167..18640323,18640509..18640820)) /locus_tag="BRARA_H02073" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02073" CDS complement(join(18639566..18639640,18639838..18639930, 18640037..18640087,18640167..18640323,18640509..18640627)) /locus_tag="BRARA_H02073" /codon_start=1 /product="hypothetical protein" /protein_id="RID51410.1" /db_xref="Phytozome:Brara.H02073" /translation="MEDSTATTTHHYFTIFTNYPLISSLTAFTIAQFIKLFTSWYRER RWDLKQLIGSGGMPSSHSATVTALAVAIGLQEGFGGSHFAIALILASVVMYDATGVRL HAGRQAEVLNQIVYELPAEHPLAESRPLRELLGHTPPQVIAGGMLGSVTAVTGYLFTR VATS" gene <18641585..>18642707 /locus_tag="BRARA_H02074" /db_xref="Phytozome:Brara.H02074" mRNA join(<18641585..18641596,18641662..18641707, 18641793..18641887,18641964..18641995,18642081..18642210, 18642301..18642394,18642480..18642562,18642675..>18642707) /locus_tag="BRARA_H02074" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02074" CDS join(18641585..18641596,18641662..18641707, 18641793..18641887,18641964..18641995,18642081..18642210, 18642301..18642394,18642480..18642562,18642675..18642707) /locus_tag="BRARA_H02074" /codon_start=1 /product="hypothetical protein" /protein_id="RID51411.1" /db_xref="Phytozome:Brara.H02074" /translation="MMKTAIDAWGTTDVVVNNAGITRDTLLIRMKKSQWDEVIDLNLT GVFLCTQAATKIMMKKRRIINIASVVGLIGNIGQANYAAAKAGVIGFSKTAAREGASR NINVNVVCPRFIASDMTAKLGEDMEKKILGTIPLGRYGQPEDVAGLVEFLALSPAAGY ITGQAFTIDGGIAI" gene 18645763..18647804 /locus_tag="BRARA_H02075" /db_xref="Phytozome:Brara.H02075" mRNA join(18645763..18646351,18646664..18646820, 18646922..18646988,18647067..18647804) /locus_tag="BRARA_H02075" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02075" CDS join(18646272..18646351,18646664..18646820, 18646922..18646988,18647067..18647515) /locus_tag="BRARA_H02075" /codon_start=1 /product="hypothetical protein" /protein_id="RID51412.1" /db_xref="Phytozome:Brara.H02075" /translation="MYYQLTKSSYMDSLKILEADIEHANGLAAEIPMGKSGVRLQMKL VCSNLAPFFIFLLQWMDFSCLLPRYFDFFHILIYKVRADGRWNRSRYGRKATIREFYG VILPSLERLHINFSDSPGDNTLWYPNPKAITYDIEGNRFITNSVDSEREEECGICLEP CTKMVLPNCCHAMCIKCYRNWNTKSESCPFCRGNIKRVNSEDLWVLTCDEDVVDPETV TKEDLFRFYLHINSLPKDYPEAVFLGYNEYLI" gene 18649536..18656286 /locus_tag="BRARA_H02076" /db_xref="Phytozome:Brara.H02076" mRNA join(18649536..18649927,18650030..18650137, 18650220..18653225,18653657..18654488,18654575..18655212, 18655412..18655559,18655644..18655725,18655808..18656286) /locus_tag="BRARA_H02076" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02076" CDS join(18649787..18649927,18650030..18650137, 18650220..18653225,18653657..18654488,18654575..18655212, 18655412..18655559,18655644..18655725,18655808..18655910) /locus_tag="BRARA_H02076" /codon_start=1 /product="hypothetical protein" /protein_id="RID51413.1" /db_xref="Phytozome:Brara.H02076" /translation="MHENDDPPSDDDPPSDDDPPQDSPESVAADVIENDDETNEQELD PDQGTGFVDSKEDMFVDAPEELNFDTPSKEALTTDADDNNEKEEEDREKELVVFQEQF NLSTGENHSKGEEEKIHHEDAFKELQGIIIKKDEEIAHLTAKISELSSSSSSQDEEEQ QLVSATDRILLSLSNVFGQEEPQLGSSVSEKITNLENGVAFLSAKYTEFYYGADQLRK CLSSDDADLRFQEDFGSALGGACSELLELKEKEASLHERLTHLQDENRKLVEQVSKDR EVIESMRTELEQEKTRCVNTKEKLSMAVTKGKALVQNRDALKHQISEKTTELENRLAE LQEKTVALETSQLLKGQLEQSLAEMADELSDKSVSLEACEVAKRGLEQSLDEKAKELE ECLMKLQALDESELIKGELVKSEAIVASYQEMVSSKSSIIENIESILPHVNDSSDDII EKVRSLVEEREEYNRLKDMNLSIDLPEEISESTLEARLTWLSESFLHSKDEVIALQNQ IERLSTSLSAEMDEKSSIREELDDITLRFKALEETAERDSLEREETVRRLVETSGLMM TEGVEHHAVLVDRSFDQIEKKLRDSSESSYGNEESFEKFQSLLYASGLELSLCKEMLG EGMLASLQVSNLSNELASVKEEKLALETDLERSEEKSALLKDKLSMAIKKGKGLVQDR EKLKAQLDEKNSEIEKLMLELQELTSTVDSYKNQISTLSGDLERTKELEDELVAVKDE RDELKRSLSLNDTLLQKVMSIPVDLATEDSSEKIERLVGYFKEVQEARVEEQEEQEKV KEEASTLASKLAEAHTTLKLVEDALSAAEGNIDRLAEENRQVQAAKELVELELQKAVG EASSLSSELDEACAIRNTLEAALKQAERNISDIISEKEEAQSSTATAEMELEKVKEEV TEAHSTIQSLKETLAQTESNMDSLSKQIEDDKALTTNLRNELELERSKMAEASLTIGS LEEALMKAENSLSVLQGEMVKAEVEKSTLSSKLNVCMEELAGSNGNSQSKSMEIIAHL DNLQMVLKDGGLVSRVNEFLERKFKSLRDMDVIARDIIINSGEKGLAGEMNNVTEDDS TVAESLLSGLDNSVDTELENSKENNTADEDEISSSLRKITEGVNLKNKILEKNFDVFS TSIDTLIAALMENMTAARADVINVKGHNESLQEQVRSAEDILREKENTIAALETDLSS LMSVCGEAASELQLEVKNNLLELVQLQENDNGGETESTEHPQEELHVSECSRRAKELS SAAEKACATLKLFETTSNAAAVLIRDMEDRLKETSTALEKVVLERDLNQTEVSSYVAK VESTEALCQDLKLQLENLKAEEEKWHEKEVELSTLYDKLQEQEAKESLIPASDIRALF DKINGIEMPSVDQTNELDLQSPYDVKKLFAVVDSVTKMQHQIDLLSYEQKELTSTLAA KDLEIQGLKEAAEAKSTTELELVKANKELSKLISGLEKLLGDDPVVDLDFSESWTLLQ ALEKKIASLLLESESSKSKAQELGLKLVSSEKLVEKLSLKVKEFEDKLQSKAVQPDVV HERSIFEAPRASSSSEISEIEDKGALGKKSISAVPTAAQVRVVRKASTDHLAINIDSE SEHLMNNNEADEDKGHVFKSLNMSGLIPMQGKMIADRVDGIWVSGGRVLMSRPQARLG IMVYSLLLHLWLLASIL" gene complement(18656313..18659459) /locus_tag="BRARA_H02077" /db_xref="Phytozome:Brara.H02077" mRNA complement(join(18656313..18656647,18656744..18656857, 18656937..18657103,18657258..18657360,18657460..18657512, 18657591..18657723,18657813..18658161,18658267..18658585, 18659188..18659459)) /locus_tag="BRARA_H02077" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02077" CDS complement(join(18656477..18656647,18656744..18656857, 18656937..18657103,18657258..18657360,18657460..18657512, 18657591..18657723,18657813..18658161,18658267..18658585, 18659188..18659386)) /locus_tag="BRARA_H02077" /codon_start=1 /product="hypothetical protein" /protein_id="RID51414.1" /db_xref="Phytozome:Brara.H02077" /translation="MALAFDEFGRPFIILREQDQKTRLRGIDAQKANIAAGKAVARIL RSSLGPKGMDKMLQGPDGDVTISNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGT TGVVVMAGALLEQAERQLDRGMHPIRIAEGYEMASRVAVEHLERIAQKFEFDVNNYEP LVQTCMTTLSSKIVNRCKRSLAEIAVKAVLAVADIERRDVNLDLIKVEGKVGGKLEDT ELIYGILVDKDMSHPQMPKQIEDAHIAILTCPFEPPKPKTKHKVDIDTVEKFETLRKQ EQQYFDEMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATG GRIVPRFQELTPEKLGKAGMVREKSFGTTKERMLYIEHCANSKAVTIFIRGGNKMMIE ETKRSIHDALCVARNLIRNNSIVYGGGAAEITCSLAVDAAADKYPGVEQYAIRAFAEA LDSVPMALAENSGLQPIETLSAVKSQQIKENIPFYGIDCNDVGTNDMREQNVFETLIG KQQQILLATQVVKMILKIDDVISNSEY" gene 18660714..18661352 /locus_tag="BRARA_H02078" /db_xref="Phytozome:Brara.H02078" mRNA 18660714..18661352 /locus_tag="BRARA_H02078" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02078" CDS 18660776..18661129 /locus_tag="BRARA_H02078" /codon_start=1 /product="hypothetical protein" /protein_id="RID51415.1" /db_xref="Phytozome:Brara.H02078" /translation="MGRQNVFVVFGLVFLAVLGLAAAASSPSPSSSPSKAPSTPTTDV EAPVSEDTIGTTDDDAAASPGDGDVAVAGPLGSDSSYGSIAPSGPAADSGAAALGVSA VVVGVTSIAGSFLFL" gene 18663560..18665703 /locus_tag="BRARA_H02079" /db_xref="Phytozome:Brara.H02079" mRNA join(18663560..18664842,18665346..18665703) /locus_tag="BRARA_H02079" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02079" CDS join(18663625..18664842,18665346..18665366) /locus_tag="BRARA_H02079" /codon_start=1 /product="hypothetical protein" /protein_id="RID51416.1" /db_xref="Phytozome:Brara.H02079" /translation="MRVISWLFDSEHSSRRRRTPKSPTHLDSTDSATSSSLSEATGST SLHSSLSLQTLPSVPSLQKIPAETLAVTVSHSVTSSFKLRERSLPVTCLAVNGGYVFA VSGHEVSIYDRAMCAHLDTFNGQDPFSGSVKSVGFSGEKIFTAHQDGKIGVWKLTAKN GYKQLTTLPTLNDRLRRFALPKNYVQVRRHKKRLWIEHADAVTALAVNNGFIYSVSWD KTLKIWRASDLRCQESIKAHDDAVNAVAVSTNGTVYTGSADRRIRVWAKPADSKRYKL VATLEKHKSAVNALALNEDGSVLFSGSCDRSILVWEREDSSSYMAVSGALRGHDKAIL SLFNVSDLLLSGSADRTVRIWRRGTDGCYSCLEVLSGHTKPVKSLAAVKDSELDGVVS IVSGSLDGEVKCWKFIFTVT" gene <18670809..>18672796 /locus_tag="BRARA_H02080" /db_xref="Phytozome:Brara.H02080" mRNA join(<18670809..18671587,18671632..18671746, 18671828..18671938,18672440..18672558,18672595..>18672796) /locus_tag="BRARA_H02080" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02080" CDS join(18670809..18671587,18671632..18671746, 18671828..18671938,18672440..18672558,18672595..18672796) /locus_tag="BRARA_H02080" /codon_start=1 /product="hypothetical protein" /protein_id="RID51417.1" /db_xref="Phytozome:Brara.H02080" /translation="MLVSGIEKISGKVRSFKSFSGLPKSQKYTGLASVAYGVIKRANE IVEELVREIDKSRNEAREQVDQRNYEIAIEVSQLESTISNLRLEVAEKASVVDELERG VSEKEKRIGELERDKLEKVSVLEGEVVEMKLLVDEYDAKLKALEVKMVAQRPLLVEQL NLVSKIHDQLYEVVRIVDVNSSEELDLSESFFMPQETEMEENVRASLAGMESIFELTK VVSEKTQSLVEEKSHELKILNETVGLLVKEKEHIGTLPWSALHVGVDLKSTKPLKDEN VQDTRDINTVDNSTEENEIYSLASTLENIVKASQLKIVELQHSLEESRQALKYGTPLY LLHVLKEELEEAKQAIKESEKKLKFKEETAAPAMGARDAAELRERMQELNRKVEELET HRDMNSSNSARYVCWPWQLLGIDFVGGRRVDSVQQQQSSNEMELAEPLL" gene 18673804..18674643 /locus_tag="BRARA_H02081" /db_xref="Phytozome:Brara.H02081" mRNA 18673804..18674643 /locus_tag="BRARA_H02081" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02081" CDS 18674015..18674422 /locus_tag="BRARA_H02081" /codon_start=1 /product="hypothetical protein" /protein_id="RID51418.1" /db_xref="Phytozome:Brara.H02081" /translation="MGLSQFPTASEGVLPLLVMNTVVSVTLLKNMVMSVFRIVGSDTE DSMEIEEREEDCVRRRRRISITQFKSLCENRGGEEDEEEEEGVECCVCLCGFKEEEEV SELVSCKHFFHRACLDNRFGNNHTTCPLCRSIL" gene complement(<18675402..>18675662) /locus_tag="BRARA_H02082" /db_xref="Phytozome:Brara.H02082" mRNA complement(<18675402..>18675662) /locus_tag="BRARA_H02082" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02082" CDS complement(18675402..18675662) /locus_tag="BRARA_H02082" /codon_start=1 /product="hypothetical protein" /protein_id="RID51419.1" /db_xref="Phytozome:Brara.H02082" /translation="MTLGNSSNHKSHHHPRHQRDSVKEFRVSFAAEEPIHVLEYEDMR RTQSERMSRREATKQVDVDKEADEFIKFEHRKFCKWTTAKSI" gene complement(18676767..18677535) /locus_tag="BRARA_H02083" /db_xref="Phytozome:Brara.H02083" mRNA complement(join(18676767..18677016,18677143..18677535)) /locus_tag="BRARA_H02083" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02083" mRNA complement(join(18676767..18677016,18677139..18677535)) /locus_tag="BRARA_H02083" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02083" CDS complement(18677154..18677408) /locus_tag="BRARA_H02083" /codon_start=1 /product="hypothetical protein" /protein_id="RID51420.1" /db_xref="Phytozome:Brara.H02083" /translation="MNDKTEIEATMESTAAASTGGLSRQTSITKTNCLCSPTTHPGSF RCRLHRTPSLQRTKSVEATNVLQDSMAKPGASSTGDEPAK" CDS complement(18677154..18677408) /locus_tag="BRARA_H02083" /codon_start=1 /product="hypothetical protein" /protein_id="RID51421.1" /db_xref="Phytozome:Brara.H02083" /translation="MNDKTEIEATMESTAAASTGGLSRQTSITKTNCLCSPTTHPGSF RCRLHRTPSLQRTKSVEATNVLQDSMAKPGASSTGDEPAK" gene complement(<18679367..>18680272) /locus_tag="BRARA_H02084" /db_xref="Phytozome:Brara.H02084" mRNA complement(<18679367..>18680272) /locus_tag="BRARA_H02084" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02084" CDS complement(18679367..18680272) /locus_tag="BRARA_H02084" /codon_start=1 /product="hypothetical protein" /protein_id="RID51422.1" /db_xref="Phytozome:Brara.H02084" /translation="MEEAIMRLEGAEHRETNNHSLKRKPSRTSSTAPGSPGGVTIAKS APGAAGGASGGTTIRYRGVRRRPWGRYAAEIRDPMSKERRWLGTFDTAEEAACAYDCA ARAMRGLKARTNFVYPFDSYHHRLLSPPPMNMFLLRDVLNSQPLSPFAYPHYNLSNVS GLLNESFTNVNDVSEDHSPKAKRSSTIDSDNMISILEPEPAGSGLLQEVVQGFLPKPI SQQTSTPPKTNQPFVGVFPTMLENGFQTDLGVPNHIDVEGNGFNQVKYHGELAWADHA SGFDAAKMHQNGNGGMFYQYCFHDY" gene <18689552..>18689773 /locus_tag="BRARA_H02085" /db_xref="Phytozome:Brara.H02085" mRNA <18689552..>18689773 /locus_tag="BRARA_H02085" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02085" CDS 18689552..18689773 /locus_tag="BRARA_H02085" /codon_start=1 /product="hypothetical protein" /protein_id="RID51423.1" /db_xref="Phytozome:Brara.H02085" /translation="MEKKQAIHGDDGHDCVPPIHSQVVKIKKEFEKIQHPSLRQPEMP RVLREITFPRRSRSPLGLGERERPIPVGS" gene complement(<18691713..>18692276) /locus_tag="BRARA_H02086" /db_xref="Phytozome:Brara.H02086" mRNA complement(<18691713..>18692276) /locus_tag="BRARA_H02086" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02086" CDS complement(18691713..18692276) /locus_tag="BRARA_H02086" /codon_start=1 /product="hypothetical protein" /protein_id="RID51424.1" /db_xref="Phytozome:Brara.H02086" /translation="MSNNKNQTNGSGGGSASRTGADSPFLHKARSGKTEIRELEAVFK KFDVNGDGKISSKELGAIMTSLGHEVPEEVLQKAITDIDRKGDGYINFEEFVELNTKG MDQNEVLENLKDAFSVYDIDGNGSISAEELHEVLRSLGDECSIAECRKMIGGVDKDGD GTIDFEEFKIMMTLGSRRDNVMGGGQR" gene complement(18693929..18695259) /locus_tag="BRARA_H02087" /db_xref="Phytozome:Brara.H02087" mRNA complement(join(18693929..18694675,18694984..18695259)) /locus_tag="BRARA_H02087" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02087" CDS complement(18694014..18694649) /locus_tag="BRARA_H02087" /codon_start=1 /product="hypothetical protein" /protein_id="RID51425.1" /db_xref="Phytozome:Brara.H02087" /translation="MTESDDASRELPAREGDTSLNQDLSKPESIIPVSLDLKLNDSFN DDQKGTKCQPNPRVFSCNYCRRKFYSSQALGGHQNAHKRERTMAKRAVHMGRMFGHHH RPYTYTSSSLGMQAHSGLLHHTLSQPQPPPHLARFHHQGYFGNTVPLLFDYDDGSDLF WPGSFRQVVEEAEAPVVVAVRESGLDLNSVAADGSVVDNNNRSKPDLTLRL" gene 18698852..18711899 /locus_tag="BRARA_H02088" /db_xref="Phytozome:Brara.H02088" mRNA join(18698852..18699213,18699311..18699436, 18699557..18699598,18700081..18700140,18700266..18700328, 18700577..18700657,18701002..18701073,18701151..18701198, 18701298..18701366,18701453..18701586,18702167..18702242, 18702587..18702780,18703046..18703126,18703281..18703479, 18703555..18703785,18703870..18703950,18704047..18704166, 18704256..18704315,18704810..18704937,18705382..18705478, 18705561..18705689,18706173..18706276,18706559..18706739, 18706942..18707108,18707291..18707897,18708000..18708104, 18708724..18708879,18709011..18709091,18709161..18709535, 18709948..18710164,18710260..18711065,18711156..18711466, 18711587..18711899) /locus_tag="BRARA_H02088" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02088" CDS join(18699079..18699213,18699311..18699436, 18699557..18699598,18700081..18700140,18700266..18700328, 18700577..18700657,18701002..18701073,18701151..18701198, 18701298..18701366,18701453..18701586,18702167..18702242, 18702587..18702780,18703046..18703126,18703281..18703479, 18703555..18703785,18703870..18703950,18704047..18704166, 18704256..18704315,18704810..18704937,18705382..18705478, 18705561..18705689,18706173..18706276,18706559..18706739, 18706942..18707108,18707291..18707897,18708000..18708104, 18708724..18708879,18709011..18709091,18709161..18709535, 18709948..18710164,18710260..18711065,18711156..18711466, 18711587..18711656) /locus_tag="BRARA_H02088" /codon_start=1 /product="hypothetical protein" /protein_id="RID51426.1" /db_xref="Phytozome:Brara.H02088" /translation="MSLPLLECKYVTEEFVREGKSGSYGSKLPSSVPMLRFLYELCWV LVRGELPIQSCKAVLEKVKFSDDPSKEELASCFADVVTQIAQDLTMSGDHRSRLTKLA KWLVESQTVPQRIFQERCEEEFLWEAEMVKIKAQDLKGKEVRLNTRLLYQQTKFNLLR EESEGYAKLITLLCRGSAGSSHNASAATMGIIKSLIGHFDLDPNRVFDIVLDCFELEQ DYDTFLNLIPIFPKSHASQILGFKFQYYQRLEVNSPVPSGLYKLTALLVKKNFISLES IYAHLLPKDEEVFEDYNTYSAKRFEEANNIGKINLAATGKDLMEDEKQGDFKVDLYAA LDMETEAVAERIPELENNQTLGLLDGFLSVDDWNHANIMFERLAPLNPVAHNHICDGL FRLIEKRITHAYRIARQTRFQNSSAGSEKIAHAANTSASKTGPDLKEVFQILVTVGPY LYRNTQLLQKICRLLRVYYLSALDLVRSSDGSSNQEGSADEIKHLKEARFRVEEALGT CLLPSLQLIPANPAVGHEIWEVMSLLPYEARYRLYGEWEKDDERNPLLLAARQVAKLD TRWILKRLAKENLKPLGRMVAKLAHANPMTVLRTIVTQIEAYRDMIAPVVDAFKYLTQ LEYDILEYVVIERLVQGGRAKLKDDGINLSDWLQSLASFWGHLCKKYPSMELRGLFQY LVNQLKRGQGIELVLLQELIQQMANVQYTENLTEDQLDAMAGSETLRYHATSFGMTRN NKALVKSSNRLRDSLLPNDEPKLAIPLLLLIAQHRSLVVVNADAPYIKMVTEQFDRCH GILLQYVDFLSSAVTPATAYAQLVPSLEELVHTYHLEPEVAFLVFRPVMRLFKCRRNG DVSWPLDSGESIDADSDVSKSESSMILDVGTSEKAVTWSDVLDTVRTMLPSKAWNSLS PDLYATFWGLTLYDLHVPRSRYESEISKQHAALKTLEEVADNSSSAITKRKKEKERIQ ESLDRLTGELKKHEEHVASVRRRLSREKDKWLSSCPDTLKINMEFLQRCIFPRCTFSM ADSVYCAMFVHMLHSLGTPYFNTVNHIDVLICKTLQPMICCCTEYEVGRLGRFLFETL KIAYHWKSAESIYESECGNMPGFAVYYRYPNSQRVTFGQFVKVHWKWCAKITKLLIQC LESNEYMEIRNALIMLTKISGVFPVTRKTGYNIEKRVAKIKNDEREDLKVLATGVAAA LSARKSSWVTDEEFGMGYLELKAPPVHTPKHTPSQNGLVGGESTGGRSTANQQPESGG KDQLSKTKIPDGRTENMPSKSDQGHPKSKGGNPSDAQPSMSKKPVDQKETDESPRISD ENPVKAGSKYSEAELKPSSKRGASATSTNKSTKQDFGKDDGKSGKAAGRTSAADNDVI YLGSRQASLTKASPSTAGNGSLATGSSKVKDDGAEVTDTQKQSTRTAHSPRHEISTSS RSSDRLQKRANAVEDSDRTSKRRKADSEHKEHDSEARPSDRDRSAEARVDLNKTPSDD QSSQRDQDRAKDKGNERQDRDHRERVERSDKTRADDAVKARDKSMERYGRERSVERGL DKGTTRSYDRNKDERSKDDRSKLRHSEASLEKAHGDDRSLSQGLPPPPPLPPNIVPHS MASKEEPERRAGVTRHSQRLSPRHDERERRRSEENTSVSVEESKRRRDDDFRDRKRDD RETIILKGEEREREREREREKSIPLKDDFEASKRRKIKRDQQVSSAEPGEYSPMPHQS SLSMGMGPSSYEGREQRKSSSSMIQHGGYPEEPSIRLLGKEASSKMARRDPDPMYDRE WEEDKRQRAERKRRDRK" gene 18715030..18716973 /locus_tag="BRARA_H02089" /db_xref="Phytozome:Brara.H02089" mRNA join(18715030..18715156,18715441..18715526, 18716064..18716208,18716301..18716429,18716547..18716973) /locus_tag="BRARA_H02089" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02089" CDS join(18715091..18715156,18715441..18715526, 18716064..18716208,18716301..18716429,18716547..18716837) /locus_tag="BRARA_H02089" /codon_start=1 /product="hypothetical protein" /protein_id="RID51427.1" /db_xref="Phytozome:Brara.H02089" /translation="MEPDMENLIPSKGILKNEELKKYIFETSAYPREHEELKKLREAT VHKYGNLSEMEVPVDEGHFLSMLLKMMNAKNTLELGVFTGYSLLTTALALPEDGRITA IDIDKEAYEMGLEFIKNAGIDHKINFIQSDGLQALDKLLSENPKPEFDFAFVDADKPN YVHALERLMKLVKVGGIIAFDNTLWFGFVAEEEETVPVHLRVNRKALMELNKRLASDP RIEISQVSIGDGVTLCRRLV" gene complement(18717070..18721152) /locus_tag="BRARA_H02090" /db_xref="Phytozome:Brara.H02090" mRNA complement(join(18717070..18717559,18717622..18717809, 18717874..18718413,18718656..18718727,18718828..18718974, 18719069..18719224,18719353..18719523,18719597..18719767, 18719871..18719936,18720406..18720491,18720577..18720856, 18720955..18721152)) /locus_tag="BRARA_H02090" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02090" CDS complement(join(18717538..18717559,18717622..18717809, 18717874..18718413,18718656..18718727,18718828..18718974, 18719069..18719224,18719353..18719523,18719597..18719767, 18719871..18719936,18720406..18720491,18720577..18720817)) /locus_tag="BRARA_H02090" /codon_start=1 /product="hypothetical protein" /protein_id="RID51428.1" /db_xref="Phytozome:Brara.H02090" /translation="MSDVSGDGDATASITQPASSYPSLKVSASYKESGGGKSSSKRRP VKLSLDDAAAENEFITQLHGSDPVKVELNRLENEVRDKDRELGEAHAEIKALRLSERQ REKAVEELTEELAKLDEKLKLTESILQSKNLEIKKINEEKKASMAAQFAAEATLRRVH AAQKDDDMPPIEAILAPLEAELKLARSEIGKLQEDNKALDRLTKSKEAALLDAERTIE GALAKAAMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVADVEKLTQTVKELEEAV LAGGTAANSVRDYQRKFQEMNEERKTLDRELARAKVTANRVATVVANEWKDGNDKVMP VKQWLEERRFLQGEMQQLRDKLAITNRAAKSEAQLKEKFQLRLKVLEETLKGTSSSSI RNTSETRTMSSGPSRRQSLGGSENLQRFPSNGALSKKAPASQMRHSLSINSTSMLKNS KGTSTSFDGGTRSLDRGKALLNGPGNYSFNKASDESKEPEPATGWKDSSEEKTQSENT PAASEDSVPGVLYDLLQKEVVSLRKASHEKDQSLKDKDDAIEMLAKKVETLTKAMEVE AKKMRREVAAMEKEVAAMRVEKDQDNRAKRFSNIKSSSNTAQILAGRAAGRSG" gene 18726089..18728556 /locus_tag="BRARA_H02091" /db_xref="Phytozome:Brara.H02091" mRNA join(18726089..18726387,18726465..18726773, 18727336..18727542,18727635..18727736,18727836..18727900, 18727991..18728135,18728232..18728556) /locus_tag="BRARA_H02091" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02091" CDS join(18726283..18726387,18726465..18726773, 18727336..18727542,18727635..18727736,18727836..18727900, 18727991..18728135,18728232..18728282) /locus_tag="BRARA_H02091" /codon_start=1 /product="hypothetical protein" /protein_id="RID51429.1" /db_xref="Phytozome:Brara.H02091" /translation="MSLSAAVKAKNSTLISLMRLSSFSSATSSTVSLKPSGRLQQTLA ASVEVNGKALHSGKLSTVKLLPAIAGAGRYFEFRSRSIPASIEFAQESPLCTTLLKDG LRIRTVEHLLSALEAKGVDNCRIQIESESPDDREVEVPICDGSAKEWVDAIEEAGINV AQNHGGENVEKMVAHVNKPVYVCKNDSFVAVFPDLETRITCGIDFPQVPAIGCQWFSW KPEHDSSFAKDIAPSRTFCVYEEVERMREAGLIKGGSLDNAIVCSAEHGWMNPPLRFD NEACRHKILDLIGDLSLVAQGGNGGFPVAHVVAFKAGHALHTELARHLTID" gene complement(18729054..18731129) /locus_tag="BRARA_H02092" /db_xref="Phytozome:Brara.H02092" mRNA complement(join(18729054..18729285,18729382..18729517, 18729600..18729699,18729790..18729900,18729998..18730035, 18730132..18730202,18730678..18730847,18730919..18731129)) /locus_tag="BRARA_H02092" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02092" CDS complement(join(18729181..18729285,18729382..18729517, 18729600..18729699,18729790..18729900,18729998..18730035, 18730132..18730202,18730678..18730847,18730919..18731027)) /locus_tag="BRARA_H02092" /codon_start=1 /product="hypothetical protein" /protein_id="RID51430.1" /db_xref="Phytozome:Brara.H02092" /translation="MAATTLNNSSCLLQPKSGSTTRLNPSSLLKPCPNPTRVSFSGKS RGHVVTKASIEMAHSNSTPAAVVVNSSSKQKGPIIVIDNYDSFTYNLCQYMGELGCHF EVYRNDELTVEELKSKNPRGVLISPGPGTPQDSGISLQTVLELGPRVPLFGVCMGLQC IGEAFGGKIVRSPYGVMHGKSSMVHYDEKGEEGLFSGLSNPFLVGRYHSLVIEKDTFP SDELEVTAWTEDGLVMAARHRKHKHIQGVQFHPESIITTEGKTIVRNFIKLVEKKEAE KLT" gene 18735728..18738272 /locus_tag="BRARA_H02093" /db_xref="Phytozome:Brara.H02093" mRNA join(18735728..18736099,18736352..18736757, 18737474..18738272) /locus_tag="BRARA_H02093" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02093" CDS join(18735973..18736099,18736352..18736757, 18737474..18738077) /locus_tag="BRARA_H02093" /codon_start=1 /product="hypothetical protein" /protein_id="RID51431.1" /db_xref="Phytozome:Brara.H02093" /translation="MIDYEKNNNHQNFPPSSSPDLLLAINGAAVINKRKRRPAGTPDP DADVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKREKKDEEVRK RVYVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSVLKQWVCERCSKGYAVQSDYKAHL KTCGSRGHSCDCGRVFSRVESFIEHQDTCTIRGPQPTNHRPLHQNTEGHATPSRTFLT PSINPLLHGVPFLRSPQASHQQSLAFASSAPFENLQLQLSIGMTKTQVKRNEKGESSL TMERAIEEARRAEKMRQEARRQIEMAQVDFQKAKNIRKEAKAELEKAHVVREEAIKRI NATMLEITCHSCKDLFQLPVMADESTSSLVTCYVSSATTEGEAE" gene complement(18740078..18741790) /locus_tag="BRARA_H02094" /db_xref="Phytozome:Brara.H02094" mRNA complement(join(18740078..18740394,18740492..18740568, 18740653..18740743,18740822..18740882,18740959..18741017, 18741089..18741179,18741272..18741437,18741639..18741790)) /locus_tag="BRARA_H02094" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02094" CDS complement(join(18740278..18740394,18740492..18740568, 18740653..18740743,18740822..18740882,18740959..18741017, 18741089..18741179,18741272..18741437,18741639..18741666)) /locus_tag="BRARA_H02094" /codon_start=1 /product="hypothetical protein" /protein_id="RID51432.1" /db_xref="Phytozome:Brara.H02094" /translation="MPKSKRDRPVTLSKTKKKGREHKEIIVNGIREAVEKYSSVYVFS FENMRNIKFKEFRQQFRHNGRFFLGSNKVMQVALGRSASDEMRPGIFKVSKMLRGDAG LLVTDMPKEEVESLFNAYEDSDFSRTGSTAVETVELKEGPLEQFTHEMEPFLRKQGMP VRLNKGTVELLSDFVVCEEGKPLSPESSRILRLLGIKLATFKLNLVCRWSPSDFELYR EGLDLSDVETS" gene complement(18742941..18744877) /locus_tag="BRARA_H02095" /db_xref="Phytozome:Brara.H02095" mRNA complement(join(18742941..18744193,18744728..18744877)) /locus_tag="BRARA_H02095" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02095" mRNA complement(join(18742941..18744193,18744289..18744308, 18744728..18744877)) /locus_tag="BRARA_H02095" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02095" CDS complement(18743180..18744175) /locus_tag="BRARA_H02095" /codon_start=1 /product="hypothetical protein" /protein_id="RID51433.1" /db_xref="Phytozome:Brara.H02095" /translation="MVKARRARRRVSTRRIRARPYKFASSSKRVVRRSVFANTCPKAM EENDWADAVCSVCMECPHNAVLLLCSSHDKGCRPYMCGTSFRYSNCLDQYKKASAKLT PPHQQVVNSKREVENLKCPLCRGQVKGWTVVKPARDDLNLKKRSCMQEGCSFSGAFKE LRKHMKKDHPCAQPREVDPDVEQEWRRFEVEQDRNDVISTIRSTMPGATVFGDYVIER GAYGSDSDEEEEEVEREEVGRIGAGIGRNLVNVFLLLQAFGGSDLASDTSHGLSSESN DLTINQSDVDSSEDEEDGARSFANQMRSRRRVLLGRSASRRGRDREANQNSDHSR" CDS complement(18743180..18744175) /locus_tag="BRARA_H02095" /codon_start=1 /product="hypothetical protein" /protein_id="RID51434.1" /db_xref="Phytozome:Brara.H02095" /translation="MVKARRARRRVSTRRIRARPYKFASSSKRVVRRSVFANTCPKAM EENDWADAVCSVCMECPHNAVLLLCSSHDKGCRPYMCGTSFRYSNCLDQYKKASAKLT PPHQQVVNSKREVENLKCPLCRGQVKGWTVVKPARDDLNLKKRSCMQEGCSFSGAFKE LRKHMKKDHPCAQPREVDPDVEQEWRRFEVEQDRNDVISTIRSTMPGATVFGDYVIER GAYGSDSDEEEEEVEREEVGRIGAGIGRNLVNVFLLLQAFGGSDLASDTSHGLSSESN DLTINQSDVDSSEDEEDGARSFANQMRSRRRVLLGRSASRRGRDREANQNSDHSR" gene 18745891..18746783 /locus_tag="BRARA_H02096" /db_xref="Phytozome:Brara.H02096" mRNA join(18745891..18746067,18746202..18746252, 18746515..18746783) /locus_tag="BRARA_H02096" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02096" CDS join(18745926..18746067,18746202..18746252, 18746515..18746546) /locus_tag="BRARA_H02096" /codon_start=1 /product="hypothetical protein" /protein_id="RID51435.1" /db_xref="Phytozome:Brara.H02096" /translation="MMKKQVTIVAALLILVALSSNLDMVAEAQLGPGDCYDGCSTACV QRDSRKTARCDRKCSIRCGPDAKKAGKTGA" gene 18748159..18751183 /locus_tag="BRARA_H02097" /db_xref="Phytozome:Brara.H02097" mRNA join(18748159..18748519,18749055..18749469, 18749566..18749653,18750059..18750191,18750278..18751183) /locus_tag="BRARA_H02097" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02097" mRNA join(18748159..18748519,18749111..18749469, 18749566..18749653,18750059..18750191,18750278..18751183) /locus_tag="BRARA_H02097" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02097" CDS join(18749119..18749469,18749566..18749653, 18750059..18750191,18750278..18751025) /locus_tag="BRARA_H02097" /codon_start=1 /product="hypothetical protein" /protein_id="RID51436.1" /db_xref="Phytozome:Brara.H02097" /translation="MSFRSIVQDLRDGLGSLSRRSFDFRLHKGKSQGSCEYSSSRDLL SPLIVQTSRWANLPPELLLDVIKRLEESESTWPARKHVVACASVCRSWRAMCQDIVLS PEICGKLTFPVSLKQPGPRDPMIQCFIKRDKSKLTFHLFLCLSPALLVENGKFLLSAK RTRRTTRTEYIISMDADNISRSSNSYLGKLRSNFLGTKFLVYDTQPPQNTPSSSSALI TDQTSRSRFHSKRVSPKVPSGSYNIAQITYELNVLGTRGPRRMHCTMNSIPTSSLEPG GSVPNQPDKLVPGRLDESFRSNISFSKSSLDYRSVDFSSSRFSEMGVVSCEEDQEETS FRPLVLKNKQPRWHEQLQCWCLNFRGRVTVASVKNFQLVAVRQPQTQGTGGVAPAPGA HGEQDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE" CDS join(18749119..18749469,18749566..18749653, 18750059..18750191,18750278..18751025) /locus_tag="BRARA_H02097" /codon_start=1 /product="hypothetical protein" /protein_id="RID51437.1" /db_xref="Phytozome:Brara.H02097" /translation="MSFRSIVQDLRDGLGSLSRRSFDFRLHKGKSQGSCEYSSSRDLL SPLIVQTSRWANLPPELLLDVIKRLEESESTWPARKHVVACASVCRSWRAMCQDIVLS PEICGKLTFPVSLKQPGPRDPMIQCFIKRDKSKLTFHLFLCLSPALLVENGKFLLSAK RTRRTTRTEYIISMDADNISRSSNSYLGKLRSNFLGTKFLVYDTQPPQNTPSSSSALI TDQTSRSRFHSKRVSPKVPSGSYNIAQITYELNVLGTRGPRRMHCTMNSIPTSSLEPG GSVPNQPDKLVPGRLDESFRSNISFSKSSLDYRSVDFSSSRFSEMGVVSCEEDQEETS FRPLVLKNKQPRWHEQLQCWCLNFRGRVTVASVKNFQLVAVRQPQTQGTGGVAPAPGA HGEQDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE" gene 18751971..18753874 /locus_tag="BRARA_H02098" /db_xref="Phytozome:Brara.H02098" mRNA join(18751971..18752154,18752354..18752613, 18752704..18752839,18752947..18753043,18753121..18753188, 18753269..18753367,18753436..18753477,18753557..18753874) /locus_tag="BRARA_H02098" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02098" CDS join(18752002..18752154,18752354..18752613, 18752704..18752839,18752947..18753043,18753121..18753188, 18753269..18753367,18753436..18753477,18753557..18753709) /locus_tag="BRARA_H02098" /codon_start=1 /product="hypothetical protein" /protein_id="RID51438.1" /db_xref="Phytozome:Brara.H02098" /translation="MVPLSLSQHNLWPPETGSTAFRGFATAASIHACDHLRRHLRLGL RIRSSLQKLQQVSDAARTEFSRRVVLFNRANFLHSRDDIRSSLLSICFFNGGGESRIG PRGEEGSSSSSNQETSKRNTSSGRRWTNVLLAVNVIMYIAQVASNGRVLTWGAKVNSL IDRGQLWRLATSSVLHANPMHLMINCYSLNSIGPTAESLGGPKRFLAVYLTSAVASSA MSYWLNKSPSVGASGAIFGLVGSVAVFVMRHKQMVRGGNEDLMQIAQVIALNMTLGLV SRGIDNWGHIGGLLGGTAMAWLVGPQWKYEYTTRDGRRVFVDRAPMPLFLRWRNERGR S" gene 18754582..18757943 /locus_tag="BRARA_H02099" /db_xref="Phytozome:Brara.H02099" mRNA join(18754582..18754847,18754942..18755072, 18755349..18755573,18755658..18755913,18756033..18756647, 18757065..18757165,18757231..18757272,18757371..18757943) /locus_tag="BRARA_H02099" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02099" CDS join(18754607..18754847,18754942..18755072, 18755349..18755573,18755658..18755913,18756033..18756226) /locus_tag="BRARA_H02099" /codon_start=1 /product="hypothetical protein" /protein_id="RID51439.1" /db_xref="Phytozome:Brara.H02099" /translation="MKLYMLWLVLVLAVQADAAKQRKKAKIPALIVFGDSIMDTGNNN NLSTFLKSNFPPYGKDFPGGLATGRFSDGKVPSDLIAEKLGLTKTLPAYLSPNLKPRN LLKGITFASGGTGYDPLTAETMSVISVGDQLIYFKEYISTIKRRYGKRKARHILNRGI FLVVSSSNDLAHTYIAQSHKYNPASYASFLAKSAVKFVRELHKLGARKIGVFSALPVG CVPLQRSVRGSVLTRECVKPLNNMAKKFNARLSPALKSLDRELDGIIFYVDVYETFLD MIQNPKKYGFEVADRACCGTGFLEISYMCNSYNPFTCSNSSAYIFWDSYHPTERAYQV MVDKLFDKYFSKVF" gene <18759258..>18759704 /locus_tag="BRARA_H02100" /db_xref="Phytozome:Brara.H02100" mRNA <18759258..>18759704 /locus_tag="BRARA_H02100" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02100" CDS 18759258..18759704 /locus_tag="BRARA_H02100" /codon_start=1 /product="hypothetical protein" /protein_id="RID51440.1" /db_xref="Phytozome:Brara.H02100" /translation="MSRRLKAYLTSILLIMAVSPLSHGLSLGGLSFGQVEINGIARCS LNGDPNAPPISNGTVILTCGGLTANLAETLSQPNGFFLMFLSFLQTLLFTPSSCYITI NLPTGNCSIYSPDGVLSAVLTLASVAVGNNTNVAYFVAGPVLDNIF" gene <18761436..>18762218 /locus_tag="BRARA_H02101" /db_xref="Phytozome:Brara.H02101" mRNA <18761436..>18762218 /locus_tag="BRARA_H02101" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02101" CDS 18761436..18762218 /locus_tag="BRARA_H02101" /codon_start=1 /product="hypothetical protein" /protein_id="RID51441.1" /db_xref="Phytozome:Brara.H02101" /translation="MEPQAPSLNPKLRLLCSYGGRITPISPSNSLEYIGGETRIVAVP RDISLTAFFQLLSSKLLYGSSFSLKYRLPSCDLDSLITVNDNEDLQNMIAEYDSASPQ RIRLFLFPSNHPESASTRHPLSVNLLGLEPPIQKIIYTSSSSVVPFPIFPQNNCTATQ RVDHGKIHATSDEDPITSASLNTGVSDKETEREEIQDQPRRIVIQEPLQQQQQFLPIF YLPVLPMPPHMVTRSVNGYALSPAMEYSTGADPVLENKETAT" gene complement(18764899..18767191) /locus_tag="BRARA_H02102" /db_xref="Phytozome:Brara.H02102" mRNA complement(join(18764899..18765429,18765506..18765600, 18765687..18765775,18765855..18765949,18766043..18766146, 18766234..18766282,18766361..18766467,18766553..18766648, 18766734..18767191)) /locus_tag="BRARA_H02102" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02102" CDS complement(join(18765064..18765429,18765506..18765600, 18765687..18765775,18765855..18765949,18766043..18766146, 18766234..18766282,18766361..18766467,18766553..18766648, 18766734..18766815)) /locus_tag="BRARA_H02102" /codon_start=1 /product="hypothetical protein" /protein_id="RID51442.1" /db_xref="Phytozome:Brara.H02102" /translation="MIDHGSSSFDYRSIREAACNAGAGATAGAIAATFVCPLDVIKTR LQVLGLPEAPASGKRGSVIITSLQNIVKNEGFRGMYRGLSPTIIALLPNWAVYFSVYG KLKEVLQSSDGTLSVGANMVAAAGAGASTSIATNPLWVVKTRLMTQGIRPGVVPYKSV MSAFSRICQEEGFRGLYSGLLPSLAGISHVAIQFPAYEKIKQYMAKIDNTSVENLSPG SVAIASSIAKVVASVLTYPHEVIRAKLQEQGQMRNSENKYSGVIDCVKKVFRSEGIPG MYRGCATNLLRTTPSAVITFTTYEMMLRFFRQVVPPETNMSDDDEKKSLVSQPGGEGE EEKDSALRESQTQANKITSPIPLGSK" gene complement(<18772140..>18773529) /locus_tag="BRARA_H02103" /db_xref="Phytozome:Brara.H02103" mRNA complement(join(<18772140..18772324,18772410..>18773529)) /locus_tag="BRARA_H02103" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02103" CDS complement(join(18772140..18772324,18772410..>18773529)) /locus_tag="BRARA_H02103" /codon_start=1 /product="hypothetical protein" /protein_id="RID51443.1" /db_xref="Phytozome:Brara.H02103" /translation="WFSENERIVSPSSIFELGLFKDRTGWYLGIWFRQFPGRVVWTGN RGSPLYSSEGKLQISSSAGIQLFDESGYMTWHRDLTSPAAEDDAPLSAYLSDTGNFIV SNYSGGILWGSFDYPSNVLIPGMVLGYYPGLDYIRTITYDDIFHEGGTETGYEHYIWG SSGTKICRIDPIYTTKAMIQTRTTSSYTYSLRRNTTTSYYASLKMSDTGFLIWSEWTR RDRKWKDLVIAPSDICDKYTTCGSGTNTYCSMNPLKSCECFPGFRPQTDSERNQDSYA LHGHCVRKSPLACSDDDGFQLLKNMKLPETDNWTISYEGVGLEECKERCLTTCNCTAF ANTDMPTGVRSCVMWTVSLEDTRRNRGQNLYVKLAALDMERKRSNQNKKKRIIGFTVG AIVLLLLIVVVTFCCCWKRNNNAVLLGKHYHLLFKFDLESWS" gene 18792478..18793888 /locus_tag="BRARA_H02104" /db_xref="Phytozome:Brara.H02104" mRNA join(18792478..18793273,18793354..18793422, 18793518..18793888) /locus_tag="BRARA_H02104" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02104" CDS join(18792656..18793273,18793354..18793422, 18793518..18793532) /locus_tag="BRARA_H02104" /codon_start=1 /product="hypothetical protein" /protein_id="RID51444.1" /db_xref="Phytozome:Brara.H02104" /translation="MVLRGEMTTTTRPEPPKSLHNFTLPKLRWGSQRLLKCMKIDDST TSGDHRLRRRSSSLPEHRSSSNNNNQDRRESAENGGGEEEEEGIEEFRVKIMSDLKTV RDKITQSMYALNEQEKVNEVSPAKPWNLRKRRAAACKEPVEEKTVNPSPPRGGVVVEE AETTVRPKFSMKLSKKEIEEDFIAAFGRRPPRRPKKRPRTVQKKLDSLHPGLYLAEVT LDAYKVPEETNNMQR" gene complement(<18795549..>18797909) /locus_tag="BRARA_H02105" /db_xref="Phytozome:Brara.H02105" mRNA complement(<18795549..>18797909) /locus_tag="BRARA_H02105" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02105" CDS complement(18795549..18797909) /locus_tag="BRARA_H02105" /codon_start=1 /product="hypothetical protein" /protein_id="RID51445.1" /db_xref="Phytozome:Brara.H02105" /translation="MQPNPELIRTIANRYAANLTLCFPLRRTSLQLARAIHANFITFG FQPRAHILNRLIDVYSKSSELRYARHLFDEIPEPDKIARTTMVSGYSASGDIALARSV FEETPLSMRDTIMYNAMITGFTHSHDGCSAVSMFREMKREGFRPDNFTFASVLAGLAH VVEEERECVQFHAAAVKSGAGSITSVMNAMVSLYSKCDLLNSARGVFDEITEKDERSW TSMMTGYVKNGFFDSGLELLEGMDEGMKLVAYNALISGYVHRGLHREALEMVRRMVSN GIELDAFTYPSVIRACATSGLLQFGKQVHAYALRRQDFSFHLDNSLVSLYYKCNKFVE ARAIFDKMRAKDLVSWNALLSGYVSSGHIGEAKLLFKEMEEKNILTWMIMISGLADNG FGEEGLRLFSCMRKEGFEPCDYAFSGAIKSCAVLGAYCNGQQFHAQLVKIGFDSSLSA ANALITMYAKCGVVEEARRVFITMPCQDSVSWNALIAALGQHGHGAEAVGVYEEMLKE GIKPDRITFLTVLTACSHAGLVDQGREYFDSMETVYCIPPGADHYSRLIDLLCRSGRF TEAESVIKSLPFEPTSQIWEALLSGCRVYGNMELGIIAAEKLFELIPEHDGTYMLLSN MYAATGKWEEAARVRKLMRDRGVKKELACSWIEVETQVHKFLVDDTSHPEAEAVYKYL QELGKEMRRFGYVPDTNFVLHDVESDGHKQDMLITHSEKIAVAFGLMKLPPGRAIRVF KNLRTCGDCHNFFMYLSRVVQREIVLRDRKRFHHFRNGECSCGNFW" gene 18803938..18805718 /locus_tag="BRARA_H02106" /db_xref="Phytozome:Brara.H02106" mRNA join(18803938..18804194,18804287..18804456, 18804557..18804622,18805081..18805149,18805274..18805354, 18805426..18805718) /locus_tag="BRARA_H02106" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02106" CDS join(18804002..18804194,18804287..18804456, 18804557..18804622,18805081..18805149,18805274..18805354, 18805426..18805521) /locus_tag="BRARA_H02106" /codon_start=1 /product="hypothetical protein" /protein_id="RID51446.1" /db_xref="Phytozome:Brara.H02106" /translation="MARYEPYNYNIGLNPSLPHINQNQELINLDLPVSATPSSFMLFS NGDLVDARHNNSHFSPNLLHGDFGRQDKKEESGSKRKRKRSEEEESINEDDIEKPRDV VHVRAKRGQATDSHSLAERVRREKINERLKCLQDLVPGCYKTMGMAVMLEVIIDYVRS LQNQIEFLSMKLSAASAYYDLNSLDIEPTDTFQGGNMYSAEEMERILREGVGTQTPNF SSTLPF" gene complement(18807916..18809699) /locus_tag="BRARA_H02107" /db_xref="Phytozome:Brara.H02107" mRNA complement(join(18807916..18808294,18808648..18809699)) /locus_tag="BRARA_H02107" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02107" CDS complement(join(18808037..18808294,18808648..18809577)) /locus_tag="BRARA_H02107" /codon_start=1 /product="hypothetical protein" /protein_id="RID51447.1" /db_xref="Phytozome:Brara.H02107" /translation="MKSLANCVRAKTARTCDNCLKKQAHWFCAADEAFLCQSCDTSVH SGNLLARRHERVRLKDSTSPQQEAPTWYRGFTRKPRTPRGSGKRNNSSTFQDMVPEIS AEGQTDSSEVEGPLICQVPVLGPMVVEPKIKFPTMRSGVMIDGHEDEDKDESCLNGIF PTNMEVEDFAADVETLLGHGLDKESYTMEELGLSNTEMFKKDEIEDKETKAMNMDIEI GDDDQGNRDGTLPFDLNYPQNAYEEDAIKNVESSGECVQAKEEKKKNVLMLSLDYDQV ISAWGGQGLPWTSGGPPELDINISGSPVVSKVSKRDNGRKSRKKRRVGGCLPSSVVGD GGREASVSRYREKKKTRSFTKTIRYEVRKLNAENKPRMKGRFVKRTPLAASAGNSPLG VNN" gene 18812669..18815580 /locus_tag="BRARA_H02108" /db_xref="Phytozome:Brara.H02108" mRNA join(18812669..18813186,18813732..18813869, 18813938..18814207,18814285..18814421,18814513..18814659, 18814745..18815580) /locus_tag="BRARA_H02108" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02108" CDS join(18812817..18813186,18813732..18813869, 18813938..18814207,18814285..18814421,18814513..18814659, 18814745..18815419) /locus_tag="BRARA_H02108" /codon_start=1 /product="hypothetical protein" /protein_id="RID51448.1" /db_xref="Phytozome:Brara.H02108" /translation="MASNSELFGDQNREPFLPRKPCSDFGGGFNDSSLFLDDRRSKFR CFRFFSDGIIASWMALYDVAAKLYEMGRSDRRKVYFAVKMGMALALCSFVIYLKEPLA DASKYAVWAILTVVVVFEYSIGATLVKGFNRAIGTFSAGGLALGIARLSVLAGDFEEE VIIISIFLAGFCASYLKLYPAMKSYEYAFRVFLLTFCIVLVSGNNTREFFSTAYYRFL LILIGASICLGVNIFILPIWAGEDLHKLVVKNFKSVANSLEGCVNGYLQCVEYERIPS KILTYQASDDPLYSGYRSVVQSTSQEDSLLDFAVWEPPHGPYRTFHHPWANYVKLSGA VRHCAFMVMAMHGCILSEIQAAPEKRQAFRQELQRVGNEGAKVLRLFGEKVEKMEKLS AGNILKEVQRAAEELQMKIDSNSFLLVNSESWAAMKEKADAEQAEQNYHEAKDDETKV IQSLSQIWDTNHNHHHHQSPHTGNDSQLWMSTESMMFRNREHWPSVSFIGGSMINEIE SKVYESASSLSLATFASLLIEFVARLQNVVNAFEELSTKADFKEPVSETEKNIVKAGF WTRLMSCFSSGD" gene 18817759..18821277 /locus_tag="BRARA_H02109" /db_xref="Phytozome:Brara.H02109" mRNA join(18817759..18817862,18818060..18818462, 18818544..18818790,18818930..18819042,18819169..18819248, 18819443..18819584,18819675..18819755,18819909..18820013, 18820095..18820277,18820382..18820474,18820547..18820686, 18820780..18820846,18820950..18821277) /locus_tag="BRARA_H02109" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02109" mRNA join(18817795..18817956,18818060..18818462, 18818544..18818790,18818930..18819042,18819169..18819248, 18819443..18819584,18819675..18819755,18819909..18820013, 18820095..18820277,18820382..18820474,18820547..18820686, 18820780..18820846,18820950..18821277) /locus_tag="BRARA_H02109" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02109" CDS join(18818070..18818462,18818544..18818790, 18818930..18819042,18819169..18819248,18819443..18819584, 18819675..18819755,18819909..18820013,18820095..18820277, 18820382..18820474,18820547..18820686,18820780..18820846, 18820950..18821072) /locus_tag="BRARA_H02109" /codon_start=1 /product="hypothetical protein" /protein_id="RID51449.1" /db_xref="Phytozome:Brara.H02109" /translation="MAMVDEPLYPIALLIDELKNDDIQLRLNSIRRLSTIARALGEER TRKELIPFLSENSDDDDEVLLAMAEELGVFIPFVGGIEHAHVILPPLESLCTVEETTV REKAVDSLCKIGSQMKESDLVDSFVPLVKRLAAGEWFAARVSACGLFHVAYQGCTDVL KTELRSSYGQLCQDDMPMVRRAAASNLGKFATTLESAFLNAEIMTMFDGLTKDDQDSV RLLAVEGCAALGKLLEPQDCVARILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPDC TKTDLVPAYVRLLRDNEAEVRIAAAGKVTKFCQLLNPELAIQHILPCVKELSTDSSQH VRSALASVIMGMAPILGKDSTIEHLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGID LLSQSLLPAIVELAEDRHWRVRLAIIEYVPLLASQLGIGFFDDKLGALCMQWMQDKVY SIREAAANNLKRLAEEFGSEWAMQHIVPQVLDMVNNPHYLHRMMALRAISLMAPVMGS EITCSKFLPVVVEAAKDRVPNIKFNVAKLLQSLIPIVDQSVVDKTIRQCLVDLSEDPD VDVRYFANQALRSIDAAAVAQS" CDS join(18818070..18818462,18818544..18818790, 18818930..18819042,18819169..18819248,18819443..18819584, 18819675..18819755,18819909..18820013,18820095..18820277, 18820382..18820474,18820547..18820686,18820780..18820846, 18820950..18821072) /locus_tag="BRARA_H02109" /codon_start=1 /product="hypothetical protein" /protein_id="RID51450.1" /db_xref="Phytozome:Brara.H02109" /translation="MAMVDEPLYPIALLIDELKNDDIQLRLNSIRRLSTIARALGEER TRKELIPFLSENSDDDDEVLLAMAEELGVFIPFVGGIEHAHVILPPLESLCTVEETTV REKAVDSLCKIGSQMKESDLVDSFVPLVKRLAAGEWFAARVSACGLFHVAYQGCTDVL KTELRSSYGQLCQDDMPMVRRAAASNLGKFATTLESAFLNAEIMTMFDGLTKDDQDSV RLLAVEGCAALGKLLEPQDCVARILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPDC TKTDLVPAYVRLLRDNEAEVRIAAAGKVTKFCQLLNPELAIQHILPCVKELSTDSSQH VRSALASVIMGMAPILGKDSTIEHLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGID LLSQSLLPAIVELAEDRHWRVRLAIIEYVPLLASQLGIGFFDDKLGALCMQWMQDKVY SIREAAANNLKRLAEEFGSEWAMQHIVPQVLDMVNNPHYLHRMMALRAISLMAPVMGS EITCSKFLPVVVEAAKDRVPNIKFNVAKLLQSLIPIVDQSVVDKTIRQCLVDLSEDPD VDVRYFANQALRSIDAAAVAQS" gene complement(18822802..18825433) /locus_tag="BRARA_H02110" /db_xref="Phytozome:Brara.H02110" mRNA complement(join(18822802..18823145,18823234..18823467, 18823533..18823649,18823720..18823947,18824034..18824681, 18825053..18825433)) /locus_tag="BRARA_H02110" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02110" CDS complement(join(18822966..18823145,18823234..18823467, 18823533..18823649,18823720..18823947,18824034..18824681, 18825053..18825118)) /locus_tag="BRARA_H02110" /codon_start=1 /product="hypothetical protein" /protein_id="RID51451.1" /db_xref="Phytozome:Brara.H02110" /translation="MSDSTNSASPSSDYASISSGDQSLQRSSRRSGDGGTRAIVRESR HWHDIFWSAIFVIHLICLGFVLAVLGLNRFRISDRLNIDRYTQGFLENHKGLTEDYWP LYAVAGGIGVFISWVWSLLLGSYANEMMKVSVHILTTYLAVVSVLCFWCRQFFWGGAF AIGALLQFLYVVSVIDRLPFTMLVLRKALKLVWGLPKVIMVAHAFTVFMLLWMSLWSF GASGVVASSMGDEGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGMVFHVLFQCGQEESS SLPPSTLVESLRYAVTTSFGSICYGSLFTAAIRTLRWEIRGVRSKICGNECLLCCVDF LFHLVETLVRFFNKYAYVQIAVYGKGFNRSARDAWELFQSTGVEALVAYDCSGAVLLM GTIFGGLITGSCIGIWAWIKYSDRVIMVGSTAMLMGMVLVGLGMVVVESAVTSIYICF AEDPLLIQRWDADFFTEMSEMLHRRLQHRSARAREVLTTA" gene complement(<18830663..>18832111) /locus_tag="BRARA_H02111" /db_xref="Phytozome:Brara.H02111" mRNA complement(<18830663..>18832111) /locus_tag="BRARA_H02111" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02111" CDS complement(18830663..18832111) /locus_tag="BRARA_H02111" /codon_start=1 /product="hypothetical protein" /protein_id="RID51452.1" /db_xref="Phytozome:Brara.H02111" /translation="MCSHRCFLLLIFFLVSHSSVTSRILPKISVTTTTTLDVTDSIRK TKDASSFRLNQQEEQSHYPTSSSFSLQLHSRASVRGTEHTDYNSLTLARLHRDSARVK SLTARLYLAINNITRANLKHTMYTTEQEEIEAPLISGTTQGSGEYFTRVGIGSPAREV YMVLDTGSDVNWLQCAPCAECYHQTEPIFEPTTSSSYATLSCDAPQCKALEASECGNA TCLYEVSYGDGSFTAGDFATETFTIGSASVDNVAVGCGHSNQGLFVGAAGLLGLGGGL LALPSQLNTTSFSYCLVDRDSDSSSTVEFGSDIPNDAVVAPLMRNHQLDTFYYLGLTG ISVGGEMLEISASSFEMDESGGGGVIIDSGTAVTRLQTGVYDSLRDAFVKGTTDLERA GEVAMFDTCYDLSGKTTIEVPSVAFHFPGGNMLALPAKNYMIPVDSVGTFCLAFAPTA SSLAIIGNVQQQGTRVGFDLANSLIGFSANKC" gene 18833424..18835786 /locus_tag="BRARA_H02112" /db_xref="Phytozome:Brara.H02112" mRNA join(18833424..18833606,18833707..18833775, 18834051..18834110,18834203..18834250,18834379..18834470, 18834552..18834588,18834677..18834718,18834802..18834870, 18834964..18835008,18835090..18835151,18835234..18835306, 18835400..18835492,18835589..18835786) /locus_tag="BRARA_H02112" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02112" CDS join(18833589..18833606,18833707..18833775, 18834051..18834110,18834203..18834250,18834379..18834470, 18834552..18834588,18834677..18834718,18834802..18834870, 18834964..18835008,18835090..18835151,18835234..18835306, 18835400..18835471) /locus_tag="BRARA_H02112" /codon_start=1 /product="hypothetical protein" /protein_id="RID51453.1" /db_xref="Phytozome:Brara.H02112" /translation="MSSVLQGFTKSLAMTFVSEIGDKTFFAAAILAMRYPRRLVLAGC VSALIVMTILSATVGWAAPNLISRKWTHHITTLLFFGFGLWSLWDGFKEGGGGSEELA EVEAELDSDMKANGKTTKDKTEDENKKQKRPFLTQFFSPIFLKAFSINFFGEFGDKSQ LATIGLAADENPFGVVLGGVVAQLVCTTAAVIGGKSLASQISERIVALSGGMLFIIFG IQSFLASVEA" gene complement(18836128..18841387) /locus_tag="BRARA_H02113" /db_xref="Phytozome:Brara.H02113" mRNA complement(join(18836128..18836405,18836541..18836609, 18836718..18836809,18836895..18837394,18839880..18840263, 18841108..18841253,18841340..18841387)) /locus_tag="BRARA_H02113" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02113" CDS complement(join(18836307..18836405,18836541..18836609, 18836718..18836809,18836895..18837394,18839880..18840263, 18841108..18841253,18841340..18841372)) /locus_tag="BRARA_H02113" /codon_start=1 /product="hypothetical protein" /protein_id="RID51454.1" /db_xref="Phytozome:Brara.H02113" /translation="MVSASPISPSKETDRKSGEKWTAAEPSRPAKWWYSTFHTVTAMI GAGVLSLPYAMAYLGWGPGTLMLVLTWGLTLNTMWQMVQLHECVPGTRFDRYIDLGRY AFGPKLGPWIVLPQQLIVQVGCCIVYMVTGGKCLKQFVDVTCSTCTPVRPTYWILAFG GVHFLLSQLPNFNSVAGVSLAAAVMSLSYSTIAWAGSIAHGRLPDVSYGYKATSPSES TFRIFNALGQISFAFAGHAVALEIQATMPSTPERPSKVPMWQGVMGAYFVNAICYFPV ALVCYWAFGQDVDDNVLNNLQRPAWLIASANLMVVVHVIGSYQVFAMPVFDLLERMMV NKFGFKHGVVLRFFTRTIYVAFTLFIGVSFPFFGDLLGFFGGFGFAPTSFFLPSIMWL IIKKPKRFSITWLVNWISIIVGVFIMLASTIGGLRNIIADSSAYRFYA" gene complement(18843652..18848803) /locus_tag="BRARA_H02114" /db_xref="Phytozome:Brara.H02114" mRNA complement(join(18843652..18844407,18844608..18844694, 18844896..18844980,18845060..18845128,18845251..18845279, 18845398..18845451,18845531..18846322,18846524..18846591, 18846679..18846895,18846983..18847048,18847139..18847342, 18847527..18847657,18847737..18847800,18848024..18848054, 18848579..18848803)) /locus_tag="BRARA_H02114" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02114" CDS complement(join(18843904..18844407,18844608..18844694, 18844896..18844980,18845060..18845128,18845251..18845279, 18845398..18845451,18845531..18846322,18846524..18846591, 18846679..18846895,18846983..18847048,18847139..18847342, 18847527..18847657,18847737..18847800,18848024..18848054, 18848579..18848682)) /locus_tag="BRARA_H02114" /codon_start=1 /product="hypothetical protein" /protein_id="RID51455.1" /db_xref="Phytozome:Brara.H02114" /translation="MSSEMKQLIVVAEGTAALGPYWQTIVSDYLHKIIRSFCGSELNG ERNPVSSVELSLVIFNSHGSYCGCLVQRSGWTRDVDIFLHWLSSIQFAGGGFSEAATA EGLAEALMMFPPPPGQAQPSNDLKRHCILITASNPYSLPTPVYRPKLQNAERNENGDA LPESRLSDAETVASYFSRCAVSLSVVCPKQLPKIRALYNAGKLNPQSSDLSIDTVKNT FYLVLISENFVEARAALSHSATNLPQTQSPVKMDRATVAPSLPVTGPPPASLPSANGP ILNRQPVSVGPVPTATVKVEPSTVSSMAAVPTFPHIPSSVARPAAQAIPPLQTSSASS VSQEMVTNAENAPDVKPVVTGMTPPLRTGPPGNVNLLNNLSQVRQVMSSAALAGAASS AGQSAVAMHMSNMISTGMATSQPPSQTAFSSGQQGNASLAGSGALMGNAQAGQSPGRN NSFSPQTTSNVTSNLGVSQPMPAMNQGSHSGSQMMQGGISMNQNMISLGQGNVSSGTG GMMPTPGVGQQAQSGIQQLGGSNSSAPNMQLSQASSGALQPSQSKYVKVWEGNLSGQR QGQPVLITRLEGYRNATASDSLAANWPPTMQIVRLISQDHMNNKQYVGKADFLVFRAM NQHGFLGQLQDKKLCAVIQLPSQTLLLSVSDKACRLIGMLFPGDMVVFKPQITNQQQQ QQQQHQQQQQQQQQQQIHQQQQQQQIQQQQQHQQLPQLQQQQHQLSPLQHHQQQHQQQ HQLSQLRQHQQQQQTSPLNQMQQQTSPLNQMHQQTSPLNQMQQQTSPLNQMPQQQPQQ MVGSGVMGGQAFAQGPGRSQEGGGGQPNMPGAGFMG" gene complement(<18852333..>18853409) /locus_tag="BRARA_H02115" /db_xref="Phytozome:Brara.H02115" mRNA complement(<18852333..>18853409) /locus_tag="BRARA_H02115" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02115" CDS complement(18852333..18853409) /locus_tag="BRARA_H02115" /codon_start=1 /product="hypothetical protein" /protein_id="RID51456.1" /db_xref="Phytozome:Brara.H02115" /translation="MDYSCLDDNTSNTSETLSMSKKTPSPPPPLPSAMRLYRMGSGGS SVVLDLENGVETESSRKLPSSRFKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEEEAAA SYDIAARRFRGRDAVTNFKSPASDAESAFLEAHSKAEVVDMLRKHTYADELRQSERKF LDGDGNGRKRCEFSKTTVTNENDGVSREVLFEKTVTPSDVGKLNRLVIPKQHAEKHFP LPVTVTPSPTKGVLINLEDRTGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKSLRAGDV VCFERSSGPDRQLYIDWKVRSGIEKITPVQNVVRLFGVNIFNATTNANTNDVVGESGS KKRSREVDLFALGCSKKQAIINVL" gene 18880359..>18882193 /locus_tag="BRARA_H02116" /db_xref="Phytozome:Brara.H02116" mRNA join(18880359..18880512,18880591..18880808, 18881015..>18882193) /locus_tag="BRARA_H02116" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02116" CDS join(18880389..18880512,18880591..18880808, 18881015..>18882193) /locus_tag="BRARA_H02116" /codon_start=1 /product="hypothetical protein" /protein_id="RID51457.1" /db_xref="Phytozome:Brara.H02116" /translation="MSTPEEEVGLLEDLVNDSVDHRGNPAVRSSTGGWRSASLIIGVE VAERFAYVGIACNMITYLTEQLGQSTANAAVNVNTWIGTASTLPIIGAFLADAYLGRY RTILGSSLIYILGIGLLTLSASLTLMGSSENRKPSFLMNVLFFCSLYLVAIAQGGHKP CVQAFGADQFDSDHPKERLARGSFFNWWFLFLSGGIAISILVMVYIQSNVNWAFGFGI PCLFMVMALAIFFLGRKTYRYPKRNHEKTNNGFVRIGRVFFGAIKNRKLMNLNKAVLL GEEGVEPCSDVDVEDAKGLVRLIPIWITSMVSMIPYAQYSTLFTKQGVTVDRRILPDL EIPPATLLSFVSISVLISVPIYEHVFLPIARKITKKPNGITMLQRIGAGMVLTCVNMV LAALVEAKRLRIAEEHGLIDKPNVTIPMSIWWFVPQYMLVGMIEVFDLVGAQEFFYDQ VPTELRSIGLAFSLSALGLSNFFSGLLIIVVDWATERDGGHSWFNNNLNRAHIDYFY" gene <18888679..>18890502 /locus_tag="BRARA_H02117" /db_xref="Phytozome:Brara.H02117" mRNA <18888679..>18890502 /locus_tag="BRARA_H02117" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02117" CDS 18888679..18890502 /locus_tag="BRARA_H02117" /codon_start=1 /product="hypothetical protein" /protein_id="RID51458.1" /db_xref="Phytozome:Brara.H02117" /translation="MAESSLLMFSARDLLATPSHEGLSYVVEQLYKPHETYEYQGAQA LYKFCVANFSNCLTLMLLKVYLHSPDDLIRFRAISLLSEALTGLRNRSFELSPVALDV IKPLLVSCLTMPEAKKPDTKMLRIIVSCVARNAMKLDPHGWDELGDCMLTLVNTDPVR AFNVFLDLPQLHVGFINRFFKHLIEEIEDVLLLSDEQDRDEEYWSLALETAVKLGIQL SDSEEGLDVARVILDTVLKSANLLVRKGEEQFLQRGLAHLVKFLALDANTCRYSRNQC GFLSEFSFKISRIGTHTKEAAMKINLMVTKLENHVSDQAFKLSPSQGFDHDLYNKLKT ISAVEILRMVASTTMNDMSREIAVGRLYDMLCDHTSKRAEIDVSEMIQLKKPLMYCLT EVGVTENTFKILGKVVFHVVHELLQYQEDRWFELWDYIASECSTQFERTVYIFQCLTM MPDDNEYVIHAVGNLLPEIRTRLNPPGELLVDNSSWVLAFVGGFCAAIHLLELYTKSV AETVDKMVDSVRELVERGMEVGLVRRAFRDLESVVKKQVEWYDGNEYKFIKALLWKLY EIKGLRMESRMVLWRINVVLERGTPNVDKELPDSLHSNLIE" gene complement(18890625..18892825) /locus_tag="BRARA_H02118" /db_xref="Phytozome:Brara.H02118" mRNA complement(join(18890625..18891127,18891209..18891372, 18891476..18891635,18891727..18891835,18892086..18892228, 18892667..18892825)) /locus_tag="BRARA_H02118" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02118" CDS complement(join(18890762..18891127,18891209..18891372, 18891476..18891635,18891727..18891835,18892086..18892228, 18892667..18892669)) /locus_tag="BRARA_H02118" /codon_start=1 /product="hypothetical protein" /protein_id="RID51459.1" /db_xref="Phytozome:Brara.H02118" /translation="MSTLSAARADNFYYPPEWTPDQGSLNKFQGQHPLRERAKKIGEG ILIIRFEMPYNIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFAMKAPCCKQEIVI QTDPQNCEYVITSGAQKKVEEYDVEDAETMELTAEEEKGKLADPFYRLEHQEVDLQKK KAAEPLLVRLQRVSDARHADDYSLNKALRAQIRGQRKRVAEEEAASRKLGLGIRLLPK SEEDVAAASHVKFKTKFDKNRKDKRALIHASSIFPESSYSMSTSSKKRLELEAKRRKI CAASASSLLSGGFKASSLSKTPSSSTKFKSSTVSVRKL" gene 18893252..18895695 /locus_tag="BRARA_H02119" /db_xref="Phytozome:Brara.H02119" mRNA join(18893252..18893555,18893714..18893857, 18893941..18894015,18894097..18894290,18894378..18894549, 18894626..18895030,18895115..18895695) /locus_tag="BRARA_H02119" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02119" CDS join(18893325..18893555,18893714..18893857, 18893941..18894015,18894097..18894290,18894378..18894549, 18894626..18895030,18895115..18895246) /locus_tag="BRARA_H02119" /codon_start=1 /product="hypothetical protein" /protein_id="RID51461.1" /db_xref="Phytozome:Brara.H02119" /translation="MVTLLASSLTSCSRPDLNILSSLVRTSFSRKHRAASVAVVVVAT SSRDESSQKPTKFVTFLGKGGSGKTTASVFAAQHYALAGLSTCLVVQSQDPSADFLLG TKIGTSPTLINDNLSVIRLETTKMLLEPLKQLKQADARLNMTQGVLEGVVGEELGVLP GMDSIFSMLELERLVGFFRQATRKNHKGKAFDVIVYDGISTEETLRMIGLSSKTRLYV KYLRSLAEKTDLGRLTSPSIMRFVDESMNISGNKSPFDGQTSVAMWDTLERFLETGAS TLRDPDRFRSFLVMDPNNPMSVKSALRYWGCTIQAGSHASAAFAISSSPSSESNKITR EEFVPLPFASASVPFTSNGLDWDKILLDQANSSVRELLSGTVSLTPPVTFDTAKKSVT LFMPGFDKSEIKLYQYRGGSELLIEAGDQRRVIRLPSQIQGKVGGAKFVDRSLIVTMR " gene complement(<18895316..18896704) /locus_tag="BRARA_H02120" /db_xref="Phytozome:Brara.H02120" mRNA complement(join(<18895316..18895657,18896162..18896358, 18896467..18896518,18896585..18896704)) /locus_tag="BRARA_H02120" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02120" CDS complement(join(18895316..18895657,18896162..18896358, 18896467..18896518,18896585..18896695)) /locus_tag="BRARA_H02120" /codon_start=1 /product="hypothetical protein" /protein_id="RID51460.1" /db_xref="Phytozome:Brara.H02120" /translation="MGSASLVLFARLSGLVIAVLVVYWALLLVPHQGLTYSTLHPLLM VIGFILVSGEAILIHRWLPGSRKTKKAVHLWLQGMALVSAVFGIWTKFQYQSGVFSNF YSLHSWMGLLSVSLFAAQWVTGFMSFWHRGEVRTTRTTFLPWHVFLGLYTYGLAIATA ETGLLEKLTFLQTNKNVPRRCHESTVVNGLGLGLVLLSGVVITAAILPKYQNSHSGNE KLVYSSQDRPKCLTS" gene complement(18896927..18900247) /locus_tag="BRARA_H02121" /db_xref="Phytozome:Brara.H02121" mRNA complement(join(18896927..18897336,18897449..18897559, 18897649..18897816,18897941..18898012,18898360..18899295, 18899371..18899448,18899525..18899625,18899838..18900247)) /locus_tag="BRARA_H02121" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02121" CDS complement(join(18897163..18897336,18897449..18897559, 18897649..18897816,18897941..18898012,18898360..18899295, 18899371..18899448,18899525..18899625,18899838..18900033)) /locus_tag="BRARA_H02121" /codon_start=1 /product="hypothetical protein" /protein_id="RID51462.1" /db_xref="Phytozome:Brara.H02121" /translation="MATDNTGAKSSSSSADSYVGSLISLTSKSEIRYEGILYNINTXX XXYEGILYNINTDESSIGLQNVRSFGTEGRKKDGPQVPPSEKVYEYILFRGTDIKDLQ VKASPPVQPPAPPTLNNDPAIIQSHYPSPIPTSSGLPPAVSSSMPDISSHNGQPGQHG MGFQNSMPLYQPGGNLAPWGASPQPPMYWQGYYTPPPNGLPQLHQQSLIRPPHGLPMP GSLPQPIPYPNFNAPPPAGSSNLQGSSLPEPPSSLFPYSSSSQALAPSSLPFTGLPMT LSSGLQSTLQSAPSPSLASEMAPPLFSNKAPIAAPPTLSQDTNLLPFSLPTTRATDTS AGLPLVTDPISVPQATPLASAPDSEVSSSISQDKPKPLLVTPGQLLQSGSASVSLSPP SNNAGKDDEVVQVSSSAALEQSASVTSEAQPPILPLPSSARPTHKPNGHSFPTYNGYR GRGRGRGRGAGRSHQVMKFTEDFDFTAMNEKFNKDEVWGHLGKSTNGDGDDDFPIVDE PELPKVEVKPVYNKDDFFDSLSSNSGDRDSQNARPRFSELRKLDTETFGEFSRFRGGR GGRGGYGRNGHSRGGYGGRGYGGYNGRGGGGGGYGYGGRGQGRGV" gene complement(18900710..18903521) /locus_tag="BRARA_H02122" /db_xref="Phytozome:Brara.H02122" mRNA complement(join(18900710..18901092,18901173..18901263, 18901370..18901513,18901603..18901668,18901938..18902078, 18902169..18902270,18902431..18902505,18902587..18902667, 18902759..18902828,18902906..18902982,18903060..18903521)) /locus_tag="BRARA_H02122" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02122" CDS complement(join(18900940..18901092,18901173..18901263, 18901370..18901513,18901603..18901668,18901938..18902078, 18902169..18902270,18902431..18902505,18902587..18902667, 18902759..18902828,18902906..18902982,18903060..18903415)) /locus_tag="BRARA_H02122" /codon_start=1 /product="hypothetical protein" /protein_id="RID51463.1" /db_xref="Phytozome:Brara.H02122" /translation="MPSQILPFSYLPPPKSPPLAKQAMYKPLIYDDPSTTTIKPLLSR ASSFNGSALGLTAWYHNKRRRSNSDNNCLSALPDRTNGTDGGDNGQQTIAQEVTHAAA ETFLLTRLCLKLLSYLGVGYRWITRFMALGCYAFLLMPGFIQVGYYYFFSPYVRRSIV YGDQPRNRLDLYLPKNSNGPKPVVAFVTGGAWIIGYKAWGSLLGQQLSERDIIVACID YRNFPQGSISDMVKDASCGISYICNHIAEYGGDPNRIYLMGQSAGAHIAACTLVDQVV KESGEGDSVSWSSSQINAYFGLSGGYNLLSLVDHFHSRGLYRSIFLSIMEGEESLSQF SPELVVQNPNLKHIIARLPPIILFHGTADYSIPSDASKSFAETLQRLGGKAEVILYEG KTHTDLFLQDPMRGGVDEMFEDIVSVVLGGDSEVVGKSVDRRRLVPEFMLKLAHWVSP F" gene complement(<18904029..>18904723) /locus_tag="BRARA_H02123" /db_xref="Phytozome:Brara.H02123" mRNA complement(join(<18904029..18904040,18904373..>18904723)) /locus_tag="BRARA_H02123" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02123" CDS complement(join(18904029..18904040,18904373..18904723)) /locus_tag="BRARA_H02123" /codon_start=1 /product="hypothetical protein" /protein_id="RID51464.1" /db_xref="Phytozome:Brara.H02123" /translation="MEEDCGAFVADCVVLSCCCQCLVLQVTGFVFFKIPLKLVQKVKK FVKRRCGKTLQPRMEEDVVKEEHWCGNEFDFEEGSSRFNCVEDIEGMLQELSMNKEFL FGSFWRHEDSSDILDRRS" gene complement(18905234..18907652) /locus_tag="BRARA_H02124" /db_xref="Phytozome:Brara.H02124" mRNA complement(join(18905234..18905648,18905714..18905755, 18905849..18905885,18905959..18906038,18906123..18906233, 18906544..18906581,18907142..18907266,18907363..18907652)) /locus_tag="BRARA_H02124" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02124" CDS complement(join(18905563..18905648,18905714..18905755, 18905849..18905885,18905959..18906038,18906123..18906233, 18906544..18906581,18907142..18907266,18907363..18907599)) /locus_tag="BRARA_H02124" /codon_start=1 /product="hypothetical protein" /protein_id="RID51465.1" /db_xref="Phytozome:Brara.H02124" /translation="MAVITPATRISPPLNRPFNHRSSLPSPSKNLLFLKNPTPSSTIV AVRCQKPFSDGGSSTNHASSVSSSIDFLTLCHRLKTTKRKGWINQGINGAESIADHMY RMSLMALIAGDLTGVDRERCIKMAIVHDIAEAIVGDITPSDGVPKEEKSRREKAALEE MCEVLGGGLRAEEITELWLEYENNASLEANLVKDFDKVEMILQALEYESEHGKVLDEF FISTAGKFQTEIGKSWAAEINTRRKRQLTNRQR" gene 18907901..18910235 /locus_tag="BRARA_H02125" /db_xref="Phytozome:Brara.H02125" mRNA join(18907901..18908299,18908424..18908504, 18908596..18908655,18908758..18908801,18908998..18909040, 18909112..18909183,18909273..18909374,18909468..18910235) /locus_tag="BRARA_H02125" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02125" CDS join(18907955..18908299,18908424..18908504, 18908596..18908655,18908758..18908801,18908998..18909040, 18909112..18909183,18909273..18909374,18909468..18909587) /locus_tag="BRARA_H02125" /codon_start=1 /product="hypothetical protein" /protein_id="RID51466.1" /db_xref="Phytozome:Brara.H02125" /translation="MNLLKTIHHQSTTTQFYHFATTNSFASSSSSLLSLSPQRPRISL VSTSNRSCFALSSISSVSRASFSGNQEDGKKEEAEEGDEVVYQKTLRLVECAMFAAVT GLVYFLSNSLAIENYFGCFFALPIVISSIRWNIAGGRKTMVATVMLLFILSGPVKALT YFLMHGLLGLAIGSLWRMKASWRLSIFLCTMVRALGLIGYVLTSSFLIRENILAVITI NIHASLSYVFTAMGLNLMPSMSLIYMIFGTVLLLNSGFFVLLLHILYSIFLTRLGMKS SLRLPAWLDKAI" gene complement(18909792..18913291) /locus_tag="BRARA_H02126" /db_xref="Phytozome:Brara.H02126" mRNA complement(join(18909792..18910229,18910320..18910580, 18910657..18910771,18910861..18910985,18911059..18911181, 18911286..18911480,18911574..18911747,18911826..18911939, 18912020..18912215,18912289..18912403,18912472..18912818, 18913140..18913291)) /locus_tag="BRARA_H02126" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02126" CDS complement(join(18909981..18910229,18910320..18910580, 18910657..18910771,18910861..18910985,18911059..18911181, 18911286..18911480,18911574..18911747,18911826..18911939, 18912020..18912215,18912289..18912403,18912472..18912811)) /locus_tag="BRARA_H02126" /codon_start=1 /product="hypothetical protein" /protein_id="RID51467.1" /db_xref="Phytozome:Brara.H02126" /translation="MTGQDINGIEFHQQRHGLLKDQVQLVKRRDSVRYEIVPIQDRLS FEKGFFAVIRACQLLSQKNDGIVLVGVAGPSGAGKTVFTEKILNFLPSVAVISMDNYN DASRIVDGNFDDPRLTDYDTLLKNLEDLKEGKQVEVPVYDFKSSSRVGYRTLDVPASR IVIIEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQQPEEIIHQISETV YPMYKAFIEPDLQTAQIKIINKFNPFTGFQSPTYILKSRKDVSVDQIKAVLSEGHTET KEETYDIYLLPPGEDPESCQSYLRMRNKDGKYSLMFEEWVTDTPFVISPRITFEVSVR LLGGLMALGYTIATILKRNSHVFATDKVIVKIDWLEQLNRHYLQVQGKDRQVVQSTAE QLGLEGSFIPRTYIEQIQLEKLINEVMALPDDLKNKLSLDEDLVSSSSPKEALLRASA DRVSMRNKNLRGMSQSYSTQRDKNISKLAGYSSSDRRYEERNHDSPANEGFMTQLSEQ ISSLNERMDEFTNLIEELNSKLSCNKNPPTQQSIEVCNGSAPTSYFISGLDNGCLTNA IMPHSSSSSQLAKDSPLMEEISTLSRGQRQVMHQLDNLCNLIREDSAERSRLARTGSS NSSRSSKSFFLSSVESSSLPLVLTTLALCSVGVVVIKSYINNRQ" gene complement(18917031..18918854) /locus_tag="BRARA_H02127" /db_xref="Phytozome:Brara.H02127" mRNA complement(join(18917031..18917247,18917365..18917579, 18917696..18917881,18917997..18918152,18918250..18918470, 18918573..18918854)) /locus_tag="BRARA_H02127" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02127" CDS complement(join(18917213..18917247,18917365..18917579, 18917696..18917881,18917997..18918152,18918250..18918470, 18918573..18918704)) /locus_tag="BRARA_H02127" /codon_start=1 /product="hypothetical protein" /protein_id="RID51468.1" /db_xref="Phytozome:Brara.H02127" /translation="MDLKILNWDWNQESYPSSSDLWVLIFFAPFFLFLRLILDRSIFE RAARRVVFPRGNCVDSNGRRKRMVKFKESAWKCLCSISTEALALYVTYNEPWFKDTRC FWLGPGKQIWPDQKIKLKMKGLYTFVGGLNVYSLFALFFWETRRSDFKVMIVHHIVTS SLIILSYVFRFSRVGSVILALLDITDVFAEIGKMCKYSGQESMATVSFILFFLLWTAL RLIYYPLWILWGTSYESINVKLEWDKKHSMEITGIETGLPSTMYYIFNTFLWCLQILH IYWWVLICRMFIIQIRSEDKIARDVRSDSEGEDDEHQD" gene complement(18920544..18924605) /locus_tag="BRARA_H02128" /db_xref="Phytozome:Brara.H02128" mRNA complement(join(18920544..18920756,18920886..18921085, 18921165..18921350,18921452..18921607,18921707..18921927, 18922027..18922170,18924455..18924605)) /locus_tag="BRARA_H02128" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02128" mRNA complement(join(18920544..18920756,18920886..18921085, 18921165..18921350,18921452..18921607,18921707..18921927, 18922027..18922509)) /locus_tag="BRARA_H02128" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02128" CDS complement(join(18920722..18920756,18920886..18921085, 18921165..18921350,18921452..18921607,18921707..18921927, 18922027..18922158)) /locus_tag="BRARA_H02128" /codon_start=1 /product="hypothetical protein" /protein_id="RID51470.1" /db_xref="Phytozome:Brara.H02128" /translation="MDLKILNWDWNQESYPASSDFWVLIFFAPFFLILRFILDRSIFE RAARRVVFPRGNCVDSNGRRKRMVKFKESAWKCLCSFSIETLALYVTYKEPWFKDTRC FWLGPGDQIWPDQKIKLKMKGLYMFVGGLNVYSFFALFFWETRRSDFKVMIVHHIVTS FLIVLSYVFRFARLGSVILALHEISDVFLEIGKMCKYSGLEARTSISFILFFLSWTAL RLVYYPLWIIWSTSYESIKVKLEWDKKHRMETGLPLTVYYVFNTLLWCLQILHIYWWV LICRVLISQIRSKGKIDRDVRSDTEGEDDEHQD" CDS complement(join(18920722..18920756,18920886..18921085, 18921165..18921350,18921452..18921607,18921707..18921927, 18922027..18922158)) /locus_tag="BRARA_H02128" /codon_start=1 /product="hypothetical protein" /protein_id="RID51471.1" /db_xref="Phytozome:Brara.H02128" /translation="MDLKILNWDWNQESYPASSDFWVLIFFAPFFLILRFILDRSIFE RAARRVVFPRGNCVDSNGRRKRMVKFKESAWKCLCSFSIETLALYVTYKEPWFKDTRC FWLGPGDQIWPDQKIKLKMKGLYMFVGGLNVYSFFALFFWETRRSDFKVMIVHHIVTS FLIVLSYVFRFARLGSVILALHEISDVFLEIGKMCKYSGLEARTSISFILFFLSWTAL RLVYYPLWIIWSTSYESIKVKLEWDKKHRMETGLPLTVYYVFNTLLWCLQILHIYWWV LICRVLISQIRSKGKIDRDVRSDTEGEDDEHQD" gene 18924454..18925435 /locus_tag="BRARA_H02129" /db_xref="Phytozome:Brara.H02129" mRNA join(18924454..18924722,18924807..18925435) /locus_tag="BRARA_H02129" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02129" CDS 18924816..18925229 /locus_tag="BRARA_H02129" /codon_start=1 /product="hypothetical protein" /protein_id="RID51469.1" /db_xref="Phytozome:Brara.H02129" /translation="MESPRDHGGSEEEEEYNSCESGWTMYIEDAFGGNGHSSIVVVDD DDDPQVKEADDGGDEESDDSMASDASSGPSNQLLKNINKHAARENVSKKVYIQKRQHT EKTLSQEEEKSEVKARTRTSAASRVQSKGKVSKTK" gene 18927553..18928308 /locus_tag="BRARA_H02130" /db_xref="Phytozome:Brara.H02130" mRNA 18927553..18928308 /locus_tag="BRARA_H02130" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02130" CDS 18927666..18928256 /locus_tag="BRARA_H02130" /codon_start=1 /product="hypothetical protein" /protein_id="RID51472.1" /db_xref="Phytozome:Brara.H02130" /translation="MLLGGPISTPPPSLRLRATSNPQNAAVQPSQAAFPSATQRQPPI YSITDEDLQSKGFLLRRTPEGLNLDHLNSVFVAVGFPRRDTGKIEVALRHTDAMLWVE YEKTRRPVAFARATGDGVFNAIIWDVVVDPTFQSLGLGKAVMERLIEDLRRKGICNIA LYSEPRVLGFYRPLGFVSDPDGIRGMVFVRKQRNKK" gene complement(<18928362..>18928502) /locus_tag="BRARA_H02131" /db_xref="Phytozome:Brara.H02131" mRNA complement(<18928362..>18928502) /locus_tag="BRARA_H02131" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02131" CDS complement(18928362..18928502) /locus_tag="BRARA_H02131" /codon_start=1 /product="hypothetical protein" /protein_id="RID51473.1" /db_xref="Phytozome:Brara.H02131" /translation="MIGISVQTSMDLIVAGISLMIGLGFFALITTILCSVAFLHHAKS TS" gene <18937570..>18939144 /locus_tag="BRARA_H02132" /db_xref="Phytozome:Brara.H02132" mRNA join(<18937570..18937908,18938965..>18939144) /locus_tag="BRARA_H02132" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02132" CDS join(18937570..18937908,18938965..18939144) /locus_tag="BRARA_H02132" /codon_start=1 /product="hypothetical protein" /protein_id="RID51474.1" /db_xref="Phytozome:Brara.H02132" /translation="MANPNWPSLLMLVLALFTIVVHSSAQYSPPSPPPYAYSYPWLPP YVYKSPPYAYSSPPPPPYVYNSPPPPPYVYSSPPPPPYVYKSPPPPPYVYSSPPPPPP YVYKSPPPPPYSPPPPPYVYSSPPPPPYVYKSPPPPPYVYNSPPSPSYIYSSPPPPSY SYSYSSPPPPIY" gene complement(18940515..18943174) /locus_tag="BRARA_H02133" /db_xref="Phytozome:Brara.H02133" mRNA complement(join(18940515..18942838,18942985..18943174)) /locus_tag="BRARA_H02133" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02133" CDS complement(18940842..18942698) /locus_tag="BRARA_H02133" /codon_start=1 /product="hypothetical protein" /protein_id="RID51475.1" /db_xref="Phytozome:Brara.H02133" /translation="MSRKLDSPIQTQMAVSVLKSPLTGEFHEFNSLGLGGMKSPVVGR RRVFVQTDTGCVLGLDLDRSDNAHTVKRKLQVALHFPTDESSLTFGDLVLKNDLTAVR SDSPLLLTRNSFHRSSSTPCLSPMRGGGDVQQHRDESGSIEILGNSVCLRQVVKDITK ALKRDVEPVAVHSGLGGVYFFKNVRGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGL KRSVRVGETGYREVAAYLLDKDGFAKVPPTALVKISHSIFNVNNGVKGGSKRMEKMLV SKIASLQQFIPHDYDASEHGTSSFPVSAVHRVGILDIRILNTDRHSGNLLVRKLDGVG MFGQVELIPIDHGLSLPETLEDPYFEWIHWPQASIPFSEEELKYIASLDPFEDCEMLR RELPMVREASLRVLILCTVLLKEAAAYGLCLAEIGEMMTREVRPGDEEPSEIEVVCLE ARSLIGEKEAESPRSDLGGEVEFQFDLDCEEAFPLGFTRSHLPKVEEEEEDDEEEEDI KEKEGNVEKMQAVTKLSMSLKTTLLGEKSQKYQKHPGTRAESAYASSAHRSAEEQIPA STSFVKLSDMSEEEWTMFLEKYQELLYPAFAKRKAITLGQNLRQRLGTSCQF" gene complement(18950829..18954924) /locus_tag="BRARA_H02134" /db_xref="Phytozome:Brara.H02134" mRNA complement(join(18950829..18951122,18951363..18951505, 18952285..18952326,18952705..18952746,18952869..18952968, 18953096..18953166,18953285..18953363,18954565..18954924)) /locus_tag="BRARA_H02134" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02134" mRNA complement(join(18950829..18951122,18951357..18951505, 18952285..18952326,18952705..18952746,18952869..18952968, 18953096..18953166,18953285..18953363,18954565..18954924)) /locus_tag="BRARA_H02134" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02134" CDS complement(join(18951020..18951122,18951363..18951505, 18952285..18952326,18952705..18952746,18952869..18952968, 18953096..18953166,18953285..18953363,18954565..18954749)) /locus_tag="BRARA_H02134" /codon_start=1 /product="hypothetical protein" /protein_id="RID51476.1" /db_xref="Phytozome:Brara.H02134" /translation="MGRGRVEMKRIENKINRQVTFSKRRAGLLKKAHEISILCDAEVS LIVFSHKGKLFEYSSESCMEKVLERYERYSYAEKQLKAPDSHVNAQTNWSMEYSRLKA KIELLERNQRHYLGEDLESISIKELQNLEQQLDTSLKHIRSRKNQLMHESLNHLQRKE KEILEENSMLTKQIKERESILRTHQNQSEQQNRSHHVAPQPQPQLNPYMISHQASPFL NMGGMYQGEDPTAVRRNRLDLTLEPIYNCNLGYFAA" CDS complement(join(18951359..18951505,18952285..18952326, 18952705..18952746,18952869..18952968,18953096..18953166, 18953285..18953363,18954565..18954749)) /locus_tag="BRARA_H02134" /codon_start=1 /product="hypothetical protein" /protein_id="RID51477.1" /db_xref="Phytozome:Brara.H02134" /translation="MGRGRVEMKRIENKINRQVTFSKRRAGLLKKAHEISILCDAEVS LIVFSHKGKLFEYSSESCMEKVLERYERYSYAEKQLKAPDSHVNAQTNWSMEYSRLKA KIELLERNQRHYLGEDLESISIKELQNLEQQLDTSLKHIRSRKNQLMHESLNHLQRKE KEILEENSMLTKQIKERESILRTHQNQSEQQNRSHHVAPQPQPQLNPYMISHQASPFL NMG" gene complement(18957826..>18959919) /locus_tag="BRARA_H02135" /db_xref="Phytozome:Brara.H02135" mRNA complement(join(18957826..18958178,18958244..18958375, 18958572..18958715,18958791..18959030, 18959449..>18959919)) /locus_tag="BRARA_H02135" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02135" CDS complement(join(18957891..18958178,18958244..18958375, 18958572..18958715,18958791..18959030,18959449..18959919)) /locus_tag="BRARA_H02135" /codon_start=1 /product="hypothetical protein" /protein_id="RID51478.1" /db_xref="Phytozome:Brara.H02135" /translation="MGNSFTCISHEEEQRPKKSSAGRGKGGGSNTRKYMRRLSLSCSG SSSTSSSRKGVIKPKKKIRERHHQDHHGHDKNSHIIQEQTLAATNLLFNQTPRNSNYV VPPNFRQSTSSSGGSGPVSAVQSPKKSTCGFVRTSSSRRKSSVNPVIKPNQVPDKELK KVEVSETKRFVLVHGGGFGAWCWYRTITLLEKHGFQVDAVDLTGSGISSTDTNTITSL AHYSKPLLHFLESLKPNEKVILVGHDFGGACMSYAMEIFPTKISKAVYISAVMLANGQ STLDLFNQPLGSNDLIQQAHIFLYANGKKNPPTAVDYDRSLLRDFIFHKSPPKDLALS SVSIRPFPFAPVVEKLHVSEKNYGSTRRFYITTMEDCAIPVPLQEAMIKLNPPEQVFQ LKGADHAPFFSRPQSLNRILVEIAKLPFKKSS" gene complement(<18961107..>18964012) /locus_tag="BRARA_H02136" /db_xref="Phytozome:Brara.H02136" mRNA complement(join(<18961107..18961425,18961511..18961710, 18961787..18962018,18962125..18962310,18962391..18962551, 18962625..18962828,18962905..18963247,18963329..18963545, 18963631..18963711,18963800..18963849, 18963936..>18964012)) /locus_tag="BRARA_H02136" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02136" CDS complement(join(18961107..18961425,18961511..18961710, 18961787..18962018,18962125..18962310,18962391..18962551, 18962625..18962828,18962905..18963247,18963329..18963545, 18963631..18963711,18963800..18963849,18963936..18964012)) /locus_tag="BRARA_H02136" /codon_start=1 /product="hypothetical protein" /protein_id="RID51479.1" /db_xref="Phytozome:Brara.H02136" /translation="MAQGELKSSVLNRKRNPKSPVASRSSKRQKIAEHRKSLPIASEE ERLIEAVQKNDILIIVGETGSGKTTQLPQFLFNAGFCREGKMVGITQPRRIAALTIAK RVAEECDVRLGQKVGYSVRFDDTTSSSTRLKYMTDGFLLREALVDRLLSRYSVIIIDE AHERTVHTDVLLALLKKVQRERSEIGGGVLRGCQGRKVSPLKLIVMSASMDARVFCDY YGGAKDFHVEGRQFDVDIFYTVHPETDYVDAALNTIFQIHSEEEEGDILVFLTGQEEI ESVERLVQEKLKHLPEDERKLLPLAIFSALPSEQQMRVFAPAPIGFRKVVLATNIAET SITIPGIRYVVDSGVVKARTYDPNKGMETLDVVPVSKAQAIQRSGRAGRDGYGKSFHL YPERDFWKLEDSTKPEIKRCNLSNVILQLKALGIDDILGFDFIDKPSRSAIVKALAEL HLLGALTDDCKLAKPAGEQMARLPLEPVYSRALILANQFNCLEEMLIIVAMLSVESIF YDPRQKREEARTSRNHFVSVEGDHLTYLSVYRELDEFLEERKAEKSEAKVEKIMRKWC KVNFVNSRSLKHARDIYRQIRGNVEQMGFNVSSCGNDMLEYRRCIAASFFLKAAQRQM DGTYRALESGEIVHIHPSSVLFRSKPECVIFDELMQTSQKYINNLTRIDPLWLAELAP HHYKTEE" assembly_gap 18965273..18975272 /estimated_length=unknown /gap_type="between scaffolds" gene 18975273..>18979286 /locus_tag="BRARA_H02137" /db_xref="Phytozome:Brara.H02137" mRNA join(18975273..18975342,18975472..18975528, 18975610..18975714,18976099..18976203,18976342..18976449, 18976549..18976680,18976789..18976971,18977231..18977380, 18977459..18977596,18977750..18977869,18977987..18978037, 18978133..18978180,18978323..18978472,18978570..>18979286) /locus_tag="BRARA_H02137" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02137" CDS join(18975310..18975342,18975472..18975528, 18975610..18975714,18976099..18976203,18976342..18976449, 18976549..18976680,18976789..18976971,18977231..18977380, 18977459..18977596,18977750..18977869,18977987..18978037, 18978133..18978180,18978323..18978472,18978570..>18979286) /locus_tag="BRARA_H02137" /codon_start=1 /product="hypothetical protein" /protein_id="RID51480.1" /db_xref="Phytozome:Brara.H02137" /translation="MSSRSHTLFTLMIESSATGDEYDGVIFSQLNLIDLAGSESSKTE TTGLRRKEGSYINKSLLTLGTVIGKLSEGKATHIPYRDSKLTRLLQSSLSGHGHVSLI CTITPASSSNEETHNTLKFASRAKSIEIYASRNQIIDEKSLIKKYQREISVLKLELDQ YRKGMLVGVNHEELMSLKQQLEEGQVKMQSRLEEEEEAKAALMSRIQKLTKLILVSTR NSIPGYLGDVPTLQRSLSAGKDDKLASPLLDNDNPGSPSSTLALVSDASLGFSHQRSS SKLNGETSPGAESTQGVMTPDEIDLLVEQIKMLAGEIAFSTSTLKRLVDHSVDDPENS QTQIQNLEHEIQEKQRQMRALEQHIIESGEASIANASLVEMQQKVMSLMSQCHEKSFE LEIKSADNCILQEQLQKKCAENKELHEKVNLLEQRLNEVSSEKSSPSCSNNAVSGEYA DELKRKIQSQEIENEKLKLEHVQIVEENSGLRVQNQKLAEEASYAKELASAAAVELKN LASEVTKLSLQNTKLGKELAAARDLAQTRNPVNGVNNRKYSDGVRSGRKGRISSGRSS VDEFDSWNLDPENLKMELQARKQREAALESALAEKEFIEDEYRKKAEEAKRREEALEN DLANMWVLVAKLKKDNGALSEVSGADSARELEKNQSNIVLKERQVVNAPRQPEVVVVA KTEETPKEEPLVARLKV" assembly_gap 18979289..18989288 /estimated_length=unknown /gap_type="between scaffolds" assembly_gap 18991242..19001241 /estimated_length=unknown /gap_type="between scaffolds" gene <19005971..19011793 /locus_tag="BRARA_H02138" /db_xref="Phytozome:Brara.H02138" mRNA join(<19005971..19005979,19007188..19007208, 19007397..19007462,19007576..19007751,19007831..19007903, 19007986..19008051,19008124..19008207,19008308..19008382, 19008460..19008543,19008620..19008652,19008979..19009284, 19009368..19009538,19009615..19009805,19009885..19010545, 19010644..19011141,19011223..19011329,19011428..19011527, 19011615..19011793) /locus_tag="BRARA_H02138" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02138" CDS join(19005971..19005979,19007188..19007208, 19007397..19007462,19007576..19007751,19007831..19007903, 19007986..19008051,19008124..19008207,19008308..19008382, 19008460..19008543,19008620..19008652,19008979..19009284, 19009368..19009538,19009615..19009805,19009885..19010545, 19010644..19011141,19011223..19011329,19011428..19011527, 19011615..19011767) /locus_tag="BRARA_H02138" /codon_start=1 /product="hypothetical protein" /protein_id="RID51481.1" /db_xref="Phytozome:Brara.H02138" /translation="MRGKKKKDEKAREKELKKLKALEKAKKLEELKAKQEKGGTNASQ KKKSHKRDDASEENPEDFVDPETPLGERKRLSSQMAKQYSPAAVEKSWYAWWEKSDLF KADAKSSKPPFAIVLPPPNVTGVLHIGHALTVAIEDTLIRWKRMSGYNALWVPGLDHA GIATQTVVEKKLALKKLTRQDLTREEFLNEVWKWKDENACTILTQLRRLGASLDWSRE CFTMDEQTSKAVEYMDIKEKTLLNVPGYEKPVEFGLLTSFAYPLEGGLGEEVVVATTR VETMLGDTAIAIHPDDARYKHLHGKFAVHPFNGRRLPIICDGTLVDPDFGTGCVKITP AHDPNDCELGKRHNLEFINIFTDDGKINTNGGPDFTGMPRFAAREALVEALKKQGLYR GAENKEMRLGLCQRTSDVIEPVIKPQWYLNCSMMAKEALNVAESKKLEFIPKQYTAEW TRWLENIRDWCISRQLVWGHRIPAWYASLEEDERREVGAYNDHWIVARNEEEARKEAA EKFGGKNKFELRQDEDVLDTWFSSGIFPLSVLGWPDETDDFKAFYPTSLIETGHDILF FWVARMVMMGIKLSGGEVPFNKVYLHPMIRDAHGRKMSKSRGNVIDPIEVIEGATLDA LHKRLEKGNLDKKELEMAKKGQENDFPDGISECGVDALRFALVSYTAQSEKINLDILR VVGYRQWCNKLWNAVRFALIRLGDGYAPTMVLSPETMPFSCQWILSELNKTISKTVES LDAFDLSEAANTVYAWWQYQFCDVFIEAIKPYFANAPLAASERAHAQDALWVSLETGL RLLHPFMPFVTEELWQRLPSPKDCERKASIMICDYPSPIENWTNEKVEKEMETVLATV KCLRALRAALLEKQKNERLPAFALCENNVTSEIVKSHELEIRTLANLSSLEVLLKGEH AAPAGSAVETVNENLKVYLKVDRAMNAEAEQEKIRNKIAELEK" gene complement(<19018171..>19019502) /locus_tag="BRARA_H02139" /db_xref="Phytozome:Brara.H02139" mRNA complement(join(<19018171..19018250,19018341..19018489, 19018561..19018670,19018754..19018841,19019033..19019339, 19019424..>19019502)) /locus_tag="BRARA_H02139" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02139" CDS complement(join(19018171..19018250,19018341..19018489, 19018561..19018670,19018754..19018841,19019033..19019339, 19019424..19019502)) /locus_tag="BRARA_H02139" /codon_start=1 /product="hypothetical protein" /protein_id="RID51482.1" /db_xref="Phytozome:Brara.H02139" /translation="MSSGSDKDRETFVYLAKLSEQAERYDEMVETMKKVAKVDSELTV EERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNESNVKHIKGYRQKVEDELADIC KDILTIIDQHLIPHATSGEATVFYYKMKGDYYRYLAEFKTEQERKEASEQSLKGYEAA TQAASTDLPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAIAELDTLSEES YKDSTLIMQLLRDNLTLWTSDLPEDGGEDNIKTDEAKQEPAKPAEATEVTNL" gene complement(<19020128..>19021202) /locus_tag="BRARA_H02140" /db_xref="Phytozome:Brara.H02140" mRNA complement(join(<19020128..19020518,19020609..19020739, 19020852..>19021202)) /locus_tag="BRARA_H02140" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02140" CDS complement(join(19020128..19020518,19020609..19020739, 19020852..19021202)) /locus_tag="BRARA_H02140" /codon_start=1 /product="hypothetical protein" /protein_id="RID51483.1" /db_xref="Phytozome:Brara.H02140" /translation="MLRQYSFATIKKMTNSFAHVLGKGGFGIVYKGKLPDGGRDIAVK ILKDVKGNGEEFINEIASMSRTSHVNIVSLLGFCYEENNKAIIYDLMPNGSLDKFIAE NMSAKMEWETLYKIVISDFGLARLCKNNESIMSVLDARGTFGYIAPEVVSRNFGGVSH NSMYFPDWIYKEFERGEIMRCFGDQLTEEEEKIARKMVLVGLWCIQTNPFDCPPMIKV IEMLEGSVEALHIPPKPLLCLPTVAVPEILEDGNETSSVSNPSQFERCTLSAGKDTLR ISISQEDIVQCPDS" gene 19022010..19023526 /locus_tag="BRARA_H02141" /db_xref="Phytozome:Brara.H02141" mRNA join(19022010..19022078,19022442..19022584, 19022686..19022795,19023000..19023142,19023262..19023526) /locus_tag="BRARA_H02141" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02141" CDS join(19022452..19022584,19022686..19022795, 19023000..19023142,19023262..19023304) /locus_tag="BRARA_H02141" /codon_start=1 /product="hypothetical protein" /protein_id="RID51484.1" /db_xref="Phytozome:Brara.H02141" /translation="MGKDAKAGGKGKGKQAGGSDEASSKGKGKAGKAADGLGTCTYVK ARHVLCEKQGKINEAYKKLQDGWLSNGDKVPPAEFAKIAAEYSECPSGKKGGDLGWFP RGKMAGPFQDVAFNTPVGVTSAPFKSTHGYHIILSEGRKN" gene 19025840..19029625 /locus_tag="BRARA_H02142" /db_xref="Phytozome:Brara.H02142" mRNA join(19025840..19026209,19027006..19027131, 19027237..19027312,19027489..19027560,19027762..19027849, 19027952..19028207,19028284..19028399,19028485..19028708, 19028908..19028939,19029028..19029130,19029215..19029625) /locus_tag="BRARA_H02142" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02142" CDS join(19026051..19026209,19027006..19027131, 19027237..19027312,19027489..19027560,19027762..19027849, 19027952..19028207,19028284..19028399,19028485..19028708, 19028908..19028939,19029028..19029130,19029215..19029501) /locus_tag="BRARA_H02142" /codon_start=1 /product="hypothetical protein" /protein_id="RID51485.1" /db_xref="Phytozome:Brara.H02142" /translation="MNKEIAPRRLTMMTMTIIMMMVKMDKTCICAEEISRGSFPKGFV FGTASSAFQHEGAVKEDGRGPTIWDTFSHTFGKITDFSNADVAVDQYHRYEEDVQLMK NMGMDAYRFSISWARIFPNGEGQINEAGIDHYNKLINALLAKGIEPYVTLYHWDLPQA LHDRYLGWLNPQIINDFAAYAEVCFQRFGDRVKHWITFNEPHTFSIQGYDVGLQAPGR CSILFKLTCRSGNSSTEPYIVGHNVILAHATVSDIYRKKYKAKQGGSLGIAFDVMWYE PESNNREDMEAAQRAQDFQLGWFLDPLMFGDYPSSMRSRVGSRLPVFTGSQSNLIKGS LDFVGINHYTTYYARNNATNLIGTLLHDAISDSGTVTLPFKGLSAIGDRASSIWLYIV PRGMRSLMNYVKHRYGNPPVYITENGMDDSNSILIPRKETLKDAKRIRYHHDYLSSLQ AAIKEDGCNVKGYFVWSLLDNWEWAAGYSSRFGLYFVDYRDKLKRYPKDSVHWFTSFL NSTS" gene 19030370..19032891 /locus_tag="BRARA_H02143" /db_xref="Phytozome:Brara.H02143" mRNA join(19030370..19030822,19031084..19031217, 19031315..19032891) /locus_tag="BRARA_H02143" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02143" CDS join(19031091..19031217,19031315..19032411) /locus_tag="BRARA_H02143" /codon_start=1 /product="hypothetical protein" /protein_id="RID51486.1" /db_xref="Phytozome:Brara.H02143" /translation="MGFKRPLDDNKFHELPFKHSRPLGFNDKSMQFEESLQSPLATVV DEGDLLKPQGGETFDEESCFAYPGLDMDGCFDRVMEDCHGEDATHSPHSPRTFAPVES FYSFLLDQPARKQVLTRPDHQAMIPEWEGSLNRNLEPLGTGTCVLPMPAHMDDNIVGK GREFCVCQDMGSIRCVRQHVKEAREDMVKVLGSEKFRDMGLCDMGEEVAQRWSDEDAL LFHEVVYSYPATLGQNFWKHLEAVFLSRTKHEIVSYYFNVFVLRRRAAQNRSMILDID SDDDEWHGGSGGGPLGAQYVEEDFAVESPLHQETEKFNEKVHPLHQEEDASISDNDED DTKEGGSGLCDEHKMNAGYMDMFSGCNEERLNVEDDSCLTFELAHDAVNSVCKKCAKK EETGLGDEQKKVEGV" gene <19033837..>19034784 /locus_tag="BRARA_H02144" /db_xref="Phytozome:Brara.H02144" mRNA <19033837..>19034784 /locus_tag="BRARA_H02144" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02144" CDS 19033837..19034784 /locus_tag="BRARA_H02144" /codon_start=1 /product="hypothetical protein" /protein_id="RID51487.1" /db_xref="Phytozome:Brara.H02144" /translation="MEKMIEREYMCKFCNKKLPSGKSLGGHIRIHTNQYSLLSSSYNG KNNNNKRLAGQREITALTQQQQQLCCSKCGKAFDSLKALWNHMDCCHCEGEKLVMDTE TTSSGPTRKRSKKQFSSESFSNGSSSSSACEIDQDDKNTALSLMMMSMDSRGFTLVVN SLVAESSENNSDDDDDNGAILSDSYSSDSDYFMNGPKKPDSDILVDECLRNNNGDEFV VKEGRSKYELRKSKRVVLPCYESDSCAVDTNSKKAISANKNGKCHECPFCFRVFKSGQ ALGGHKRSHSFVNQEHRVKHKAAGDMQIDLNLPAHDVEE" gene <19036534..>19036887 /locus_tag="BRARA_H02145" /db_xref="Phytozome:Brara.H02145" mRNA <19036534..>19036887 /locus_tag="BRARA_H02145" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02145" CDS 19036534..19036887 /locus_tag="BRARA_H02145" /codon_start=1 /product="hypothetical protein" /protein_id="RID51488.1" /db_xref="Phytozome:Brara.H02145" /translation="MSTTHLNRSISISLLLLLLLLLTTSITYPTAEVNRTMTSRNFRY RTHRFIPRVHLPYYISPCDSFSRPYARSMCFELQRIHRSSRKQPLVSPPPPEIDPRYG VDKRLVPSGPNPLHN" gene <19038849..>19040075 /locus_tag="BRARA_H02146" /db_xref="Phytozome:Brara.H02146" mRNA <19038849..>19040075 /locus_tag="BRARA_H02146" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02146" CDS 19038849..19040075 /locus_tag="BRARA_H02146" /codon_start=1 /product="hypothetical protein" /protein_id="RID51489.1" /db_xref="Phytozome:Brara.H02146" /translation="MEQCQERKFVCRFCSKRFPCGKSLGGHIRTHMNHENSADSDEDE LNNLRVDENGGNSSYGLRENPKKNKRFVVQRSMMALKHQQHQHQQQLLYCRECGKGFP SSKALCGHMACHSEREKIVMDSQSETEASSSPTRRRSKRVVGGSIMNQYGSASSDDTG IEPEQEEMALSLMMMSRDSSFKKGHNMAESSDNYSVILETKSSSGEQLKKMEEFCKKD KLGGVDVLYESDNSDSGYFRNGPKKLDSDVSVDAGFNNYVTMGLNNRFRKEQSGTGSG RSSTKYDLRKSKRGFPCYGRKRTKYELAESVYDSGDQHSLETESCADTIKIHSNKSTM VKKASGGGGAKKKSKGHECPICFRVFKSGQALGGHKRSHFLGNHEHRTLVIQQQHQVA HEMHTLIDLNLPAPID" gene complement(19040315..19043276) /locus_tag="BRARA_H02147" /db_xref="Phytozome:Brara.H02147" mRNA complement(join(19040315..19041601,19041785..19042287, 19042380..19042443,19042922..19043276)) /locus_tag="BRARA_H02147" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02147" CDS complement(join(19040472..19041601,19041785..19042287, 19042380..19042432)) /locus_tag="BRARA_H02147" /codon_start=1 /product="hypothetical protein" /protein_id="RID51490.1" /db_xref="Phytozome:Brara.H02147" /translation="MREVSIKTNNAMPFLRTRVERNLVDEEVKGNNSTDDMNLSDHNT SSENATKRQFPVFLLPNSTSQACNGPLPKGFGSKDGDVFEVSPVKARRKMINLQLPAH EYLDTDETDDNTICAPYKRSKSGRGDDASHHINSSGSCLDVKSSNGLLADLNEPLTLQ GSEPVPHNADVEGQSSQNGWMVLDAGHGGSTQSQRDLHIPSRSDNAVQPQRQSYPATD YSNVIFSRERAHREMEARSVNPQASYDSYVESTVASSNAPRLHNDYRPDFIRPWSHLS SPWKNPRCIPDQHKEVNHLLKRDFDINLPCDDASVSVDQLGAKAFGLKKEGENKAANV RHCFDLNACASEDDDVSGLHSSLRVKTKGTFSVDLEAPPTLQSAEEEDGDSSQDELIK GAAEAIVAISLPDHPNDAASYSSTDAASKSQLSWFADIITSCGDELERKIDGSPQEYS SGEIDYFEAMTLSLQPTKEEDYMPEPLVPENMSFEGTGLNKPRRGKARRGRPKRGDFQ RDTLPGLSSLSRHEVAEDIQLFGGLMRLRASGWGKATRRPRRQRCPPATVILT" gene 19045295..19046576 /locus_tag="BRARA_H02148" /db_xref="Phytozome:Brara.H02148" mRNA join(19045295..19045462,19045737..19045859, 19045942..19045998,19046086..19046160,19046243..19046576) /locus_tag="BRARA_H02148" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02148" CDS join(19045343..19045462,19045737..19045859, 19045942..19045998,19046086..19046160,19046243..19046347) /locus_tag="BRARA_H02148" /codon_start=1 /product="hypothetical protein" /protein_id="RID51491.1" /db_xref="Phytozome:Brara.H02148" /translation="MSDEEHHFESSDAGASKTYPQQAGNIRKGGHIVIKGRPCKVVEV STSKTGKHGHAKCHFVAIDIFTAKKLEDIVPSSHNCDVPHVNRIDYQLIDISEDGFVS LLTDSGGTKDDLKLPTDDNLSALMKSGFEEGKDVVVSVMSSMGEEQICAVKEVGGGK" gene complement(19047078..19048651) /locus_tag="BRARA_H02149" /db_xref="Phytozome:Brara.H02149" mRNA complement(join(19047078..19047453,19047822..19047898, 19047988..19048197,19048267..19048651)) /locus_tag="BRARA_H02149" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02149" CDS complement(join(19047309..19047453,19047822..19047898, 19047988..19048197,19048267..19048479)) /locus_tag="BRARA_H02149" /codon_start=1 /product="hypothetical protein" /protein_id="RID51492.1" /db_xref="Phytozome:Brara.H02149" /translation="MVLRPEYLCTFLLTIAILSHVSQPLHFELKSGKSKCISEDIKSN AMTVGKYTVVNPNEPHPAPQSHKINIRVTSSQGNTYHHAEEVDSGQFSFTAVEGGEYS ACFAAHDHKPDVTLSIDLEWGSGVHYKSLGSLAKKSKVEVMEFEVKALIETVNSIHDE MFYLRDREEEMQDLNRATNSKMAWLGLLSLFVCLGVAGMQFMHLKTFFEKKKVI" gene 19055135..19059346 /locus_tag="BRARA_H02150" /db_xref="Phytozome:Brara.H02150" mRNA join(19055135..19055982,19056387..19056663, 19056754..19056802,19057046..19057117,19057205..19057334, 19057418..19057538,19057628..19057886,19057982..19058086, 19058167..19058637,19058720..19058856,19058957..19059346) /locus_tag="BRARA_H02150" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02150" CDS join(19055454..19055982,19056387..19056663, 19056754..19056802,19057046..19057117,19057205..19057334, 19057418..19057538,19057628..19057886,19057982..19058086, 19058167..19058637,19058720..19058856,19058957..19059053) /locus_tag="BRARA_H02150" /codon_start=1 /product="hypothetical protein" /protein_id="RID51493.1" /db_xref="Phytozome:Brara.H02150" /translation="MKFGEEFDGQMVPEWQQAYMNYPCLKSILQEIQNSRERSRTAGA LRPKKSVYRNFSGLTKRYSRAASYLDLENQDIMVSTRIGEDGFERYETSIMRVAEAGR ESELVFFKTLDLEFDKVNHFYRSKVDEMVQEAVALNKQMDALFAFRIKVDRPTSPWTC SETVAVDVNALDSKEQRRKSLADETGIEIKESSGGDSIKESTPEALSVLDRIKLNKTQ ETPLSTIRNILKLSHQEELKFTRENLKKIEERLKKAFIEFYRKLRHLKNYSFLNTLAI SKIMKKYDKISSRNAAKPYMEMVDKSYLTSSDEINKLMVRVESIFVEHFASSNRSKGM NLLRPIVKKERHRITFSTGFFVGCSVSLVIALVLFIHARNIMGTAGQKLYMETMFPLY SLFGFIVLHMIMYASNIYFWKKYRVNYAFIFGFKEGTELGYRPVLLLSFGLGTLALAA VLINLDMEMDPNTNDYKTITELLPLFVVAIVMAISVCPFNIFYRSSRFFFLAVIFRCI AAPLYKVSLPDFFLADQLTSQVQALRSLQFYVCYYGWGDFRLRRNTCRSSDVYNTFNF IVAVIPYWSRFLQCVRRLIEEKDISQGFNALKYLLTIVAVCLRTAYSLNRGNNWRLAA WVFSALATFYGTYWDIVHDWGFLHNPSKTWLREKLLVPNKAVYYVAMVVNVVLRLAWL QTVLDFNSSFLHRETMIALLAFLEIIRRGIWNFFRLENEHLNNVGKFRAFTSVPLPFN YGEEEESR" gene 19073854..19075470 /locus_tag="BRARA_H02151" /db_xref="Phytozome:Brara.H02151" mRNA join(19073854..19074280,19074500..19074928, 19075035..19075470) /locus_tag="BRARA_H02151" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02151" CDS join(19073896..19074280,19074500..19074928, 19075035..19075282) /locus_tag="BRARA_H02151" /codon_start=1 /product="hypothetical protein" /protein_id="RID51494.1" /db_xref="Phytozome:Brara.H02151" /translation="MFISEKQRWTDEVAAARREASSWDFPFNDINVQHHRRCNTSHEF DILKSPLGDNVEGSNNHNPNYSNNESGKKETTDSGQSWSSSSSKPSVLGRGHWRPAED VKLKELVAIYGPQNWNLIAEKLQGRSGKSCRLRWFNQLDPRINRRAFTEDEEERLMQA HRLYGNKWAMIARLFPGRTDNSVKNHWHVIMARKYREHSSAYRRRKLMINNPLKPQLS NHPSPNPNHHSFISTHHYFTQPFHEFNLTHHLVNNASITPDHNQLVLPIHCFQGYESN ETPMVVSMFGNQIMGGDNVDVMSEGLYEYPYIDSTSHEKGVPNEPMSWIGMEGEDEEV VEKAKQQPHFFDFLGLGTA" gene complement(19077714..>19079217) /locus_tag="BRARA_H02152" /db_xref="Phytozome:Brara.H02152" mRNA complement(join(19077714..19078690,19079026..>19079217)) /locus_tag="BRARA_H02152" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02152" CDS complement(join(19077824..19078690,19079026..>19079217)) /locus_tag="BRARA_H02152" /codon_start=1 /product="hypothetical protein" /protein_id="RID51495.1" /db_xref="Phytozome:Brara.H02152" /translation="NNTHTIKSISASLAKISMSELRDTPIKLFGWTITSSLSPVLKDS SDHSMEYSSSSSFPSLGLHMMNNQSATDNSEQDITSLNISSDLNKESKETSENSYDQH SEITTTTTSEEKTTELKKPDKILPCPRCNSGDTKFCYYNNYNVSQPRHFCRHCQRYWT SGGSMRSVPVGSGRRKNKGWVSTDNYKHISSENNDYNSSSTKILSFESSDSCISEKGK HQPSDSNITKYSVSQDFKKFHGFLPVQVTSSVSPPWPYHQYPLNPSFYHIPVYWGYTV PVSSTLETSTCLGKRTRDELHTKMLESKDTSVRARLDSPSESINDDVSVEDRATQQRA TTGRACNVKHTLRRREIQ" gene complement(<19079901..>19080959) /locus_tag="BRARA_H02153" /db_xref="Phytozome:Brara.H02153" mRNA complement(join(<19079901..19080304,19080306..19080511, 19080525..19080609,19080611..>19080959)) /locus_tag="BRARA_H02153" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02153" CDS complement(join(19079901..19080304,19080306..19080511, 19080525..19080609,19080611..19080959)) /locus_tag="BRARA_H02153" /artificial_location="low-quality sequence region" /codon_start=1 /product="hypothetical protein" /protein_id="RID51496.1" /db_xref="Phytozome:Brara.H02153" /translation="MTTAVEKTKPSQSPPPPPTSFSSLPHDVVLNCLARVSRSYSPIL FLVSKSFRTLMTSPELHATRTLIGKTEDCLYVCLDLDNNNPNPRWFTLSQIPEQQKLI PISLTHYKVHIGFFIGSAIYMIGGFHKRKGKRNSNVSILDCGTVVDSLNCVYLEEPEV YTDVVHGNIYVVGGSRAIKDCGEIYNPKIQTWEPLSPSVDLWIEKVCPLEYLHIEGDK NVRHIISVENGKLVWCNDGWSLVRVLEGLSSNSCFPNNLVSVASLGRGGRVAVWWKKV ELGRQGRHYTNQCKTDIWCAEISFEMRPCLLPPGLQLDELWGVVEWSKSVFTFDGCDS GYDFFLHSAIVTL" gene <19082687..>19082968 /locus_tag="BRARA_H02154" /db_xref="Phytozome:Brara.H02154" mRNA <19082687..>19082968 /locus_tag="BRARA_H02154" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02154" CDS 19082687..19082968 /locus_tag="BRARA_H02154" /codon_start=1 /product="hypothetical protein" /protein_id="RID51497.1" /db_xref="Phytozome:Brara.H02154" /translation="MVLHCRNKGKDLGFKAICFDFRFHLNIRRTAVYTCTFSWHGNVK RFDIFRADRDDNPRSTCGICRECIWHISESGPCRMKRDGGSPYCFPWAS" gene <19084209..>19084664 /locus_tag="BRARA_H02155" /db_xref="Phytozome:Brara.H02155" mRNA <19084209..>19084664 /locus_tag="BRARA_H02155" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02155" CDS 19084209..19084664 /locus_tag="BRARA_H02155" /codon_start=1 /product="hypothetical protein" /protein_id="RID51498.1" /db_xref="Phytozome:Brara.H02155" /translation="MASSTNNQFILVLFSFLLILKTSSSFGNHSSTDGILPFAPKHVV VVNKLTSQAKLIAHCTNKEKDLGVKELLPGSSFDFRFRVNLRKTTRYTCTFEWPGNKV TFDIFRVDRDDTPKSEFGVCRECIWYIYEPAPCRLDRDAGIPYCFDWNS" gene <19085733..>19087514 /locus_tag="BRARA_H02156" /db_xref="Phytozome:Brara.H02156" mRNA join(<19085733..19085894,19085953..19086018, 19086127..19086175,19086632..19086722,19086812..19086884, 19086975..19087160,19087194..>19087514) /locus_tag="BRARA_H02156" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02156" CDS join(19085733..19085894,19085953..19086018, 19086127..19086175,19086632..19086722,19086812..19086884, 19086975..19087160,19087194..19087514) /locus_tag="BRARA_H02156" /codon_start=1 /product="hypothetical protein" /protein_id="RID51499.1" /db_xref="Phytozome:Brara.H02156" /translation="MDNDKNHKTEEPKPRLRWSYELHHRFIDAVNQLGGPNSSVSVPF VLLEPYQVWVRRRLRVLEIPELTLYHLKSHLQKYRLGISERFIGNKQDAGRSQECQSQ EDLGDQLDIIVTEEKHDEPNNRNLQIKEAIEIQMEVQKKLHEQIEMQQQLQVRIEAQG KYLESVLLKAQETLSGYKSFNLYAVASMANRNCLSSSFSALTQADEDNEKPENRGNEL TKSSVDCSLASSESSEAKHNHHSQTIMRRSDKLQFMEIKPAEVMDRKKRRWDDDVLCV EQSIRKKAFGGLDGEDLGLNLNSFKVMETSYKSNYKSNK" gene complement(19087584..19088599) /locus_tag="BRARA_H02157" /db_xref="Phytozome:Brara.H02157" mRNA complement(19087584..19088599) /locus_tag="BRARA_H02157" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02157" CDS complement(19087791..19088384) /locus_tag="BRARA_H02157" /codon_start=1 /product="hypothetical protein" /protein_id="RID51500.1" /db_xref="Phytozome:Brara.H02157" /translation="MATEQQAEVGRETSSASRRFSRNRDLYLFLPFLFSDQESSDGDH NVASWRERIILVNPFTQGMIVLEESSGLNPLLRDLLESREEGHPPASKASIDAMRVVD TDGWGGECVICLEEWKADETVKEMPCKHRFHGGCIEKWLGLHGSCPVCRYEMPIEGDE VERKRSDGGEIWVMFGRSSSGHDGGNSDGNTAGSGGT" gene 19093830..19095725 /locus_tag="BRARA_H02158" /db_xref="Phytozome:Brara.H02158" mRNA join(19093830..19093976,19094070..19094116, 19094206..19094310,19094395..19094475,19094600..19094711, 19094816..19094878,19094976..19095063,19095144..19095208, 19095304..19095400,19095477..19095725) /locus_tag="BRARA_H02158" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02158" CDS join(19093857..19093976,19094070..19094116, 19094206..19094310,19094395..19094475,19094600..19094711, 19094816..19094878,19094976..19095063,19095144..19095208, 19095304..19095400,19095477..19095553) /locus_tag="BRARA_H02158" /codon_start=1 /product="hypothetical protein" /protein_id="RID51501.1" /db_xref="Phytozome:Brara.H02158" /translation="MDISNIARKLDLSNNNLVVRKAAEIRRLCDAQFDSSIIGVGEIC KAVICLEIAASRLQVIFDRQAAVKLSGMSEKAYTRSFNSLQNVIGFKIKLNIKELAIQ FGCVRIIKSVQTILSSYKERFLASLPASRRANADFTRPVFTAAAFYLCAKKQKLKVDK LRLIEVCGTSESEFSCVSTSMTDLCFDCVGVSKEKKDAKDVKGNRELLDVLPGKRRLD DGGYSSDDESSCYKRHKKMEEAKYEDWKSTVVDSIKKNPEKGAKKVIQTSLSFSKKEE TKELQVES" gene complement(19096037..19099409) /locus_tag="BRARA_H02159" /db_xref="Phytozome:Brara.H02159" mRNA complement(join(19096037..19096459,19096541..19096618, 19096694..19097378,19097928..19098138,19098229..19098873, 19099171..19099409)) /locus_tag="BRARA_H02159" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02159" CDS complement(join(19096234..19096459,19096541..19096618, 19096694..19097378,19097928..19098138,19098229..19098864)) /locus_tag="BRARA_H02159" /codon_start=1 /product="hypothetical protein" /protein_id="RID51502.1" /db_xref="Phytozome:Brara.H02159" /translation="MAIKSDNKTRSSVQIFIVFSLCCFFYILGAWQRSGFGKGDSIAL EMTNSASAADCNIVPSLNFETHHAGESSISSAKVKAFEPCAARYTDYTPCHDQKRAMT FPRESMIYRERHCVPENEKLRCLVPAPKGYVTPFSWPKSRDYVPYANAPYKALTVEKA IQNWIQYEGEVFRFPGGGTQFPQGADKYIDQLASVIPMENGTVRTALDTGCGVASWGA YLWSRNVRAMSFAPRDSHEAQVQFALERGVPAVIGVLGSIKLPYPTRAFDMAHCSRCL IPWGANDGMYLMEVDRVLRPGGYWILSGPPINWKINYKAWQRPREDLEEEQRKIEEAA KLLCWEKKYEHGEIAIWQKRVNDEACRSRQDDPRANFCKTDDVDDVWYKKMEACITPY PETSSSDEVAGGELQVFPDRLNAVPPRISSGSVSGVTADAYEDDNRQWKKHVKAYKRI NGLLDTGRYRNIMDMNAGFGGFAAAIESQKLWVMNVVPTIAEKNRLGVVYERGLIGIY HDWCEAFSTYPRTYDLIHANHLFSLYKNKCNADDILLEMDRILRPEGAVIIRDDVDTL IKVKRIISGMRWDSKLVDHEDGPLVNEKVLIAVKQYWVTNSTASH" gene <19105800..>19108015 /locus_tag="BRARA_H02160" /db_xref="Phytozome:Brara.H02160" mRNA join(<19105800..19105818,19105955..19106146, 19106264..19106565,19107266..>19108015) /locus_tag="BRARA_H02160" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02160" CDS join(19105800..19105818,19105955..19106146, 19106264..19106565,19107266..19108015) /locus_tag="BRARA_H02160" /codon_start=1 /product="hypothetical protein" /protein_id="RID51503.1" /db_xref="Phytozome:Brara.H02160" /translation="MAADPSGKMEERNNDGDHKMEEVLLPGFRFHPTDEELVSFYLKR KIQHNPLSIELIRQLDIYKYDPWDLPKFATGEKEWYFYCPRDRKYRNSSRPNRVTGAG FWKATGTDRPIYSSEGNKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRMPSLSEPSPSS KRFFDSPVSPNDSWAICRIFKKTNTTTLRAMPHSFVSSLPSETSIDTMSLSNTSHFSS EKILKTSSHFQVHHENMSSTTKPSSSPTSHVATINPFSYLDFTSYEKTTNVFNPVSSL DQQYLTNLFLATQETQPQFPRLASSNEFPSFLLNTSSSDSAFLGECTSQIDLSVMLAQ EQCPALVSLPQEYQEKGNGEIKSMGGCNEDHHNHCGTLMFDDTGPTVEENHRHHYQDM KHNMTLLESYYSSLSSSNGDLPVCFSTT" gene complement(<19108886..>19109672) /locus_tag="BRARA_H02161" /db_xref="Phytozome:Brara.H02161" mRNA complement(join(<19108886..19108979,19109077..19109186, 19109514..>19109672)) /locus_tag="BRARA_H02161" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02161" CDS complement(join(19108886..19108979,19109077..19109186, 19109514..19109672)) /locus_tag="BRARA_H02161" /codon_start=1 /product="hypothetical protein" /protein_id="RID51504.1" /db_xref="Phytozome:Brara.H02161" /translation="MVQRLVYRSRHSYATKSNQHRIVKTPGGKLVYQTTKKRASGPKC PVTGKRIHGIPHLRPTEYKRSRLSRNRRTVNRAYGGVLSALAVRERIVRAFLVEEQKI VKKVLKLQKAKEKVANKA" mRNA complement(join(19108983..19109186,19109514..>19109672)) /locus_tag="BRARA_H02161" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02161" CDS complement(join(19109052..19109186,19109514..19109672)) /locus_tag="BRARA_H02161" /codon_start=1 /product="hypothetical protein" /protein_id="RID51505.1" /db_xref="Phytozome:Brara.H02161" /translation="MVQRLVYRSRHSYATKSNQHRIVKTPGGKLVYQTTKKRASGPKC PVTGKRIHGIPHLRPTEYKRSRLSRNRRTVNRAYGGVLSALAVRERFVCLFNL" gene 19125694..19126548 /locus_tag="BRARA_H02162" /db_xref="Phytozome:Brara.H02162" mRNA 19125694..19126548 /locus_tag="BRARA_H02162" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02162" CDS 19125759..19126274 /locus_tag="BRARA_H02162" /codon_start=1 /product="hypothetical protein" /protein_id="RID51506.1" /db_xref="Phytozome:Brara.H02162" /translation="MAETTPLKRHREEETLAEEETNKRQKPSSSSYNDQILCLLDDSD ELNLPNNDLASFIHTLQQDISSDDQNGVVSRVSNVEDSSTSCVSSKEDDVDEESKEKV MQHLLEASDDELGLPSNEFGESNYEMIKNDVSQDYIYGDSLLDGFGDAFWELEDEAAN YYTLLQSQLFL" gene complement(<19130367..>19131647) /locus_tag="BRARA_H02163" /db_xref="Phytozome:Brara.H02163" mRNA complement(<19130367..>19131647) /locus_tag="BRARA_H02163" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02163" CDS complement(19130367..19131647) /locus_tag="BRARA_H02163" /codon_start=1 /product="hypothetical protein" /protein_id="RID51507.1" /db_xref="Phytozome:Brara.H02163" /translation="MFEGRPRDSCLVSTLFTMPTHQETKWSFSISTKRPFLNDDESHE TDRRHRKKIHKFLNLDGGGDGENGSSDSGSLIPGMNKDDSISCLLRCSRADYCSIASV SRSLRALIRSGEIYRLRRLQGTLEHWVYFSCHLNEWEAFDPRSKRWMRLPSMPQNECF RYADKESLAVGTDLLVFGWEVSSYVIYRYSLLTNSWSTGKSMNMPRCLFGSASYGEIA VLAGGCDSNGRILDTAEMYNSEDQTWSVLPAMNKRRKMCSGVFMDGKFYVIGGIGVGE GNEPKVLTCGEEFDMKTRAWREIPDMSPPRLINQGNGMSAAAMAPPLVAVVNDQLYAA DHAGMAVRRYDKESRVWVQVGSLPEQAGSMNGWGLAFRACGDQVIVIGGPKAPGEGFI ELNSWVPSDGAPQWHLLGKKQSVNFVYNCAVMSC" gene 19141953..19143795 /locus_tag="BRARA_H02164" /db_xref="Phytozome:Brara.H02164" mRNA join(19141953..19142211,19142327..19142440, 19142533..19142681,19142883..19143015,19143117..19143156, 19143386..19143468,19143569..19143795) /locus_tag="BRARA_H02164" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02164" CDS join(19142101..19142211,19142327..19142440, 19142533..19142681,19142883..19143015,19143117..19143156, 19143386..19143468,19143569..19143589) /locus_tag="BRARA_H02164" /codon_start=1 /product="hypothetical protein" /protein_id="RID51508.1" /db_xref="Phytozome:Brara.H02164" /translation="MGNARILIFTLACVALHSVGKALPHEPQLGSARVVFQTSYGDIE FGFYPTVAPVTVEHIFKLVRLGGYNTNHFFRVDKGFVAQVADVPNGRSAPMNEEQKKV AYKTIPGEFSDVKHVRGILSMGSYFICLVMRLVVFEQYAVFGKVTRGDETLRKLEEVS TRREGIFVMPTERITILSTYYYDTKMESCEEERTVLKRRLEASFLEVERQRMKCFP" gene 19149640..19151100 /locus_tag="BRARA_H02165" /db_xref="Phytozome:Brara.H02165" mRNA join(19149640..19150010,19150649..19151100) /locus_tag="BRARA_H02165" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02165" CDS join(19149882..19150010,19150649..19150804) /locus_tag="BRARA_H02165" /codon_start=1 /product="hypothetical protein" /protein_id="RID51509.1" /db_xref="Phytozome:Brara.H02165" /translation="MSSRRSSRSRQSGSSRISDDQISDLVTKLQHLIPELRRRRSDKV SASKVLQETCNYIRNLHREVDDLSDRLSELLASTDDDSAEAAIIRSLLNY" gene 19155173..19156479 /locus_tag="BRARA_H02166" /db_xref="Phytozome:Brara.H02166" mRNA join(19155173..19155444,19155532..19155902, 19155985..19156479) /locus_tag="BRARA_H02166" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02166" CDS join(19155321..19155444,19155532..19155902, 19155985..19156254) /locus_tag="BRARA_H02166" /codon_start=1 /product="hypothetical protein" /protein_id="RID51510.1" /db_xref="Phytozome:Brara.H02166" /translation="MSCNNGLAFFPANFSLQNHHQEEEDHPQYLLPSCTPPQDFHGFL GKRSPMQNVEGFCNLEMNGEEDFSDDGSKMGEKKRRLNMEQLKTLEKNFELGNKLDSD RKLELARALGLQPRQIAIWFQNRRARSKTKQLERDYDALKRQFESLKDENDLLQTQNQ KLQAQVIALKSKEPIESINLNKEEGSCSNRSENISGDIRPGHPPPSPTATTMQFFHNS SPEQRMVKEENSISNMFCGMDDQTGFWPWLDQQHYN" gene 19161091..19163691 /locus_tag="BRARA_H02167" /db_xref="Phytozome:Brara.H02167" mRNA join(19161091..19161289,19161407..19161753, 19161847..19161985,19162225..19162355,19162941..19163691) /locus_tag="BRARA_H02167" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02167" CDS join(19161232..19161289,19161407..19161753, 19161847..19161985,19162225..19162355,19162941..19163498) /locus_tag="BRARA_H02167" /codon_start=1 /product="hypothetical protein" /protein_id="RID51511.1" /db_xref="Phytozome:Brara.H02167" /translation="MGNCFGSSAKVDSRESPYCGSSRIYAKPSQSSRLSSLTIAPPSY SDDRSSTSLPTPRSEGVLLASPSLKAFTFNDLKTATRNFRPDSVIGEGGFGYVYKGWI NERTLTPSKPGSGIVVAVKKLKDDTPQGHKEWLAEVDYLGRLHHMNLVKLIGYCLKGD YIRLLVYEYMPKGSLESHLFRRGAEPIPWKTRMKVAIGAARGLAFLHDAQVIYRDFKA SNILLDSDFNAKLSDFGLAREGPKGDRTHVSTQVMGTHGYAAPEYVSTGRITTKSDVY SFGVVLLELLSGRPTVDQSKVGVERNLVDWAIPYLGADRRKVFRIMDIKLEGQYPHKG ACLAANIALQCLNQEAKLRPNMLDVLSTLEELQMKSSTSKTVMKMTSSSSPLAEKRRV KAPGASSVVTRGRGPRDR" mRNA join(19162224..19162355,19162941..19163691) /locus_tag="BRARA_H02167" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02167" CDS join(19162257..19162355,19162941..19163498) /locus_tag="BRARA_H02167" /codon_start=1 /product="hypothetical protein" /protein_id="RID51512.1" /db_xref="Phytozome:Brara.H02167" /translation="MKVAIGAARGLAFLHDAQVIYRDFKASNILLDSDFNAKLSDFGL AREGPKGDRTHVSTQVMGTHGYAAPEYVSTGRITTKSDVYSFGVVLLELLSGRPTVDQ SKVGVERNLVDWAIPYLGADRRKVFRIMDIKLEGQYPHKGACLAANIALQCLNQEAKL RPNMLDVLSTLEELQMKSSTSKTVMKMTSSSSPLAEKRRVKAPGASSVVTRGRGPRDR " gene 19167044..19169503 /locus_tag="BRARA_H02168" /db_xref="Phytozome:Brara.H02168" mRNA join(19167044..19167175,19167265..19167326, 19167413..19167560,19167648..19167718,19167797..19167898, 19167962..19168051,19168160..19168234,19168417..19168449, 19168613..19168684,19168785..19168847,19168949..19169067, 19169161..19169503) /locus_tag="BRARA_H02168" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02168" CDS join(19167121..19167175,19167265..19167326, 19167413..19167560,19167648..19167718,19167797..19167898, 19167962..19168051,19168160..19168234,19168417..19168449, 19168613..19168684,19168785..19168847,19168949..19169067, 19169161..19169182) /locus_tag="BRARA_H02168" /codon_start=1 /product="hypothetical protein" /protein_id="RID51513.1" /db_xref="Phytozome:Brara.H02168" /translation="MAMQTGIGLSRIFLLAGAGYTGTIMMKNGKLSDILGELQSLVKG MERSGEEGDSDVSDAIAAQVRRLAMEVRQLASARQITVMNGVSGANLQALAVPAAALG ALGYGYMWWKGLSFTDLMYVTKANMATAVANLTKNLEQVSATLAAAKRHLTQKIQNMD DKVEKQIDLSKEIKNQVTLARGDINSLENELQSLNDLISGLDGKLDTLEYKQDVTNVC MLHLYNYFGGKSTKLPDMEQLQLPVNQKARNLLGDVGTKGLKNFAEQLLISNDTEGGA TTVRRIGISRANDKSGPLLSRVASAGC" gene 19170952..19173106 /locus_tag="BRARA_H02169" /db_xref="Phytozome:Brara.H02169" mRNA join(19170952..19171112,19171203..19171355, 19171699..19171917,19172025..19172140,19172251..19172417, 19172504..19172658,19172737..19173106) /locus_tag="BRARA_H02169" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02169" CDS join(19171074..19171112,19171203..19171355, 19171699..19171917,19172025..19172140,19172251..19172417, 19172504..19172658,19172737..19172832) /locus_tag="BRARA_H02169" /codon_start=1 /product="hypothetical protein" /protein_id="RID51514.1" /db_xref="Phytozome:Brara.H02169" /translation="MGTLDLPHASSFKGGSETFLRDVLESILKTYLRKNPMAKTVWEL VQSVDNEKISYDHFFFRTFKVDGYGIESLSSFFMDYGYKIGGRLEFPKNKVQLVWLSP PDIHVSGDGHGLGNGPLPRLVIAELLVDELSLESQEIIRKYLKPEGGKQAILSSTLGS LIWEKPTSAEFNQLVKESEYAAWALIHGYTLNHLAVAVHRLKHRFSDINYVQEYFQEN GFKLNKVGGDILNESEDGLLLQVTLASEKVAIEFADGVTEPITASFIEFVQRLVLPQF KDVPIDEIKEFHRREGLEQANANRIMQSTLSQHKNETN" gene 19174864..19176584 /locus_tag="BRARA_H02170" /db_xref="Phytozome:Brara.H02170" mRNA join(19174864..19175085,19175158..19175313, 19175389..19175547,19175609..19175767,19175863..19175994, 19176070..19176234,19176312..19176584) /locus_tag="BRARA_H02170" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02170" CDS join(19174893..19175085,19175158..19175313, 19175389..19175547,19175609..19175767,19175863..19175994, 19176070..19176234,19176312..19176487) /locus_tag="BRARA_H02170" /codon_start=1 /product="hypothetical protein" /protein_id="RID51515.1" /db_xref="Phytozome:Brara.H02170" /translation="MSELNEAPSPADEEEVWSWGAGTDGQLGTSKLQDEHLPQLLSLT SLPSISMLACGGAHVIALTSGGKVFTWGRGNSGQLGHGDNLNTSLPKLLSFFDDDYFI SQASAGWSHSAFVSDSGSLFTCGNGSFGQLGLGDNISLTSPAKVSYFVDKSVKMVACG MRHSLVLFSENQVCGFGSGKRGQLGVSSERTKSVNLPCAVSGLEDVEVVRIAANGDHS AALSANGELFTWGRGFCGSPDVQTPQCLPSYESFRDVALGWNHALLLTVDGKVFKLGN TLDKQSEKQQLQEGSSETLLEKVHGFDGVKVVQIAAGAEHSAAVTESGEVQTWGWGEH GQLGLGDTNDQTNPQLVSLGSGDLQTKETKVYCGSGFTYVVRRKQ" gene complement(19177589..19179735) /locus_tag="BRARA_H02171" /db_xref="Phytozome:Brara.H02171" mRNA complement(join(19177589..19177862,19178119..19178195, 19178289..19178354,19178819..19178964,19179033..19179735)) /locus_tag="BRARA_H02171" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02171" CDS complement(join(19177748..19177862,19178119..19178195, 19178289..19178354,19178819..19178964,19179033..19179669)) /locus_tag="BRARA_H02171" /codon_start=1 /product="hypothetical protein" /protein_id="RID51516.1" /db_xref="Phytozome:Brara.H02171" /translation="MATTTATSDAGEGPVMALINKRLRALRKKLNRITQMEESISQGK TLNKEQQEVLRSKPSVVVLIEELDKLRAPLSAAVSEEIALATHHTSSDQTTAVAPEEE KEVQKLEDLVNLLYFGSLFEVKSQSELASIMMTRTHERGCCLVYDTVTDESTDLLCDK DLDLISRLWGMMVSRPADSSLSHKNALERCVEHAKLWLANSDQPIASNCDVSYASLRE KVKKIMGSNYFTITPEMVVAPVEAAAEAGSYGSFQVAADSEQKEEDASNFKEQESYVN DQSEQPKDESVVTEGEVVQGQQEQGYTQVEGGRSHYNNRGRGRGGGGGGGGGNGHSYN NNHQDSNVSVAS" gene complement(19181651..>19182792) /locus_tag="BRARA_H02172" /db_xref="Phytozome:Brara.H02172" mRNA complement(join(19181651..19182191,19182274..>19182792)) /locus_tag="BRARA_H02172" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02172" CDS complement(join(19181907..19182191,19182274..19182792)) /locus_tag="BRARA_H02172" /codon_start=1 /product="hypothetical protein" /protein_id="RID51517.1" /db_xref="Phytozome:Brara.H02172" /translation="MEIFQKAKAIRMRNSHNKYLSADDNEETVTQDRNGSTKNAQWTI EPVSDSYNVIRLKSCYGKYLTASNERFLLGGTGKKVIQLKPSRVDSCVEWEPVREGSK IKLKTRYGNYLKANGGLPPWRNSVTHDNLHLSATHDSISWYVDVVEILNNPQVTLETE YASHSRTPSSLQNSVVSPPKSDGRTIYYHIVDDEGHVGDESTVGYACKFKGNSVAELT RTLREETCLEDAVVCTRSPLDGKLFPLRLQLPPSIGTLHVVLVPFCASI" gene complement(19183661..19187268) /locus_tag="BRARA_H02173" /db_xref="Phytozome:Brara.H02173" mRNA complement(join(19183661..19184225,19184338..19184402, 19184480..19184564,19184636..19184725,19184817..19184868, 19184945..19185051,19185126..19185230,19185329..19185458, 19185546..19185640,19185727..19185870,19185964..19186065, 19186160..19186258,19186367..19186519,19186622..19186741, 19187164..19187268)) /locus_tag="BRARA_H02173" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02173" CDS complement(join(19184130..19184225,19184338..19184402, 19184480..19184564,19184636..19184725,19184817..19184868, 19184945..19185051,19185126..19185230,19185329..19185458, 19185546..19185640,19185727..19185870,19185964..19186065, 19186160..19186258,19186367..19186519,19186622..19186741, 19187164..19187217)) /locus_tag="BRARA_H02173" /codon_start=1 /product="hypothetical protein" /protein_id="RID51518.1" /db_xref="Phytozome:Brara.H02173" /translation="MKASYCVSQNLSMSLKCMKEDESQIHKYRKGSRYVWWGYEVNTS SDDCIAAINSYSHQVLGYGREKKVILEAPLYDKDCVLGNVLAAHYLISSDLSRAKTYV KAAESHLGKATPYEKAVFKAVNYLISDNMDEDVALELHFKLLKKFPRDLLSWKRVEIL CFYMGRPDLSLPLFKKIIPENRDQDYVYGMLAFPLLELGHLAEAEKAARKAYEINKND TWAHHCLCHVLQTECRFKEAVEFMEGCSASWDSCSSLRYSHNWWHVAVCYLEGGSPLS KVQEIYDHQMCKELEKEDAVATDVYMEALGLLLRLDTRDKLDEFLDRLKILANCLTDI GMWYQEWLFDITIIWALSKVGNTSQAHVLLEGLKSRTSKMSKKKQQLMQKAIQVAEAV YEYGKGNYKKALELLGPDFDAADYKVIGASDLQMDVFNEIWYKLLLLNGESSSAIKVL ERRIRQRDGAPFLWRLLEKSYAMEGNTEAVITACEKAKALEASYFKFD" gene <19190491..>19192689 /locus_tag="BRARA_H02174" /db_xref="Phytozome:Brara.H02174" mRNA join(<19190491..19190832,19190865..19191282, 19191336..19191553,19191618..19191911,19191987..19192397, 19192480..>19192689) /locus_tag="BRARA_H02174" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02174" CDS join(19190491..19190832,19190865..19191282, 19191336..19191553,19191618..19191911,19191987..19192397, 19192480..19192689) /locus_tag="BRARA_H02174" /codon_start=1 /product="hypothetical protein" /protein_id="RID51519.1" /db_xref="Phytozome:Brara.H02174" /translation="MKKPKLENSASQTRSGLAHSLLTLLLFYFLCISFQIPFISRSVS DDVSSFDALPRQMLVGTETNLAILVEEGNKPGRINRVGHAPERKVRDFKTVSELFFSN ESFFFAGGDEFSKMWEGLDSGLITKPEETNPRNEKCPDMVSVNGPEFLNRSKVLVLPC GLTLGSQVTVVATPHWAHAEKGDKTVMVTQFMMELQGLKAVEGEDPPRILHFNPRVRG DWSGRPVIEQNTCYRMQWGSALRCDGRESSDEEDSVDGEVKCERWKRDDESKKTWWLK RLMGGRKKIKHDWPYPFEGGKLFVLTLRAGMEGYHISVNGRHITSFFYRTGFVLEDAT GLAVKGNIDVHSIYASSLPSRNPSFAPQKHLEMQSIWKAPALLPEKPVELFIGILSAG NHFAERMAVRKSWMQHRLVRSSNVVARFFVALHARKEVNVDLKKEADYFGDIVIIPYM DHYDLVVLKTVAICEYGVSTVAAKYIMKCDDDTFVRVDAVIQQAEKVKGGDSLYIGNI NFYHKPLRTGKWAVTYEEWPEEYYPPYANGPGYILSYDIAKFIVDDFEQQRLRLFKME DVSMGMWVEKFNETRPVAVVHSLRFCQFGCIEDYFTAHYQSPRQMICLWDKLQRLGKP QCCNMR" gene <19197127..19198551 /locus_tag="BRARA_H02175" /db_xref="Phytozome:Brara.H02175" mRNA join(<19197127..19197417,19198095..19198223, 19198300..19198551) /locus_tag="BRARA_H02175" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02175" CDS join(19197127..19197417,19198095..19198223, 19198300..19198506) /locus_tag="BRARA_H02175" /codon_start=1 /product="hypothetical protein" /protein_id="RID51520.1" /db_xref="Phytozome:Brara.H02175" /translation="MEAPVLSKPHRYKHDVFLRFQSEEDDFSERLYNALRKEVRVFRN EGMERGGGTDEIKKRLAEAMEDSAAFVLGPYMSMKLDSLPEKKKMIIMKLCWKHARKE VNVDLKKEADYFGDIVIVPYMDHYDLVVLKTVAICEYGVSTVAAKYIMKCDDDTFVRV DAVIQQAEKVKGRDSLCIGNINFYHKPLRTGKWAVTYEVHMLRVFTLT" gene complement(19198555..>19204658) /locus_tag="BRARA_H02176" /db_xref="Phytozome:Brara.H02176" mRNA complement(join(19198555..19198865,19198989..19199539, 19199610..19201199,19201406..19201816,19201900..19203016, 19203180..19203267,19203410..19203621,19204210..19204322, 19204350..>19204658)) /locus_tag="BRARA_H02176" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02176" CDS complement(join(19199003..19199539,19199610..19201199, 19201406..19201816,19201900..19203016,19203180..19203267, 19203410..19203621,19204210..19204322,19204350..19204658)) /locus_tag="BRARA_H02176" /codon_start=1 /product="hypothetical protein" /protein_id="RID51521.1" /db_xref="Phytozome:Brara.H02176" /translation="MMKTHSRLKWDIFLSFQRETSHSFTDRLYEALIKEHVRVWKCHV ERKNQVIGPSLVEAIEDSVAFIVVLSPDYAKSHWCLKELAKLCDLRSSLGRPILPIFY EGPFEMDFEEHSKRFGEEEIQRWREAMKIVGNISGFVHSFRGEETRHNITKRIYDALN VKEKFRVFRDNDGLEGGGDETSPNIVEAMKDSAASVVVSYALHVRNVSETPVLFASRT LYPLRLLQVISTTRKDPKPLCQRENSVDEDMIELVVKSVLAQVSNTPEKVGEYTVGLE SRVEDLMNLVELKPSCDDVQILGLYGMGGIGKTTLAKAFYNKIIAEFEHRVFISNVRE NSSDQDGLVNLQKSFIKALLRSVPEIEDANGGREKIRTSVHEKKILVVLDDIDNVDQV DALVGEKSWYGEGTVIVITTRDEEILRRLSVNQKYEVNCLTEMQALKLFSYHSLGKEE PTENLMELSKKIVKITGLLPLAIEVFGSHLYDKKEHEWLTELEKLKSTQPGDLQAVLA LSFKSLDDEEKTVFLDIACLFLKMEIKKEEVIDVLKGCGFKAEAALNVLRQKSLVKFL ADETIWMHDQIRDMGRQIDLKEHHGDLETRGRLWDRGEIMNVLNYKKGSSSIQGIVLD FKKKLARDPSAQDIALRTLHDKPGIRSVFSYLKNKFVKFPAEEKPKSSEITIPVEHFV PMKKLRLLQINHVELEGDLKLLPSELKWIQWRGCPLKDVPPVFLARQLAVLDLADSGI RRVQSLHRKEMDGNLKVVNLRGCHSLEAIPDLSNHKSLEKLILERCMLLVKVPRSVGN LSKLLQLDFSYCSNLTEFLVDVSGLKSLEKLFLSGCSNLSVLPENIGDMPCLKELLLG DTAIKNLPESISRLKKLVKLSLKGCRSIQELPLCIGMLASLEELNLTATALQNLPSSI GKLKNLQKLYLMHCASLSKIPDTINELKSLKKLFINGSAVEELPLNPGSLPSLTDFSA GGCKHLKQVPSSIGGLNSLLDLELDWTPIETLPKEIGDLYFIRKLVLRNCKSLKVLPE SIGDMDTLHSLFLEGSNIEKLPESFGKLENLVLLRMNNCKKIKRLPESFGDLKSLHHL FMQESLVVELPESFGKLSKLMTLKMLKKPLFRSCESDSETPSFVEVPNSFSNLMSLEE LDARSWGISGKIPDVLGKLSSLKILKLGNNYFHSLPSSLEGLLNLRELSLYDCRELKC LPPLPCNLEQLNLANCFSLESISDLSKLKILHELNLTNCKKVDDIPGLEHLKALKRLY MSGCNSKCSSEVKKRLSKASLKMMVNLSLPGNRIPDWFSQGPLRYSTQPKRELRGVIL AVVVALEQECKDDYQLPDVVEVQAKILKLDLALYTHTLHLSGVPRTSDDQLHICRYPA MHPMVTMFKDGYTIQVTKREPPVKQGVELKMHGIHLVYEGDDEFKGEESGFTETQFTV SQKLANFFSTVEENESSTECLA" gene complement(<19205273..>19206430) /locus_tag="BRARA_H02177" /db_xref="Phytozome:Brara.H02177" mRNA complement(join(<19205273..19205643,19205727..19205953, 19206039..>19206430)) /locus_tag="BRARA_H02177" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02177" CDS complement(join(19205273..19205643,19205727..19205953, 19206039..19206430)) /locus_tag="BRARA_H02177" /codon_start=1 /product="hypothetical protein" /protein_id="RID51522.1" /db_xref="Phytozome:Brara.H02177" /translation="MVESLIPGLMDDVAELCLSRIPRSSFQIISQVCSRWRRFLRSER YAAVRKLTGSVEELMCVLQDDQYCEVFDCSGNKLGRMPPVPGLLKSGSGLATLDGGNI VFIGGKYHEGEYDNAASADVYEFNPATNRWRKLADMNIPRYNFGYAVVDGLLYVIRGF TSYGDKLLSLEVYNPKTNKWSLMDCPYRPTSSCAFAFSFKSKLFVADSGSSFIDIYDP KMETWDQLDSGQELWVYSCAVIRNKVYLLKIFNSGMGVFDPEKNSWSSVSVPPFNGHE LMFILGQMNNKVILAAQGCEGLCGDIGKEDAAEWRASPIKLSGYDVSSVLINF" gene complement(<19208474..>19212740) /locus_tag="BRARA_H02178" /db_xref="Phytozome:Brara.H02178" mRNA complement(join(<19208474..19208841,19208935..19209161, 19209244..19209346,19212464..>19212740)) /locus_tag="BRARA_H02178" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02178" CDS complement(join(19208474..19208841,19208935..19209161, 19209244..19209346,19212464..19212740)) /locus_tag="BRARA_H02178" /codon_start=1 /product="hypothetical protein" /protein_id="RID51523.1" /db_xref="Phytozome:Brara.H02178" /translation="MASSSSLIPGLADDIAELCLSRIPRSSFQILSQVCWRWRRFLRS EHYGAVRKLTGSVEELMCVLVDDEYWEVFDCSGNKLGRIPPVPGPLKGDGLVVLDGGK IVFIGGRYNSAASADVYELNPATNRWRKLADMNIPRYNFGYAVADGLLYVIRGLSSDD VSILNAEVYNPKTNQWSLMDCPHRPNFVRGFAFSFSSKLFVAGNESSFIDIYDPKEET WEELDSERTLSVSSYTVVRDKVYFKNMDKPGISVFDPDKSSWSSVWMPTIPGRSGTEL GQWNNKVIIFARGFGALGGDLDRENAAVWRATPIILSSFEATSVLINI" gene 19215539..19220853 /locus_tag="BRARA_H02179" /db_xref="Phytozome:Brara.H02179" mRNA join(19215539..19216313,19216756..19217887, 19217960..19218370,19218452..19220050,19220125..19220853) /locus_tag="BRARA_H02179" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02179" CDS join(19215838..19216313,19216756..19217887, 19217960..19218370,19218452..19220050,19220125..19220676) /locus_tag="BRARA_H02179" /codon_start=1 /product="hypothetical protein" /protein_id="RID51524.1" /db_xref="Phytozome:Brara.H02179" /translation="MEASVLSKPPHRYKHDVFLSFRSEEDDFSERLYNALRKEVRVFR NEGMERGGGTDENKKRLAEAMEDSAASVVVFTQHYADSRSCLDELATLCDLGTSLDRP ILPVFFKVDPSHVRKQSFHFEKDFDEHKKIFSKEEVQRWRKAMELVGNLAGYVYIHRE GTVDHVKDEDDTIKLVVKKVLAQVSNTPEKVGEYTVGLESRVKDMMDLVDVKSTGDVQ VLGLHGMGGIGKTTLAKRFYNKILENFEDHRCFISNVRQESSDGLLNLQKTFIKSLFG SVPEIEDVNSGRDKIRGRVREKKILAVLDDVDNVDQVDALVGERSWYGKGSVIIITTR DEEILNKLSVSQKYEVNCLTEVQALKLFSFHSLRKEKPTEKLLELSKKIVKITGKLPL AVEVFGSHMYDKKEDEWLTELEKLENTQPGDLQSVLALSFESLGDEEKTVFLDIACLF LKMEITKEEVMDVLKGCGLNAEAALTILRQKSLVKVLSDKDNTLWMHDQIRDMGMQMV LNESREDPEMRSRLWDRGKIMNILNYMKGTTSIRGIVLDFKKKFVRHSTAVEIGSSNL QNNPGVSSAVSYVKNMFVKFPEEEKPKTSEFTIPIEPFAPMKKLRLLQINHVELEGDL KLLPSELKWIQWRDCPLKDVPPVFLAGKLAVLDLADSGIRRVQTLWFKKVDENLKVVN MRGCHSLEAIPDLSNHKALEKLVFEGCKLLVKVPSSVGNLSKLLHLDFSYCSNLTEFL ADVSKLKHLEKLFLSGCSNLGVLPENIGDMTRLKELLLDGTAIKNLPESIGGLENLEK LSLFGCRSIQDLPVGLDALTSLEELDLSDTALKTLPSSIGGLINLKKLHLMHCTSLTK IPDTINKLISLKEFFINGSGVEELPLNLGPLQSLTEFSAGGCKSLKQIPTSVGMLNNL FQLELDQTPIVTLPEETGDLRFIQKLELRNCKSLKFLPKSIGGMDTLRSVYLTGSDIE ELPEEFGKLEKLVLLQMNKCQKLKRLPNSFGDLKSLYHLYMEETSVVELPESFGNLSK LMTLKMMKKPLFRSDTQGSTSEEPGFVIPDSFSNLESLEEVDARSWGVTGKIPDVFEK LTSVKILNLGNNHFHSLPSSLKKLTNLKELILYDCQELTCLPPLPCNLEKLNLADCLL LKSVSNLSELTMLQELNLTNCKNVNDIPGLEQLKALKRLYMSGCNSDCSAYVKERLSD SKASLKMLRNLSVPGDKIPHWFLQGPVRYIAQPNRELKGVIIAVVVSVSQQSKDDFHV PDVLGIQAQILELDKVLVNHTLNLTGMPRTSDDQLHICRYSQNYPMVSMLKEGYTIQV IKQKMWIKQDADLKMHGIHLVYEGDDDLGAEESDCVTDQTMQTVSQKLANFFGPCKDG EASSQGGSTVT" gene complement(19221410..19223487) /locus_tag="BRARA_H02180" /db_xref="Phytozome:Brara.H02180" mRNA complement(19221410..19223487) /locus_tag="BRARA_H02180" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02180" CDS complement(19221686..19223368) /locus_tag="BRARA_H02180" /codon_start=1 /product="hypothetical protein" /protein_id="RID51525.1" /db_xref="Phytozome:Brara.H02180" /translation="MEYENGKKRKVRNRQQQLKPQFLSPRYLTISLCSFFVLLFFLSS NRISVRSDSLRPSLRLPALSVSSSMDRSLHARLFPPLRVEDRVQFPDHLLLILSTKIE KGVKKDLVCVYHGGRKEETLALPSISSDELDEFRSIVRCPNAPLNYSSSVNIQSRGDT RESEKVHDWSKVVYDAVVDADGDGDTVVVFVKGLTRRPHKESDPSNYKCQFETRVEVL LTQALAAAQEVVRCVLPESLKLNPEPFRVSVRGRSTPALPSVARIYGSDSIEKVKKKH ELCVCTMLWNQAPFLREWIMYHSWLGVERWFIYDNNSDDYIQEEIKLLNSESYNVSRH VWPWIKTQEAGFSHCAVRAKSECNWVGFFDVDEFYYFPTHRSQGLPIENALSSLVSNY TSWGLVGEIRTECHSYGPSGLTSVPSQGVTVGYTCRQANPERHKSIIRPELLTSSLLN EVHHFQMREGVGHVSLVESVAVVNHYKYQVWETFKAKFERRVATYVVDWRENQNQGSK DRAPGLGTEAVEPVDWKRRFCEVWDTGLKDLVLSSFADQVTGYLPWQRQLQE" gene complement(19225928..19228278) /locus_tag="BRARA_H02181" /db_xref="Phytozome:Brara.H02181" mRNA complement(join(19225928..19226253,19226370..19226731, 19226825..19228278)) /locus_tag="BRARA_H02181" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02181" CDS complement(join(19226244..19226253,19226370..19226731, 19226825..19228210)) /locus_tag="BRARA_H02181" /codon_start=1 /product="hypothetical protein" /protein_id="RID51526.1" /db_xref="Phytozome:Brara.H02181" /translation="MSVNGRFPASPPVSLSPASSSSPSSHSQSPPDLKQRVIACLNKL ADRDTLSLAAAELDSIARNLTHDSFASFVNCIHNTDSSSKSPVRKHSVAVLSLMSRHH GESLSPHLSKMVSTVIRRLRDPDSSVRSACAAATADISAHVTRQPFSSVAKPLIETLI QEGDSNVQTGAAVCLAASVEAATDPEPEQLRKSLPKIGKLLKSEAFKAKAALLSAVGS IITAGGAGTKPVLDWLVPVLVEFLSSEDWPARKSAAEGLGRVAAAEEELAWEYKKACT AALESRRFDKVKSVRETMNRALSQWKEVANDVDEALLSPCTSSNIDVGFKSSRLKKST PMIKRSTHRSYVAARQQKENLPRRNVTVSVASKEEEKVHSGGADTIIKHTISEKSRED KKVGGFGCGLRSGSRVAPCSEDGDDSCDSVVKNGKDGVDEIRKDSEELSLIREQLAMI ENQQSSLLELLQKFMGSSQSGIQFLESRVSGLEMALDEISCDLAVSNGRVPKTSSCGG ESCSKLPGAEFLSHKYWRKAEERPMQTRSTASETAAHENSLDQGMQKRGSVFQKSSGR NQFQDSMHTTLQKPTTRGR" gene <19231066..>19231688 /locus_tag="BRARA_H02182" /db_xref="Phytozome:Brara.H02182" mRNA join(<19231066..19231236,19231346..19231447, 19231560..>19231688) /locus_tag="BRARA_H02182" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02182" CDS join(19231066..19231236,19231346..19231447, 19231560..19231688) /locus_tag="BRARA_H02182" /codon_start=1 /product="hypothetical protein" /protein_id="RID51527.1" /db_xref="Phytozome:Brara.H02182" /translation="MFKGAVTRLKEVFKGHQELILGFGTFLSSDYNKTTLQRKKEKPV TIIKAHSFAKKVKARFKGNDVHVHKAFLHNLNMFTSRSKSIIDVYHRFGILFWDHPDL LVELNCFLPASSNGPIREGGIAMLFQSLQFI" gene complement(19232816..>19233682) /locus_tag="BRARA_H02183" /db_xref="Phytozome:Brara.H02183" mRNA complement(join(19232816..19233090,19233261..19233431, 19233589..>19233682)) /locus_tag="BRARA_H02183" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02183" CDS complement(join(19232993..19233090,19233261..19233431, 19233589..19233682)) /locus_tag="BRARA_H02183" /codon_start=1 /product="hypothetical protein" /protein_id="RID51528.1" /db_xref="Phytozome:Brara.H02183" /translation="MAASILSSIIFFLLFIIPSLFVDAHMEHRKLSGTKETMTMRRNL EGSGHANSKIATPGSTSRHSGQKNIQHKPSKTRPDQLKSRISYEALKRPQVPCTSRYR CHRSSTAQPPSSSNNIRS" gene <19234940..>19237841 /locus_tag="BRARA_H02184" /db_xref="Phytozome:Brara.H02184" mRNA join(19234940..19235226,19235573..19235635, 19235736..19236414,19236487..19236894,19236976..19237025, 19237113..19237218,19237332..19237388,19237509..19237841) /locus_tag="BRARA_H02184" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02184" mRNA join(<19235594..19235635,19235736..19236128, 19236166..19236414,19236487..19236894,19236976..19237025, 19237113..19237218,19237332..19237388,19237509..>19237625) /locus_tag="BRARA_H02184" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02184" CDS join(19235594..19235635,19235736..19236128, 19236166..19236414,19236487..19236894,19236976..19237025, 19237113..19237218,19237332..19237388,19237509..19237625) /locus_tag="BRARA_H02184" /codon_start=1 /product="hypothetical protein" /protein_id="RID51530.1" /db_xref="Phytozome:Brara.H02184" /translation="MGEMQVGVATIDDKGLYGLMEDKPVKAVASSNPSLQVSVSFGRF ENDILSWEKFSTFSPNKYLEEVGKFATPGSVAQKKAYFEAHYKKIAERKGEVMVHEKQ MDKNMSFRSVVTDQGSMEGEIDEECNGQFTCEEDKHVTDIASEECQSSVDQVKEEVDN SLDSPKLEIVEEVALMEEKPEEVLQIGEKVVSNETNETPMKEMEIEKPSKLTKKDVNV VINLTRNSPKPNQVRTKQTTNKIATSKKTPPSKEFKNMMKLTKKPPATISNASTPRVY KPASNTTPLSTVKKENVSSSLLRNKQTASKSLHMSMSLGPSTSDPSSLTSTRKSLIME RMGDKDIVKRAFKTFQKSYVFTSSVDEQKQSPAKATSIPSCQKENGRPTKSSCLEKRS GSSAIRSSSYGLKSNNTAENKQKELFKSDARPVEKTRLLKNSKAGVIDAKTRRDSLKP KAKPMQVSLPVRSLPKGSSKKVL" CDS join(19236142..19236414,19236487..19236894, 19236976..19237025,19237113..19237218,19237332..19237388, 19237509..19237625) /locus_tag="BRARA_H02184" /codon_start=1 /product="hypothetical protein" /protein_id="RID51529.1" /db_xref="Phytozome:Brara.H02184" /translation="MKETIIVKECQSSVDQVKEEVDNSLDSPKLEIVEEVALMEEKPE EVLQIGEKVVSNETNETPMKEMEIEKPSKLTKKDVNVVINLTRNSPKPNQVRTKQTTN KIATSKKTPPSKEFKNMMKLTKKPPATISNASTPRVYKPASNTTPLSTVKKENVSSSL LRNKQTASKSLHMSMSLGPSTSDPSSLTSTRKSLIMERMGDKDIVKRAFKTFQKSYVF TSSVDEQKQSPAKATSIPSCQKENGRPTKSSCLEKRSGSSAIRSSSYGLKSNNTAENK QKELFKSDARPVEKTRLLKNSKAGVIDAKTRRDSLKPKAKPMQVSLPVRSLPKGSSKK VL" gene complement(19239286..19241481) /locus_tag="BRARA_H02185" /db_xref="Phytozome:Brara.H02185" mRNA complement(join(19239286..19239491,19240916..19241095, 19241304..19241481)) /locus_tag="BRARA_H02185" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02185" CDS complement(join(19239421..19239491,19240916..19241095, 19241304..19241397)) /locus_tag="BRARA_H02185" /codon_start=1 /product="hypothetical protein" /protein_id="RID51531.1" /db_xref="Phytozome:Brara.H02185" /translation="MTGSILSSIIFFLLFIGLSHFVDAHMEHRKLSGAKDTISMRRNL EGNGGSKIKASPSWSRRSGQKNTQHEPSKTRPDQATHQGRGNYISYAGLKNQTTTSKC TIYERCKREVRP" gene <19243515..>19244556 /locus_tag="BRARA_H02186" /db_xref="Phytozome:Brara.H02186" mRNA join(<19243515..19243520,19243604..19243693, 19243787..19243895,19243999..19244201,19244266..>19244556) /locus_tag="BRARA_H02186" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02186" CDS join(19243515..19243520,19243604..19243693, 19243787..19243895,19243999..19244201,19244266..19244556) /locus_tag="BRARA_H02186" /codon_start=1 /product="hypothetical protein" /protein_id="RID51532.1" /db_xref="Phytozome:Brara.H02186" /translation="MQEDSRRSGKITCAGMYFLGFPVYSLDHTITSIAQEKNPETALF KSLDGFQQCEVTELKAGTHIFAVYGDNFFKSVSYTIQVLCAASFTQEKEDLRSVEAQI LNKRAELAKFETEYREVLVQFTDMTNRYAQEMLLIDELLKQRNEIHSAYTTVPLIERS SNKNRLRKSLFKKAVAEAPAPIVQEEEEEEEEEESSRQRNKKPNTCDDESETLKKKTR WYNLHLKLDKKKPC" gene complement(19258864..19259938) /locus_tag="BRARA_H02187" /db_xref="Phytozome:Brara.H02187" mRNA complement(join(19258864..19259259,19259350..19259938)) /locus_tag="BRARA_H02187" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02187" CDS complement(19259428..19259616) /locus_tag="BRARA_H02187" /codon_start=1 /product="hypothetical protein" /protein_id="RID51533.1" /db_xref="Phytozome:Brara.H02187" /translation="MTSHPPYIYIIHCCFSPYRIRVSKLSEETVETRLAKSTRNVLKS LFFGDVMLVWDRMSYTFD" gene <19267110..>19268776 /locus_tag="BRARA_H02188" /db_xref="Phytozome:Brara.H02188" mRNA join(<19267110..19267233,19267727..19267967, 19268746..>19268776) /locus_tag="BRARA_H02188" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02188" CDS join(19267110..19267233,19267727..19267967, 19268746..19268776) /locus_tag="BRARA_H02188" /codon_start=1 /product="hypothetical protein" /protein_id="RID51535.1" /db_xref="Phytozome:Brara.H02188" /translation="MLHGSYYGVLDIESPADEFIQYFTRKREWKCYNHDSFSFSAAVV EIEAEDWDNRTTTISVRSSNLLLTKYKKLKVTTTITPKEDYNKGSHVKWTIDAEKISG HIQDPDLFIDTAFSIFEDIRNSYLVRKLN" gene 19267145..19267978 /locus_tag="BRARA_H02189" /db_xref="Phytozome:Brara.H02189" mRNA join(19267145..19267233,19267304..19267978) /locus_tag="BRARA_H02189" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02189" CDS 19267312..19267683 /locus_tag="BRARA_H02189" /codon_start=1 /product="hypothetical protein" /protein_id="RID51534.1" /db_xref="Phytozome:Brara.H02189" /translation="MFQIESTDLEKRTVKMSIFWNHISTFFKKIEATVTVTPTVPSCL AWSVDFEKISYDIVDPMWIVSTLLEYIRSTDVYNLYELNHKVNFIDTEYTADECFKAF IGAVKDDGKRFYFDWSFSSYF" gene <19271403..>19271862 /locus_tag="BRARA_H02190" /db_xref="Phytozome:Brara.H02190" mRNA join(<19271403..19271511,19271603..>19271862) /locus_tag="BRARA_H02190" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02190" CDS join(19271403..19271511,19271603..19271862) /locus_tag="BRARA_H02190" /codon_start=1 /product="hypothetical protein" /protein_id="RID51536.1" /db_xref="Phytozome:Brara.H02190" /translation="MEALHGVSSGKFDIKSPADKFFTSFTDDIDSTFDIISKEKITES VGWEKRTVTLNMCGNLVSDSYNTFKATITVTPKEDETDGSRVVWTVEYEKVRHDIGDP MWIIDILINYLKETDEYLCM" gene 19274183..19274859 /locus_tag="BRARA_H02191" /db_xref="Phytozome:Brara.H02191" mRNA join(19274183..19274319,19274411..19274859) /locus_tag="BRARA_H02191" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02191" CDS join(19274223..19274319,19274411..19274673) /locus_tag="BRARA_H02191" /codon_start=1 /product="hypothetical protein" /protein_id="RID51537.1" /db_xref="Phytozome:Brara.H02191" /translation="MEVSSGDLEVKSPADKFFRSVTDDINGPFDNIEDKMETMNLEDR TLTMRMSGCLISESYKTVKATITVSPKEDGEGSRVAWRVEFEKIRHDIEDPLLIIDTL IDVLVNYLKETDGNLLQ" gene 19277750..19278350 /locus_tag="BRARA_H02192" /db_xref="Phytozome:Brara.H02192" mRNA 19277750..19278350 /locus_tag="BRARA_H02192" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02192" CDS 19277785..19278027 /locus_tag="BRARA_H02192" /codon_start=1 /product="hypothetical protein" /protein_id="RID51538.1" /db_xref="Phytozome:Brara.H02192" /translation="MEESRSYRRERKQAKKKKTGRGSGSGFGSIQMEMRRLRVLIPGG RRLNQPDLLLSKTTDYVVHLELRIRFLKTLLSKLIV" gene 19278815..19280283 /locus_tag="BRARA_H02193" /db_xref="Phytozome:Brara.H02193" mRNA 19278815..19280283 /locus_tag="BRARA_H02193" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02193" CDS 19279033..19280034 /locus_tag="BRARA_H02193" /codon_start=1 /product="hypothetical protein" /protein_id="RID51539.1" /db_xref="Phytozome:Brara.H02193" /translation="MSQKIHQISPSPSPITANRITPTVLFIIVLISVVFFLCSILHLT FRHYLKKKRSSLSSSTTDSDNQNPELSDSDTYRRQLRQLFHLHDSGLDQALIDALPVF LYKDIVKGSKEPFDCAVCLCEFSEDDKLRLLPVCSHAFHIDCIDTWLLSNSTCPLCRG TLYPPGHQEFSFEFFAAGDDGEREPAENDIRCGKRVFSVRLGKFRSSNNINASEGGET SGCVSVNRSLDNRRCFSMGSYQYIVAESDLVVALCPNSETLKSSNVEGKKINMRSKGE SFSVSKIWQWSNKRSKFANQGETNLVVGASSYACSASGTGSRVVDGLSLNGRRFQGA" gene complement(19281329..19285191) /locus_tag="BRARA_H02194" /db_xref="Phytozome:Brara.H02194" mRNA complement(join(19281329..19281942,19282018..19282291, 19282577..19284634,19285028..19285191)) /locus_tag="BRARA_H02194" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02194" CDS complement(join(19281592..19281942,19282018..19282291, 19282577..19284561)) /locus_tag="BRARA_H02194" /codon_start=1 /product="hypothetical protein" /protein_id="RID51540.1" /db_xref="Phytozome:Brara.H02194" /translation="MVSRSCANFLDLSSWDLLDFPQTQTQRSLPRVMTVPGIVSELEG DGSSDVNSSTGSHERKIIVANMLPLQAKKDAETGQWCFTWDEDSLLIQLRDGFPSDTE FVYIGSLNADIAINEQEAVSQKLLSEFNCVPAFLPKEIHEKYYHGFCKHHLWPLFHYM LPMFPDHGDRFDRRLWQAYVSANKIFSDRVMEVINPEDDYVWIQDYHLMVLPTFLRKR FNRIKLGFFLHSPFPSSEIYRTLPVRDDILRGLLNCDLIGFHTFDYARHFLSCCSRML GLDYESKRGHIGLDYFGRTVFIKILPIGIHIGRLESVLNLPSTAAKMKEIQEQFKGKK LILGIDDMDIFKGISLKLIAMEHLFETYWNMRGKCVLIQIVNPARASGKDVEEAKREI YVTAKRINERYGSPGYEPVILIDRLVPRNEKTAYYAMADCCLVNAVRDGMNLVPYKYI ICRQGTPGIDKAMGSSRDSPRTSMLVVSEFIGCSPSLSGAIRVNPWDVDAVAEAVNLA LKMSEAEKRLRHEKHYHYVSTHDVGYWAKSFLQDLERASQDHYNKRCWGIGFGLSFRV LSLSPSFRKLSIDHIVSTYRKTERRAIFLDYDGTLVPESSLVKTPTAEVLSVLKSLCE DPKNTVFIVSGRGWESLSEWLSPCENLGIAAEHGYFIRWSSKKEWETCYSSAEAEWKN IVEPVMRSYMDATDGSTIEFKESALVWHHQDADPDFGSCQAKELLDHLESVLANEPVV VKRGQHIVEVKPQGVSKGLAVEKVIHRMVENGNSPDMVMCIGDDRSDEDMFESILNTV TNPDLPMRPEIFACTVGRKPSKAKYFLDDVTDVLKLLEGLGAASSSSKPEYGQESSSS SSSQTHVAFESII" gene complement(<19289222..>19291284) /locus_tag="BRARA_H02195" /db_xref="Phytozome:Brara.H02195" mRNA complement(join(<19289222..19289321,19289391..19289492, 19289583..19289778,19289862..19290006,19290104..19290208, 19290677..19290721,19291165..>19291284)) /locus_tag="BRARA_H02195" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02195" CDS complement(join(19289222..19289321,19289391..19289492, 19289583..19289778,19289862..19290006,19290104..19290208, 19290677..19290721,19291165..19291284)) /locus_tag="BRARA_H02195" /codon_start=1 /product="hypothetical protein" /protein_id="RID51541.1" /db_xref="Phytozome:Brara.H02195" /translation="MDATREASVTDLKRPREEDDNNNTAAVASMETKEPACFSSMITH LPLCSIPNPKKVLVIGGGDGGVLREVARHASVEQIDMCEIDKMVVDVSKQFFPNVAIG FEDPRVNLVIGDGVAYLKNAAEGSYDAVIVDSSDPIGPAKELFEKPFFQSVARALRPG GVVCTQAESLWLHMDIIEDIVSNCREIFKGSVNYAWTSVPTYPSGVIGFMLCSTEGPD VDFKHPVNPIDDSKSSGPLKSLSALSSYGFQIHSAAFCLPSFAKKVIESKTN" gene 19295181..19298007 /locus_tag="BRARA_H02196" /db_xref="Phytozome:Brara.H02196" mRNA join(19295181..19295339,19295569..19295706, 19295791..19295933,19296010..19296163,19296254..19296573, 19296667..19296840,19296930..19297067,19297155..19297292, 19297361..19297422,19297496..19298007) /locus_tag="BRARA_H02196" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02196" CDS join(19295267..19295339,19295569..19295706, 19295791..19295933,19296010..19296163,19296254..19296573, 19296667..19296840,19296930..19297067,19297155..19297292, 19297361..19297422,19297496..19297769) /locus_tag="BRARA_H02196" /codon_start=1 /product="hypothetical protein" /protein_id="RID51542.1" /db_xref="Phytozome:Brara.H02196" /translation="MASRRVSSLLSRSLISSSSLFSLRGKDPLLNRGARRYSNLAASL EDTITPPVKVEHTQLLINGKFVDSASGKTFPTLDPRTGEVIAQVAEGDVEDVNRAVVA ARKAFDQGPWPRMTAYERSKILFRFADLIEKHNDEIAALETWDNGKPYEQSANIEVPM LARVFRYYAGWADKIHGMTVPGDGSHHVQTLHEPIGVAGQIIPWNFPLLMLSWKLGPA LACGNTVVLKTAEQTPLSALLVGRLLHEAGLPEGVVNIVSGFGPTAGAAIASHMDIDK VAFTGSTDVGKIILELASKSNLKAVTLELGGKSPFIVCEDADVDQAVEMAHFALFFNQ GQCCCAGSRTFVHERVYDEFVEKAKARAINRAVGDPFKSGIEQGPQVDSEQFEKILKY IRHGVDSGATLQAGGDRHGSKGYYIQPTVFSDVKDDMLIAKDEIFGPVQTILKFKNLD EVIARANNSRYGLAAGVFTQNLDTANRLMRALRVGSVWINCFDVFDATIPFGGYKMSG IGREKGIYSLNNYLQVKAVVTSIKNPAWL" gene complement(<19298040..19300166) /locus_tag="BRARA_H02197" /db_xref="Phytozome:Brara.H02197" mRNA complement(join(<19298040..19298637,19298726..19298817, 19298902..19299090,19299157..19300166)) /locus_tag="BRARA_H02197" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02197" CDS complement(join(19298040..19298637,19298726..19298817, 19298902..19299090,19299157..19299924)) /locus_tag="BRARA_H02197" /codon_start=1 /product="hypothetical protein" /protein_id="RID51543.1" /db_xref="Phytozome:Brara.H02197" /translation="MAALAPGILQKLIDGMKTGVKPTGEHRSSLLQVTDIVPIDLDEK NLLPKQGFFIKLSDSSHSIYVTLPSDQDDVVLSNKMQLGQFIYVDRLDPGTPVPIVQG ARPIPGRHPLLGTPEVSARGKNENERPRRGSWGQNGDVASPFVLKSGTWGQNGDVASP FVLKSGTLDFDQCTPVAKQRRFGFGAASPMTRGGVRCSYGGKMGDLRGESPGSVMRKS CVVPPSSKFPRSRSVCDRETTAKMISVSSALLSPFKSSAKKSNSPPPPSVRTRRATAA AALMEEERDAPKSISKLASPKQSKVEKPEKSSSLTGRLSTLSKEAMQQRETAQKIALQ ALREATVTETVVRHLKTLANLSKSAKPDCPAACFEKFLEFHKQISETITEISSIEAAV SSASENKSEDGSTSLILNEIQHNSIDQEKTATKRRTTTSLKQQQNHKQLRSNDENKNP SAPPPPSSGLGNTARLAKETEKEAANWFMEFIDKALEKGMKKCKDTGDADVKKVPQSL ILQVVNWVEAEQSADNNTRRQLHPRASQITRKLRIKMKNP" gene complement(19302114..>19303529) /locus_tag="BRARA_H02198" /db_xref="Phytozome:Brara.H02198" mRNA complement(join(19302114..19302628,19303236..>19303529)) /locus_tag="BRARA_H02198" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02198" mRNA complement(join(19302114..19302628,19303158..>19303529)) /locus_tag="BRARA_H02198" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02198" CDS complement(join(19302281..19302628,19303236..>19303529)) /locus_tag="BRARA_H02198" /codon_start=1 /product="hypothetical protein" /protein_id="RID51545.1" /db_xref="Phytozome:Brara.H02198" /translation="SPPPPYIYSSPPPPYYSPSPKTTYKSPPPPYVYNSPPPPYYSPS PKVEYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVEYK SPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVQYKSPPPPY VYSSSPPPPYYSPSPKVEYKSPPPPYGYTSPPPLTYSPSPKVEYKSPPPPSYY" CDS complement(join(19302281..19302628,19303158..>19303529)) /locus_tag="BRARA_H02198" /codon_start=1 /product="hypothetical protein" /protein_id="RID51544.1" /db_xref="Phytozome:Brara.H02198" /translation="SPPPPYIYSSPPPPYYSPSPKTTYKSPPPPYVYNSPPPPYYSPS PKVEYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVDYK SPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPY VYSSPPPPPYYSPSPKVQYKSPPPPYVYSSSPPPPYYSPSPKVEYKSPPPPYGYTSPP PLTYSPSPKVEYKSPPPPSYY" gene <19304477..>19305196 /locus_tag="BRARA_H02199" /db_xref="Phytozome:Brara.H02199" mRNA join(<19304477..19304605,19304639..19305043, 19305122..>19305196) /locus_tag="BRARA_H02199" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02199" CDS join(19304477..19304605,19304639..19305043, 19305122..19305196) /locus_tag="BRARA_H02199" /codon_start=1 /product="hypothetical protein" /protein_id="RID51546.1" /db_xref="Phytozome:Brara.H02199" /translation="MVVVENCRHMVVVEICMWVWVKVSSMVVEESCKHMEVVGTCMLE ICKHKEVVEICSQPLEKVSNMVVVENYKCMKVVEICMWALEKVNSMVVVVNCIHTVVE ETCNLLLEKESSTVVVSCKHMGVVVESYRRKEVVEICSLLWEKVNSMVVVESCKHKEV EEICNPLSEKVSNTAVVVEKVNKMVVVESCIHKKVVEICSLP" gene 19309536..19311237 /locus_tag="BRARA_H02200" /db_xref="Phytozome:Brara.H02200" mRNA join(19309536..19309733,19310073..19310209, 19310290..19310388,19310481..19310523,19310604..19310638, 19310727..19310776,19310883..19310934,19311044..19311237) /locus_tag="BRARA_H02200" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02200" CDS join(19310078..19310209,19310290..19310388, 19310481..19310523,19310604..19310638,19310727..19310776, 19310883..19310934,19311044..19311103) /locus_tag="BRARA_H02200" /codon_start=1 /product="hypothetical protein" /protein_id="RID51547.1" /db_xref="Phytozome:Brara.H02200" /translation="MCSSGTGSPPVAKDDELPENPIGEEEESTEESIDELNSTEENDS GDEAASAVNPLSSDAAQILPLLQNLLLNNDIQRERLTGLFQLYAPAADTNANVNAEAQ QTEWEAALSSQLQFLEQSVQMLEEELENQKKLNAQLEEQIKRLTTTSSDPERQD" gene 19312745..19314411 /locus_tag="BRARA_H02201" /db_xref="Phytozome:Brara.H02201" mRNA join(19312745..19312912,19313230..19313351, 19313432..19313530,19313628..19313670,19313751..19313788, 19313882..19313931,19314044..19314095,19314206..19314411) /locus_tag="BRARA_H02201" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02201" CDS join(19313235..19313351,19313432..19313530, 19313628..19313670,19313751..19313788,19313882..19313931, 19314044..19314095,19314206..19314265) /locus_tag="BRARA_H02201" /codon_start=1 /product="hypothetical protein" /protein_id="RID51548.1" /db_xref="Phytozome:Brara.H02201" /translation="MCSSGTGSPPVAKDDELPENPISEEEESLELNSTEENDSGDEAA SAANPMTSDAAQILPLLQNLLINNDIQRERLTGLFQLYAPAAEDTNANVNTEDEQTAR EAALSSQVHFLEQRVQMLEEELENQKKLNAQLEEQIKRLTTTSSDPERQD" gene <19317770..19324674 /locus_tag="BRARA_H02202" /db_xref="Phytozome:Brara.H02202" mRNA join(<19317770..19317829,19317911..19318099, 19318205..19318301,19322649..19322782,19323423..19323614, 19323722..19323856,19323941..19324087,19324150..19324674) /locus_tag="BRARA_H02202" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02202" CDS join(19317770..19317829,19317911..19318099, 19318205..19318301,19322649..19322782,19323423..19323614, 19323722..19323856,19323941..19324087,19324150..19324464) /locus_tag="BRARA_H02202" /codon_start=1 /product="hypothetical protein" /protein_id="RID51549.1" /db_xref="Phytozome:Brara.H02202" /translation="MGIFPGFGSWISKNSQQPLKAESKRSENVESKSVSEKDINNNAP ANKKKKEYVYDEKEEMRQHILWYEEEKKHPWHNPPPKVKVTTKKGVYHMNLEVTIGAA PNMTFFWLTDPGSKQSKYNEEKTMFMKMFEGSWRLEPLFIDEHLCDRLKPKTQEDSIL AKSKGSENVESKLVSEKDTNSNAPANKKKNRKEHYDEKEERIQHILWHEEEKKHPWQN PPPKVKVTTKKGVYHMNLEMTIGAAPELTYLWLIDSWGSHFYDEKKRRDLMKNIKRKV LTEDGPRRVIKVEKAVVHDFFSLTTIPIPLHLIVEENEKDLTGKYKKEKVMLMKVFEG NYKLEPVYVDQERLCKKRLPKSQEEYKKCSGGQGKIATKLIINQYFEPYPPFNLPPLS WYIRGNTIKTSKNLLNALQDTAKIIRSTKG" gene <19320191..>19321923 /locus_tag="BRARA_H02203" /db_xref="Phytozome:Brara.H02203" mRNA join(<19320191..19320253,19320479..19320640, 19321756..>19321923) /locus_tag="BRARA_H02203" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02203" CDS join(19320191..19320253,19320479..19320640, 19321756..19321923) /locus_tag="BRARA_H02203" /codon_start=1 /product="hypothetical protein" /protein_id="RID51550.1" /db_xref="Phytozome:Brara.H02203" /translation="MHVVTVAEEPIAGAGSRLRWKVKAKMETICGSSISRRLTCGPSR FRPDRTVLSREARKFMGLLNKGPILPQQALTNQQKNLEKKIVTEILPAKKFHKAEEYH QHYLSKGGKSGHAQSPSKSCKDPISCFG" gene 19330449..>19331701 /locus_tag="BRARA_H02204" /db_xref="Phytozome:Brara.H02204" mRNA join(19330449..19330562,19330646..19330831, 19330937..19331065,19331149..19331295,19331378..>19331701) /locus_tag="BRARA_H02204" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02204" CDS join(19330503..19330562,19330646..19330831, 19330937..19331065,19331149..19331295,19331378..19331701) /locus_tag="BRARA_H02204" /codon_start=1 /product="hypothetical protein" /protein_id="RID51551.1" /db_xref="Phytozome:Brara.H02204" /translation="MGVFPGFGSWINQNSQQPLKAESKRSENGESKSASEKDTNNAPA KKKEEVVYYDEKEDTRQEGLWHEAEKKHPWHNPPPKIKVTNKKGLYHMNIELTVGTTP NIVYYVLTESNPFFDRKKWRHLMKNTSRKVLKENGPRRIVMVEKAVAYDFLSLTTISI PIHLTMEENRKDLTTKYKKEKVLFMKEFHGNYKVEPIYVDQERLCKKRLPKSPEEYKK CSGGQGRIGSKLTINHYFQPYPPFSLPPLSWFIRGITIKTSKKLLNLLQDMAFSLRQA GPPSE" gene <19333930..19335371 /locus_tag="BRARA_H02205" /db_xref="Phytozome:Brara.H02205" mRNA join(<19333930..19333989,19334078..19334266, 19334378..19334512,19334597..19334743,19334822..19335371) /locus_tag="BRARA_H02205" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02205" CDS join(19333930..19333989,19334078..19334266, 19334378..19334512,19334597..19334743,19334822..19335202) /locus_tag="BRARA_H02205" /codon_start=1 /product="hypothetical protein" /protein_id="RID51552.1" /db_xref="Phytozome:Brara.H02205" /translation="MGVFTGFGSWINQNSQEPLKAESTRSENGESKSASEKDTNNAPA KKKKKVVIYYDEKEDMRQERLWHKAEKKHPWRNPPPKIKVTNKKGLYHMNIELTVGTT PNVVYYVLTDPKGGPFFDYKKWRDIMKNTSRKVLKENGPKRVIMVEKALAYNILSLTT ISIPIHLTMEENRKDLTTNYKKGKVILMKEFHGNYKVEPIYVDQERLCKKRLPKSPEE YKKCSGGQGRIGSKLTINHYFQPYPPFNIPPLSWFIRGNTIKTSKKLLNGIQELTSSF RRAGPPSEEDMEASEIETVEVHNFLKI" gene <19336439..>19337712 /locus_tag="BRARA_H02206" /db_xref="Phytozome:Brara.H02206" mRNA join(<19336439..19336498,19336580..19336771, 19336877..19337011,19337112..19337258,19337341..>19337712) /locus_tag="BRARA_H02206" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02206" CDS join(19336439..19336498,19336580..19336771, 19336877..19337011,19337112..19337258,19337341..19337712) /locus_tag="BRARA_H02206" /codon_start=1 /product="hypothetical protein" /protein_id="RID51553.1" /db_xref="Phytozome:Brara.H02206" /translation="MGVFPGFGAWINQNNQEPPNAGFMRSENVESKSVSEKDTNNDHA KKKKKKDVVYYDYKELMRQRELWYEAEKKHPWYVPPPKIRVTNKKGLYHMNIELTVGT APDTVYDLMINPKGGPFFNREKWRDLMKNTSRKVLKENGPKRVIMVEKAVAYNVLSLT TISIPLHLTMEENRKDLTTKYKKGKVMLMKEFHGDYKVEPIYVDQKRLCKKRLPKSPE EYKKCSGGQGRIGSKLTINHYFQPYPPFNIPPLSWFIHGITIKTSKNLLNALQEMALI VREAGPPSEEAIKAREKNIIEVHNF" gene 19339222..19342396 /locus_tag="BRARA_H02207" /db_xref="Phytozome:Brara.H02207" mRNA join(19339222..19340177,19340256..19340711, 19340795..19340881,19340999..19341069,19341177..19341253, 19341499..19341646,19341728..19341889,19341959..19342396) /locus_tag="BRARA_H02207" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02207" CDS join(19339445..19340177,19340256..19340711, 19340795..19340881,19340999..19341069,19341177..19341253, 19341499..19341646,19341728..19341889,19341959..19342204) /locus_tag="BRARA_H02207" /codon_start=1 /product="hypothetical protein" /protein_id="RID51554.1" /db_xref="Phytozome:Brara.H02207" /translation="MSDSGGSPASSPPSPPADSAPPPETSPPPPSDSSPPPPSDPQPS PPPPDSLLPPLPSLLPPLTATPPPASNPPPADSTNSPPPTSTETPSPPPPANSDAPPN ESNNPPPSPDVQSPPPPPSSPSTNPETPPPESPPAPPSDPTNSPPIQPSPPAYSPPPS SLLAPHKPNGGSGRPVGSPSVPSRETPPTNSSNGNQTMVGMAVAGVAIMALIAIVFFV RRKKKKNIDAYAHSHYLPQPNFSVKSDGFLYGQDPSKVYSGPGGSMYNSQQQYSSMGN SYGSQRGQSNDSAILGTGQTHFSYEELAEITQGFSRHNILGEGGFGCVYKGTLHDGKV VAVKQLKAGSGQGDREFKAEVEIISRVHHRHLVSLVGYCISDQHRLLIYEYVSNQTLE HHLHGRGRPVLEWSKRVRIAIGSAKGLAYLHEDCHPKIIHRDIKSANILLDDEYEAQV ADFGLARLNDTTQTHVSTRVMGTFGYLAPEYASSGKLTDRSDVFSFGVVLLELVTGRK PVDQNQPLGEESLVEWARPLLLKAIETGDFSELIDRRLEKHYVEHEVFRMIETAAACV RHSGPKRPRMVQVVRALDCDEDSGDISNGIKVGQSTTYNSGQYNQDITKFRKMAFGSG DSGEAGMYSGDHSVISSSDFSGNESETRPFNNRRF" gene complement(19342479..19343335) /locus_tag="BRARA_H02208" /db_xref="Phytozome:Brara.H02208" mRNA complement(19342479..19343335) /locus_tag="BRARA_H02208" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02208" CDS complement(19342606..19343163) /locus_tag="BRARA_H02208" /codon_start=1 /product="hypothetical protein" /protein_id="RID51555.1" /db_xref="Phytozome:Brara.H02208" /translation="MGDQGVQQMQQPVVVYPTAYTKQYPYQSSSSSSSSGSRGSFGTV FIVLAVILVLSVLACVFGRLCNRESRAAKQDHSKQPKHEKGSSKKSREIRPVDREPRE RGDLEFGLDMKRPEHVEKPSGRDYGEDIELGFDNKREERGRGGPPPPPVIKHGVRFKL PENGNHHAKGEVRRGGPDFELRPRH" gene complement(19344951..19346941) /locus_tag="BRARA_H02209" /db_xref="Phytozome:Brara.H02209" mRNA complement(join(19344951..19345237,19345339..19345420, 19345504..19345622,19345712..19345831,19345963..19346033, 19346116..19346272,19346649..19346941)) /locus_tag="BRARA_H02209" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02209" CDS complement(join(19345232..19345237,19345339..19345420, 19345504..19345622,19345712..19345831,19345963..19346033, 19346116..19346263)) /locus_tag="BRARA_H02209" /codon_start=1 /product="hypothetical protein" /protein_id="RID51556.1" /db_xref="Phytozome:Brara.H02209" /translation="MGLSFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVT TIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVE ARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCA TSGEGLYEGLDWLSNNIAGKA" gene complement(19347050..19349383) /locus_tag="BRARA_H02210" /db_xref="Phytozome:Brara.H02210" mRNA complement(join(19347050..19347571,19347652..19347768, 19347840..19347948,19348022..19348103,19348189..19348396, 19348493..19348513,19348604..19348774,19348854..19348982, 19349074..19349383)) /locus_tag="BRARA_H02210" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02210" CDS complement(join(19347260..19347571,19347652..19347768, 19347840..19347948,19348022..19348103,19348189..19348396, 19348493..19348513,19348604..19348774,19348854..19348982, 19349074..19349331)) /locus_tag="BRARA_H02210" /codon_start=1 /product="hypothetical protein" /protein_id="RID51557.1" /db_xref="Phytozome:Brara.H02210" /translation="MMDKLFILSLIGILMVTAYGAAAKMVYTDLDILEKLENFDIPED DADDYDTKLFDWPSFTSRSSGKNLVNVDTFGAAGDGVSDDTQAFVSAWNKACGMPKSV LLVPQGRTYLVNATKFNGPCETKLVIQIDGKIVAPDEPSNWDPKFQRIWLEFSKLKGV VFQGNGVIDGSGSKWWAASCKKNKSNPCKSAPTALTIESSSGVKVTGLTIQNSQQMNF IIARSNSVRVSKVMVSSPGDSPNTDGIHITGSTNVVLQDCKIGTGDDCVSIVNASSNI KMKKIYCGPGHGISIGSLGNNNSTGIVTNVVLDTAFLKETTNGLRIKTYQGGSGYVQD VRFTNVQMQDVSNPILIDQFYCDNPTSCQNQTSAVKISQIMYRNITGTTKSEKAIKFA CSDTVPCSHIVLNNVNLEGRDGQVEAYCNSAEGFGYGVIHPSADCLYSHDNKGLDQSH KSEPETVTEEAETGHDEL" gene 19361382..19367070 /locus_tag="BRARA_H02211" /db_xref="Phytozome:Brara.H02211" mRNA join(19361382..19361821,19362165..19362290, 19362370..19362508,19366297..19366535,19366612..19367070) /locus_tag="BRARA_H02211" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02211" CDS join(19361501..19361821,19362165..19362290, 19362370..19362508,19366297..19366535,19366612..19366731) /locus_tag="BRARA_H02211" /codon_start=1 /product="hypothetical protein" /protein_id="RID51558.1" /db_xref="Phytozome:Brara.H02211" /translation="MDGMYGFHPTCDYSDKPVMMMSQDNLMFPSDYQTLLCSSAGDNR VSDVFGSNELLSVAASAMSSEAASMGPEIRTNNGNVSLGVVKAKIACHPSYPRLLQAY MDCQKVGAPPEIAYLLEEIQRESHVYKQGVAPSSSCFGADPELDEFMETYCEILVKYK SDLARPFDEATTFLNKIEMQLRNLCTGVESARGLSEDGAVSSDEELSGGDEISQDGKQ ICEDRDLKDRLLRKFGSGISSLKLEFSKKKKKGKLPREARQALLDWWSVHYKWPYPTE GDKIALADATGLDQKQINNWFINQRKRHWNQSENMPFA" gene 19368281..19369957 /locus_tag="BRARA_H02212" /db_xref="Phytozome:Brara.H02212" mRNA join(19368281..19368434,19368531..19368595, 19368679..19368769,19368840..19368928,19369029..19369162, 19369238..19369299,19369393..19369492,19369648..19369957) /locus_tag="BRARA_H02212" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02212" CDS join(19368282..19368434,19368531..19368595, 19368679..19368769,19368840..19368928,19369029..19369162, 19369238..19369299,19369393..19369492,19369648..19369748) /locus_tag="BRARA_H02212" /codon_start=1 /product="hypothetical protein" /protein_id="RID51559.1" /db_xref="Phytozome:Brara.H02212" /translation="MAALLGIVFPVTFPGHGQLPVKTRRRSVVKSSSSSDERQTLFNR IAPVYDNLNDLLSLGQHRIWKNMAVSWSGARTGDKVLDLCCGSGDLAFLLSEKVGSSG KVMGLDFSSEQLAVAASRQKLRGRSCYKCIEWIEGDATDLPFDDCEFDAITMGYGLRN VVDRDRAMREMCRVLKPGSRVSILDFNKSNQSVTAFMQDWMIDNVVVPVATLYDLAKE YEYLKYSINGYLTGEELEALALEAGFSSARHYEISGGFMGDLVAVR" gene <19370837..>19371334 /locus_tag="BRARA_H02213" /db_xref="Phytozome:Brara.H02213" mRNA <19370837..>19371334 /locus_tag="BRARA_H02213" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02213" CDS 19370837..19371334 /locus_tag="BRARA_H02213" /codon_start=1 /product="hypothetical protein" /protein_id="RID51560.1" /db_xref="Phytozome:Brara.H02213" /translation="MSTATHMTILGMIFVLLAASCRSAAAFSLFLDDPCTVTDFPALC RGTIKGQRNVNAATDVAIAELMKRTRQARDIAKKELKVLDGGVSTCLSNFNSAFDNLD KALKNIKEGDRFSLNINLSAALTDYDTCSETMKGTPGNNAIYKSAGVLYKMADNCLAL STLFN" gene <19378071..>19380497 /locus_tag="BRARA_H02214" /db_xref="Phytozome:Brara.H02214" mRNA join(<19378071..19378316,19378394..19378488, 19378565..19378668,19378747..19378847,19378922..19379025, 19379673..19380236,19380314..>19380497) /locus_tag="BRARA_H02214" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02214" CDS join(19378071..19378316,19378394..19378488, 19378565..19378668,19378747..19378847,19378922..19379025, 19379673..19380236,19380314..19380497) /locus_tag="BRARA_H02214" /codon_start=1 /product="hypothetical protein" /protein_id="RID51561.1" /db_xref="Phytozome:Brara.H02214" /translation="MIPLLLTSALQLAELLVSSVVHILFGLYLFSSAIAGDLTQTFLE SIFKPKPIVEVKQGNTTTQVNDLTPIVLVHGIFGFGKGRLGGLSYFAGAEKKDERVLV PDLGSLTSVHDRARELFYYLKGGRVDYGEDHSKACGHSQFGRFYDKGEYQEWDEDHPI HFVGHSAGAQVVRVLQQMLADEMFDGHENTNENWVLSLTSLSGALNGSTRTYLDGIQP EDGKSLKPICLLQICRFGSIIYDWLDISWLKSYYNFGFDHFNMSWKKTGLRGLVDCLL GNAGPFASSSGDWILPDLSIQETMKLNANLKTFPNTFYFSYATKRTRKPPLGGVMGIH PLLSIRVLQMSQWRYPRGIPLPYKGYIDEDWQDNDGALNTVSMTHPRIPVEHSNLVVR SDSDCLPLQPGIWYYKIVEADHIMFILNRERAGVEFDLIYDDIFERCRKHVFRQSPQT MPNKAQRKLGGDKEE" gene 19381486..19384396 /locus_tag="BRARA_H02215" /db_xref="Phytozome:Brara.H02215" mRNA join(19381486..19381629,19381783..19381934, 19382043..19382108,19382178..19382295,19382384..19382465, 19382542..19382629,19382710..19382868,19382946..19383119, 19383211..19383342,19383422..19383487,19383570..19383634, 19383711..19383802,19383882..19384038,19384114..19384396) /locus_tag="BRARA_H02215" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02215" CDS join(19381827..19381934,19382043..19382108, 19382178..19382295,19382384..19382465,19382542..19382629, 19382710..19382868,19382946..19383119,19383211..19383342, 19383422..19383487,19383570..19383634,19383711..19383802, 19383882..19384038,19384114..19384243) /locus_tag="BRARA_H02215" /codon_start=1 /product="hypothetical protein" /protein_id="RID51562.1" /db_xref="Phytozome:Brara.H02215" /translation="MALEYDLLNENVKKCQYAVRGELYLRASELQKEGKKIIFTNVGN PHALGQKPLTFPRQVVALCQAPFLLDDPNVGMLFPADAIARAKHYLSLTSGGLGAYSD SRGLPGVRKEVAEFIQRRDGYPSDPELIFLTDGASKGVMQILNCVIRGEGDGILVPVP QYPLYSATISLLGGSLVPYYLDESENWGLDVNNLRQSVAQARSQGISVRAMVIINPGN PTGQCLSEANLKEILKFCYNEKLVLLGDEVYQQNIYQDERPFISSKKVLMDMGSPFSK GVQLVSFHTVSKGYWGECGQRGGYFEMTNIPPRVVEEIYKVASIALSPNVSAQIFMGL MVSPPKPGDISYDQFARESKGILESLRRRAKIMTDGFNSCKNVVCNFTEGAMYSFPQI RLPPGALQAAKQAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEE MPEIMDSFKKFNDEFMAQYENGFGYSRM" gene complement(<19388583..>19389026) /locus_tag="BRARA_H02216" /db_xref="Phytozome:Brara.H02216" mRNA complement(<19388583..>19389026) /locus_tag="BRARA_H02216" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02216" CDS complement(19388583..19389026) /locus_tag="BRARA_H02216" /codon_start=1 /product="hypothetical protein" /protein_id="RID51563.1" /db_xref="Phytozome:Brara.H02216" /translation="MATALKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRIL FDKYHPGYFGKVGMRYFHKLRNKFYCPIVNLDRLWSLVPEDVKAKAGKDGKVPMIDVT QHGFFKVLGKGHLPEGKPFVVKAKLISKTAEKKIKEAGGAVVLTA" gene 19389927..19391655 /locus_tag="BRARA_H02217" /db_xref="Phytozome:Brara.H02217" mRNA join(19389927..19390120,19390267..19390406, 19391008..19391133,19391217..19391343,19391425..19391655) /locus_tag="BRARA_H02217" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02217" CDS join(19390093..19390120,19390267..19390406, 19391008..19391133,19391217..19391343,19391425..19391480) /locus_tag="BRARA_H02217" /codon_start=1 /product="hypothetical protein" /protein_id="RID51564.1" /db_xref="Phytozome:Brara.H02217" /translation="MSSEEAKVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYM QSWTGTILGPHNTAYEGKIFQLKLFCGKEYPESPPTVRFQTRINMACVNPETGVVEPS LFPMLANWRREYTMEDILIKLKKEMMTSHNRKLAQPPEGTEEARADPKGPAKCCVM" gene complement(<19391922..>19393136) /locus_tag="BRARA_H02218" /db_xref="Phytozome:Brara.H02218" mRNA complement(join(<19391922..19392080,19392903..>19393136)) /locus_tag="BRARA_H02218" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02218" CDS complement(join(19391922..19392080,19392903..19393136)) /locus_tag="BRARA_H02218" /codon_start=1 /product="hypothetical protein" /protein_id="RID51565.1" /db_xref="Phytozome:Brara.H02218" /translation="MEGVELELERRSKFLNNLIQQKKKAKEQQDQKDEFNVRVRASDM PLSVQNRAFSLSRGLLNATPGKADNKRLALALKKDFDSAYGPAWHCIVGTSFGSYVTH SVGGFLYFQIDKVYVLLFKTAVEPLDHQ" gene complement(19393431..19397717) /locus_tag="BRARA_H02219" /db_xref="Phytozome:Brara.H02219" mRNA complement(join(19393431..19393797,19393893..19394009, 19394177..19394309,19394400..19394476,19394571..19394749, 19394834..19394915,19394999..19395062,19395159..19395254, 19395336..19395493,19395589..19395688,19395789..19395848, 19395959..19396059,19396161..19396280,19396387..19396449, 19396540..19396653,19396859..19396914,19397017..19397098, 19397488..19397717)) /locus_tag="BRARA_H02219" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02219" CDS complement(join(19393726..19393797,19393893..19394009, 19394177..19394309,19394400..19394476,19394571..19394749, 19394834..19394915,19394999..19395062,19395159..19395254, 19395336..19395493,19395589..19395688,19395789..19395848, 19395959..19396059,19396161..19396280,19396387..19396449, 19396540..19396653,19396859..19396914,19397017..19397098, 19397488..19397565)) /locus_tag="BRARA_H02219" /codon_start=1 /product="hypothetical protein" /protein_id="RID51566.1" /db_xref="Phytozome:Brara.H02219" /translation="MAFKVTLVSTSPIDGQKPGTSGLRKKVKVFKQPNYLENFVQATF NALTPEKVKGATLVVSGDGRYYSKDAVQIIIKMAAANGVRRVWVGKNTLMSTPAVSAV IRERSGADGSKATGAFILTASHNPGGPTEDFGIKYNMENGGPAPESITDKIYENTKTI KEYPIAEDLPNVDISAIGVTSFEGPEGKFDVEVFDSADDYVKLMKSIFDFESIRKLLS SPKFTFCYDALHGVAGAYAHRIFVEELGAQESALLNCVPKEDFGGGHPDPNLTYAKEL VARMGLGKSDTGGEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAIEAIPYF SSGLKGVARSMPTSAALDVVAKSLNLKFFEVPTGWKFFGNLMDAGMCSVCGEESFGTG SDHIREKDGIWAVLAWMSILAHKNKDSIDGDTKLVTVEDIVRQHWATYGRHYYTRYDY ENVDAGKAKELMEHLVKLQSSIPEVNKIVKGIRSDVANVSSADEFEYKDPVDGSISKH QGIRYLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDASKIGRESQEALSPLVDIALK LSKMEEFTGRSAPTVIT" gene complement(19398531..19402256) /locus_tag="BRARA_H02220" /db_xref="Phytozome:Brara.H02220" mRNA complement(join(19398531..19399084,19399325..19399599, 19399675..19399865,19399952..19399992,19400060..19400113, 19400401..19400497,19400574..19401808,19401913..19402256)) /locus_tag="BRARA_H02220" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02220" CDS complement(join(19398728..19399084,19399325..19399599, 19399675..19399865,19399952..19399992,19400060..19400113, 19400401..19400497,19400574..19401808,19401913..19402179)) /locus_tag="BRARA_H02220" /codon_start=1 /product="hypothetical protein" /protein_id="RID51567.1" /db_xref="Phytozome:Brara.H02220" /translation="MSLSTVSSTVPCSHISPISTTQTKFLTLSAKTQPIRRRHDLSFT PFPTLTHSNHPLRHAFSNPKSSFHRPHCIEEAGHSDTTEQTSATSVDDNEEARRSESH VALFVRMLGLDNDPLDREQAVEALWKYSLGGKKCIDAIMQFHGCLNLIITLLKSDSTS TCEAAAGLLRSIASVNLYRELVAESGALEEITALLSRPSLATVVKEQSICALWNLTVD EGVREKAADFDILKLLITFLEDDDVNVKEAAGGVLANLSLSSSNHKIMVEVGVIPKLA KLLKGENKGSKVIRKEARNVLLELAKDEYYRILVIEEGVVPIPIIGADAYKSFRPDLY SWPSLPDGIKIEQTAKAPSRFGASELLLGLNVDEDVEEVDEAKTKAIVGRTNQQFLAR IGAIEFEKELKSERPGESQQNENQNQQQQLTLLPCVDGVARLVLILGLADEVAVSRAA ESVADACINEEMRVSFMEAGAVKPLVQLLANNNGEAVKVPVIRALKNLSLSRTVCKRI EAEGAVPFFINLLKQPEISLSITEQILDVLAHILDPSKEMESKFYEGPVNGSKADSRK EALDGSVISRLVQIAKTASPNLLRKAISVIEFGTVIDPTMDTIISEDITTLLDVALRQ RVLDEPENEADELEKHLLELEEAGLTISAASRLLTKLLDSESFRQTVDVTLFTELLRK ILRSKLPLHYKDWVASCLVKLTSLSSPSPSLNNPINVEVTLYKTIPSLVEQMSFSSTP EAKEAAVLELNKIVSEGVPESTQALASHGGIEPLVKLLEERNERCVEASLSVLYNLSM DSENHTAIMRAGAVPVLRRIVMSQRPQWEKALRLLRDLPV" gene complement(<19403964..>19406476) /locus_tag="BRARA_H02221" /db_xref="Phytozome:Brara.H02221" mRNA complement(join(<19403964..19404544,19405030..19405811, 19405897..19405998,19406187..>19406476)) /locus_tag="BRARA_H02221" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02221" CDS complement(join(19403964..19404544,19405030..19405811, 19405897..19405998,19406187..19406476)) /locus_tag="BRARA_H02221" /codon_start=1 /product="hypothetical protein" /protein_id="RID51568.1" /db_xref="Phytozome:Brara.H02221" /translation="MSVISVLTEKANDKLKILEDLTSNVKQIQDNILEEILTLNTTEY LQRFFHGKFDKEIFKKNVPVVTYEDVKPYIQRVANGEPSNVISTRPITGFLLSSGTSG GAQKIMPWNEKFLDYLTFMYDLRKHVITNYVKGLEKGKAMMFYFTKLESTTPSGLPAR TASSSYLKSSYFKNRPCNWYYTYTSPDEVILCPDNKQSLYCHLLCGLVQRNEVTRMGS IFASVMVRAIKSLEDSWEELCSNIRSGQLSEWITDPGCRDSVSMVLGGPHPELANTVE KICNEKCWKGIITRLWPRTKYIETIVTGSMAQYVPTLNYYCNDMLPLVSTIYASSETT FGLNLNPLCKPEDVSYTIMPNVSYFEFIPLDGDENDVVDLADLKLGCSYELVVTNFSG LYRIRVGDILLVTGFYNKAPQLRFIRRDNVVLSIDMDKTNEEDLFNAVNRAKLIINSS GLMLTDFTSHGDISTIPGHYVIYWEVEDKREDKTKHICMELKGDAFSECCLVMEDSFD NVYKRCRFREKTVGPLEIKVVLHGTFDSLMDFFISQGASIGQYKTPRCIGSGKPLELL EKNVVATFFSTGDCSFKN" gene complement(19407528..19408445) /locus_tag="BRARA_H02222" /db_xref="Phytozome:Brara.H02222" mRNA complement(join(19407528..19407886,19407963..19408445)) /locus_tag="BRARA_H02222" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02222" CDS complement(join(19407690..19407886,19407963..19408212)) /locus_tag="BRARA_H02222" /codon_start=1 /product="hypothetical protein" /protein_id="RID51569.1" /db_xref="Phytozome:Brara.H02222" /translation="MLMYNKHKKKKKRETVNIKGNRILISVAFLGSAGPIRFVAYEGD LVANVIDTALKCYAREGRLPILGSDFNDFLFYCPMVGPEALSPWEAIGSLGARNFMLC EKPEEEKKKIEEQDNGRRSSFPINGARKRSFRAWINKSFSLKVTTH" gene complement(<19408972..>19409280) /locus_tag="BRARA_H02223" /db_xref="Phytozome:Brara.H02223" mRNA complement(<19408972..>19409280) /locus_tag="BRARA_H02223" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02223" CDS complement(19408972..19409280) /locus_tag="BRARA_H02223" /codon_start=1 /product="hypothetical protein" /protein_id="RID51570.1" /db_xref="Phytozome:Brara.H02223" /translation="MSNMKETNRSILVVLLVSCVFMSTVKMGAGNYIGYPAIGSGDSP ARCNPNYPATCHPPEPANPYTPGCENFERCQRDSHPPALSNKYTTDHVGLLAKKKTIG " gene complement(19410615..19411536) /locus_tag="BRARA_H02224" /db_xref="Phytozome:Brara.H02224" mRNA complement(join(19410615..19411033,19411195..19411536)) /locus_tag="BRARA_H02224" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02224" CDS complement(join(19410762..19411033,19411195..19411363)) /locus_tag="BRARA_H02224" /codon_start=1 /product="hypothetical protein" /protein_id="RID51571.1" /db_xref="Phytozome:Brara.H02224" /translation="MAGVQTLDLEIQVNMPADRFFNSFKNKEGSFTDKTEAVYIHHDD TNSSIQIWNLIVDGKMEQIKEKTEVDEENMSVSFLAMEGDVLEQYKSYKITLDVVPKD DRVCIAKWKWEYEKLNDDVPPPTKYIAFVADYTRELEARLLSES" gene complement(19412040..19412809) /locus_tag="BRARA_H02225" /db_xref="Phytozome:Brara.H02225" mRNA complement(join(19412040..19412457,19412539..19412809)) /locus_tag="BRARA_H02225" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02225" CDS complement(join(19412180..19412457,19412539..19412746)) /locus_tag="BRARA_H02225" /codon_start=1 /product="hypothetical protein" /protein_id="RID51572.1" /db_xref="Phytozome:Brara.H02225" /translation="MAQAMLKPSLQGEIEADVEIKAPATKFYHMFAARPQDLSKASLE NLQGCRVLEGEMGKVGTLVTWNYVQDGKPMVANERIEAVEPKKNMIKFRVLEGDLMKE FKSFLCTIQVTPKQGGPGGVVNWRMEYERIDENVAPPETLLQVCIKTSKEIDEMLMSK A" gene complement(19413417..19414212) /locus_tag="BRARA_H02226" /db_xref="Phytozome:Brara.H02226" mRNA complement(join(19413417..19413914,19413998..19414212)) /locus_tag="BRARA_H02226" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02226" CDS complement(join(19413646..19413914,19413998..19414172)) /locus_tag="BRARA_H02226" /codon_start=1 /product="hypothetical protein" /protein_id="RID51573.1" /db_xref="Phytozome:Brara.H02226" /translation="MTSIQTLDLEIQVNMTADRFFNSFKKKEGNFTDKTEAVSVHRDD PKSNSSIQIWNFIVDGKMEQVKEKIEVDEENKSVSFLAIEGDVLEQYKSYKITLDVVP RDGVCVAKWTWEYEKIHDDVPIPTKYIAFVADYTRDLETRLLSES" gene complement(19418115..19419189) /locus_tag="BRARA_H02227" /db_xref="Phytozome:Brara.H02227" mRNA complement(join(19418115..19418517,19418787..19419189)) /locus_tag="BRARA_H02227" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02227" CDS complement(join(19418240..19418517,19418787..19418982)) /locus_tag="BRARA_H02227" /codon_start=1 /product="hypothetical protein" /protein_id="RID51574.1" /db_xref="Phytozome:Brara.H02227" /translation="MAQSSLFGELEVEVEIKSPAAKFYHMYAGRPHHVANATPRNVQA CDLHDGEWGEVGSIIFWNYVHDGQAKVAKERIEVVEPEKKLVKFRVLEGDLMEEFKSF LITIQVTPKQGGSGSIVKWHFEYEKIDENISHPETLLPFFADMIKEIDEHLLSEE" gene <19424205..>19426715 /locus_tag="BRARA_H02228" /db_xref="Phytozome:Brara.H02228" mRNA join(<19424205..19425272,19425363..19425643, 19425731..19425816,19426257..19426414,19426506..19426582, 19426649..>19426715) /locus_tag="BRARA_H02228" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02228" CDS join(19424205..19425272,19425363..19425643, 19425731..19425816,19426257..19426414,19426506..19426582, 19426649..19426715) /locus_tag="BRARA_H02228" /codon_start=1 /product="hypothetical protein" /protein_id="RID51575.1" /db_xref="Phytozome:Brara.H02228" /translation="MITWHDLYTILTAVIPLYVAMILAYGSVRWSKIFSPDQCSGINR FVAIFAVPLLSFHFISTNNPYAINLRFIAADTLQKLIMLTLLTLWANFTHSGSLEWSI TIFSLSTLPDTLVMGIPLLTAMYGEYSCSLMVQVVVLQCIVWYTILLFLFEYRGAKIL IMEQFPETAASIVSFKVHSDVVSLDGNDLLETDAEIGGDGKLHVTVRKSNASRRFLCC PSMTPRPSNITGAEIYSLDTTPRGSNFNHSDSYSVMGFSGGRLSNFGQSSRGQTPGPS NFEVSCGMASSPGLGYDPGTSGSYLALNAEFSSLVANKTVSKNHHTKGKSSSSHDAKE LHMFVWGSNGGSDQGAKEIRMILLIVTATGPRNIDFGGEEESERVKEVAAGRNKLQCN SMAELNPKEAVETVPVKHMPPASVMTRLIVKMVWRKLTRNPNTYSSLIGLIWALVAFR WNVAMPRIIQQSISILSDAGLGMAMFSLGLFMALEPKLIACGNSTATFAMAVRFLTGP AVMAVAAMAIGLRGDLLRVAIVQAALPQGIMPFVFAKEYNVHPAILSTGVIFGMLIAL PITLVYYILLGL" gene 19435746..19436643 /locus_tag="BRARA_H02229" /db_xref="Phytozome:Brara.H02229" mRNA 19435746..19436643 /locus_tag="BRARA_H02229" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02229" CDS 19435863..19436339 /locus_tag="BRARA_H02229" /codon_start=1 /product="hypothetical protein" /protein_id="RID51576.1" /db_xref="Phytozome:Brara.H02229" /translation="MKRIVRISFTDAEATDSSSSEDDEQTNTESPPPPRRRGKRCVNE IVIDPTDSSINNNRDRGGKTRFKIRIPARVEKKNKKFRGVRQRPWGKWAAEIRCGKAR DGRPVRVWLGTFETAEEAALAYDKAAVQLIGPDAVTNFGCSKAAKEGSDAGTSAVA" gene 19439972..19442279 /locus_tag="BRARA_H02230" /db_xref="Phytozome:Brara.H02230" mRNA join(19439972..19440353,19440492..19440539, 19440618..19440794,19440884..19441034,19441137..19441223, 19441310..19441428,19441545..19441663,19441796..19441907, 19441985..19442279) /locus_tag="BRARA_H02230" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02230" CDS join(19440039..19440353,19440492..19440539, 19440618..19440794,19440884..19441034,19441137..19441223, 19441310..19441428,19441545..19441663,19441796..19441907, 19441985..19442029) /locus_tag="BRARA_H02230" /codon_start=1 /product="hypothetical protein" /protein_id="RID51577.1" /db_xref="Phytozome:Brara.H02230" /translation="MTSSDMNSNLNRLRSMALGSSSNQSDQQPRASQETLNATLKLRR TPNEEHEPDHYEDLQLDFNPSLFRSLERFLPENLLSSTRIEKARAMSDLLLRYSPESE RIRVQKHREYKQNILSSYQRLHEELYTLDPVSFFVPSFLDAVSNTSEENFKSIIVRAA PGIYTFDMFKPQFCQMLIAEVENMEKWFHYTKSSMMRPTTINKFGVVLDDFGLDGMLQ KLLGDFISPISQVLFPEACGTGLDSHHGYAIEYGKYRDTDLGFHVDDSEVTLNVCLGN QFSGGELYFRGVRCDDHVNSEIKENYDYSQVPGQAVLHHGHHRHGARAITAGRLVNLV MWCRSSTFREAKSYQKDFSSWCGGCKLEKHNRQQVAIKATVEMLKKRGADKTHVEH" gene complement(<19454399..>19457547) /locus_tag="BRARA_H02231" /db_xref="Phytozome:Brara.H02231" mRNA complement(join(<19454399..19454614,19454823..19455056, 19455164..19455478,19455575..19455664,19455738..19455815, 19455906..19456687,19457241..>19457547)) /locus_tag="BRARA_H02231" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02231" CDS complement(join(19454399..19454614,19454823..19455056, 19455164..19455478,19455575..19455664,19455738..19455815, 19455906..19456687,19457241..19457547)) /locus_tag="BRARA_H02231" /codon_start=1 /product="hypothetical protein" /protein_id="RID51578.1" /db_xref="Phytozome:Brara.H02231" /translation="MAAAVATGVAPSTMIDQVPSPTAAQTSVQVSVSVPVQSPVVAAD QTHPNSSLYVGDLDPRVAEAHLFDLFKHIASVVSVRVCRDQNRRSLGYAYINFSNPND AIRAMEALNYTPLFDRPIRIMLSNRDPSTRKSGKGNIFIKSLDASIDNKALFETFSSF GTILSCKVATDVTGQSKGYGFVQFEKEESAQAAIDKLNGMLMNDKQVYVAHFIRRQER SREENAPTPRFTNVYVKNLPKEIGEDELRKTFGKFGSISSAVVMRDQSGNSRCFGFVN FECAEAAAQAVEKMNGISLGDDVLFVGRAQKKSEREQELRRKFEEERMNRFEKSQGAN LYLKNLDDSVDDEKLKEMFSEFGNVTSSKVMVNPQGISRGFGFVAYSNPEEASRALNE MNGKMIGRKPLYIALAQRKEDRQAHLQALFSQIRAPESMQSPMTGFNHPRGGPMHGPP QHMYVGQNGRSSMVPSQPMGYGFQPQFMPGMRPGSGPGNFIMPYPLQRQPQAGHRMGF GRGATNMPHHIQQQQQLIHHNPSPGMRYMNGAGNGRNGLELSVSQGTLPPVMPLPIDA SSIGIVAPQNHQKAPLLPISKLTSLLASASPADRTRMLGERLYPLVERQEPLHVAKVT GMLLEMDQAEILHLMESPEALKSKVSEALDVLRLSADAPDHDLGFSTID" gene complement(19461041..19462692) /locus_tag="BRARA_H02232" /db_xref="Phytozome:Brara.H02232" mRNA complement(join(19461041..19461324,19461435..19461580, 19461732..19461812,19461899..19462045,19462127..19462226, 19462348..19462374,19462455..19462692)) /locus_tag="BRARA_H02232" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02232" CDS complement(join(19461261..19461324,19461435..19461580, 19461732..19461812,19461899..19462045,19462127..19462226, 19462348..19462374,19462455..19462507)) /locus_tag="BRARA_H02232" /codon_start=1 /product="hypothetical protein" /protein_id="RID51579.1" /db_xref="Phytozome:Brara.H02232" /translation="MSMRRRSLLKVIVLGDSGVGKTSLMNQYVNNKFSQQYKATIGAD FVTKELQIDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNYLKSFDSLDNW HEEFLKQASPRDPTAFPFILLGNKVDIDGGNSRVVSEKKAREWCAQMGNICYYETSAK EDYNVDESFLCITKLALANERDQDIYFQGIPESGSEPEQRGGCAC" gene complement(19463284..19464263) /locus_tag="BRARA_H02233" /db_xref="Phytozome:Brara.H02233" mRNA complement(join(19463284..19463556,19463683..19463729, 19463820..19463879,19464011..19464263)) /locus_tag="BRARA_H02233" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02233" mRNA complement(join(19463284..19463587,19463683..19463729, 19463820..19463879,19464011..19464263)) /locus_tag="BRARA_H02233" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02233" CDS complement(join(19463469..19463556,19463683..19463729, 19463820..19463879,19464011..19464178)) /locus_tag="BRARA_H02233" /codon_start=1 /product="hypothetical protein" /protein_id="RID51580.1" /db_xref="Phytozome:Brara.H02233" /translation="MLTFPLCGCELSSESKTLAFAASLISISQTRRQIVLGRRDSVKV SAAKSGNFSLGSIFKSCDTCGAKGAIECPGCKGTGRNKKNGNIFERWKCFDCQGFGMK SCPKCGKGGLTPEQRGER" CDS complement(join(19463557..19463587,19463683..19463729, 19463820..19463879,19464011..19464178)) /locus_tag="BRARA_H02233" /codon_start=1 /product="hypothetical protein" /protein_id="RID51581.1" /db_xref="Phytozome:Brara.H02233" /translation="MLTFPLCGCELSSESKTLAFAASLISISQTRRQIVLGRRDSVKV SAAKSGNFSLGSIFKSCDTCGAKGAIECPGCKGTGRNKKNGNIFERWKVNGLESANV" gene 19469602..19474567 /locus_tag="BRARA_H02234" /db_xref="Phytozome:Brara.H02234" mRNA join(19469602..19469838,19469963..19470167, 19470248..19470413,19470486..19470708,19470791..19470867, 19471075..19471262,19471369..19471466,19471536..19471669, 19471742..19471876,19471992..19472376,19472472..19472593, 19472855..19472965,19473107..19473319,19473557..19473742, 19473891..19474002,19474105..19474567) /locus_tag="BRARA_H02234" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02234" CDS join(19469710..19469838,19469963..19470167, 19470248..19470413,19470486..19470708,19470791..19470867, 19471075..19471262,19471369..19471466,19471536..19471669, 19471742..19471876,19471992..19472376,19472472..19472593, 19472855..19472965,19473107..19473319,19473557..19473742, 19473891..19474002,19474105..19474356) /locus_tag="BRARA_H02234" /codon_start=1 /product="hypothetical protein" /protein_id="RID51582.1" /db_xref="Phytozome:Brara.H02234" /translation="MAKSENSTTSTYSSSAKAQPSSDSEAGPDSYALEKFKLYETRAR FYLVGSDRSKRFFRVLKIDRSEPSELNISEDPVVYSPQEIKNLLQRISEGNRATGGLA FVAKVYGIAGCAKFMESYYLVLVTKRRQIGCICGHAIYAIDESQMITVPHATIQSDAA NSKTELRYKKLLSSVDLTKDFFYSYTYPIMRSLQKNVLSSGEEGVPYDNIFVWNAYLT QPIRSRCNNTIWTLALVHGHFKQIRLSIYGRDFSVTLVSRRSRHFAGTRYLKRGVNDR GRVANDVETEQLVFDEEAGSCKGKMTSVVQMRGSIPLFWSQEASRFSPKPDIFLQRYD PTYESTKMHFEDLVNRYGNPIIVLNLIKSVEKRPREMVLRREFAKAVGYLNSIFPEEN RFKFIHWDFHKFAKSKSANVLAVLGAVASEALDLTGFYFSGKPKIVKKKASQLSHAST GREQSLRDLRAYSLELSRGESSNDILSALANREKEMKLSQQKRDDGTDSAAPRYQSGV LRTNCIDCLDRTNVAQYAYGLAALGRQLHAIGLSDTSKIDPDSSIAAALMDMYQGMGD ALAQQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNTYTDGEKQDAINLFLG YFQPQEGKPALWELDSDYYLHVSGIGDDIFPERGLQSIAKPMSGIGVNLAPVPAFRED FSRKKLTSFDKLIEQTCSSIKNVRLCGETDQRPGGGTGGTGVAPDAAEIQLKTPNWLF GSRKPEESGSATKPEADDSEKGVTSSERVNDFCNLDWLSESNDHQEDIFQRYLSITST NEANGWYGGTLLGDQDENSEIYRHYAQFCQFPAMEPFENDHELELNSAEVLRMNTVDV MDIGEEESEMENALNEYARIGSDLGIIPTQCKYFAGDPCWLARWLVGDDKVPKVI" gene 19478062..19480720 /locus_tag="BRARA_H02235" /db_xref="Phytozome:Brara.H02235" mRNA join(19478062..19478360,19478439..19478656, 19478742..19479289,19479820..19480720) /locus_tag="BRARA_H02235" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02235" CDS join(19478237..19478360,19478439..19478656, 19478742..19479289,19479820..19480624) /locus_tag="BRARA_H02235" /codon_start=1 /product="hypothetical protein" /protein_id="RID51583.1" /db_xref="Phytozome:Brara.H02235" /translation="MKKPEEEASLLEDYVSDSVDHRGSPAAKSSTGGWRSAWFIIGVE VAERFAYFGIACNLINYLTGPLGQSTAAAAVNVNTWSGTASMLPILGAFVADAYLGRY RTILAASLIYILGLGLLTLSASFILTGESEQRVNVSAKPSVSVNILFFCSLYLVAIGQ GGHKPCVQAFGADQFDSGDPKEVISRGSFFNWWFLSLSAGISLSIIVVAYVQDNVSWA YGFGIPCLFMVMALVMFLLGRKSYRYPKGNREESSNAFARIGRVFFVAFKNRKLSLAG SGLGQGLLEDGPSEKHSGRLEFLAKAMIAREDGPEPCSGRDVEDAKALVRLIPIWITS VVSTIPYAQFMTFFTKQGVTVDRRILPGLEIPAASLLSFIGVSILISVPFYEHVFLPL ARMITKKPFGITMLQRIGVGMVLSSFNMVLAALVETKRLNIAREHGLVDRPDVTVPMS IWWFAPQYLLLGMIDVFSLVGTQEFFYDQVPTELRSIGLSLSLSAMGLSSFLSGLLIT VIDWATGRNGGENWFNTNLNRAHVDYFYLLLAAFTAIAFIAFLFISKLYVYRRVDQ" gene 19482299..19483480 /locus_tag="BRARA_H02236" /db_xref="Phytozome:Brara.H02236" mRNA join(19482299..19482428,19482538..19482710, 19483168..19483480) /locus_tag="BRARA_H02236" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02236" CDS join(19482311..19482428,19482538..19482710, 19483168..19483182) /locus_tag="BRARA_H02236" /codon_start=1 /product="hypothetical protein" /protein_id="RID51584.1" /db_xref="Phytozome:Brara.H02236" /translation="MEKKKSDDVNSKWDACLDLTARRVVYSSLGGAFAGLLFFRSPVT RWASIAFGAGLGIGSAYTDCSRVFDASSSSSASAALVAPISTETSSSSSYVSQAEEE" gene 19492297..19494575 /locus_tag="BRARA_H02237" /db_xref="Phytozome:Brara.H02237" mRNA join(19492297..19492965,19493554..19493937, 19494310..19494575) /locus_tag="BRARA_H02237" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02237" CDS join(19492528..19492965,19493554..19493937, 19494310..19494396) /locus_tag="BRARA_H02237" /codon_start=1 /product="hypothetical protein" /protein_id="RID51585.1" /db_xref="Phytozome:Brara.H02237" /translation="MPLESVVYPQDPLSYPSTCKDFMFQDLYYQEEVVVAQDTKNNMN KLGQEQSFVENDKEEDRQWRDYHQYPLLPSLEEELGLPAIDMESHPPLQQRRKRRRTR SNKNVEEIENQRMTHIAVERNRRKQMNEYLAVLRSLMPSSYAQRGDQASIVGGAINYV KKLEHILQSMEPNRTTTITHEANTSTSSLVDPFSDFFSFPQYSTKSSSITEGSSSPAE IEVTVAEGHANIKIMAKKKPKQLLKLVASLQSLRLTLLHLNVTTLDNSILYSISVKVE EGSQLTTVDDIATALNQIIRRIQEES" gene complement(19495977..19497158) /locus_tag="BRARA_H02238" /db_xref="Phytozome:Brara.H02238" mRNA complement(join(19495977..19496248,19496339..19496420, 19496513..19496595,19496677..19496772,19496995..19497158)) /locus_tag="BRARA_H02238" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02238" CDS complement(join(19496195..19496248,19496339..19496420, 19496513..19496595,19496677..19496772,19496995..19497036)) /locus_tag="BRARA_H02238" /codon_start=1 /product="hypothetical protein" /protein_id="RID51586.1" /db_xref="Phytozome:Brara.H02238" /translation="MAEAASAQTPSLSEQYHLENEVKQVKEAPEESNDEVAEEETPDE ITLETAPADFRFPTTNQTRHCFTRYIEYHRCVAAKGDDAPECDKFAKFYRSLCPSEWV DRWNEQRENGTFPGPL" gene complement(19498669..19500921) /locus_tag="BRARA_H02239" /db_xref="Phytozome:Brara.H02239" mRNA complement(join(19498669..19498896,19499013..19499174, 19499237..19499332,19499418..19499482,19499574..19499649, 19499766..19499848,19500013..19500341,19500482..19500528, 19500616..19500921)) /locus_tag="BRARA_H02239" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02239" CDS complement(join(19498780..19498896,19499013..19499174, 19499237..19499332,19499418..19499482,19499574..19499649, 19499766..19499848,19500013..19500341,19500482..19500528, 19500616..19500810)) /locus_tag="BRARA_H02239" /codon_start=1 /product="hypothetical protein" /protein_id="RID51587.1" /db_xref="Phytozome:Brara.H02239" /translation="MDKTSSSSIHEGKPIRCKAALCKKAGEALVIEEIQVDPPQAYEV RIKILCTSLCHTDVTFWKLDWGPLARFPRILGHEAVGVVESIGDHVNGFKQGDVVLPV FHPHCEECRDCKSPKSNWCSRYCDDFFSNTRRYGMDSRFKDSSGEDIYHFLFVSSFTE YTVVDIAHLVKISPEIPVEKAALLSCCVSSGVGAAWKVADVEEGSTVAIFGLGGVGLA VAEGARLRGAARIIGVDLNPDKFEIGKRFGITDFVNPALSGEKKISQVIKEMTEGGVD HSFECIGLTSLMEEAFNSTRTGSGKTVILGMEKQMLPISLGSHDLLRGRTICGTLFGG LKPKLDIPILVDSYLKRELNLNGFITHELSFEEINKAFDLLVKGETIRCVLWMDK" gene <19501941..19502937 /locus_tag="BRARA_H02240" /db_xref="Phytozome:Brara.H02240" mRNA join(<19501941..19502652,19502730..19502937) /locus_tag="BRARA_H02240" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02240" CDS join(19501941..19502652,19502730..19502929) /locus_tag="BRARA_H02240" /codon_start=1 /product="hypothetical protein" /protein_id="RID51588.1" /db_xref="Phytozome:Brara.H02240" /translation="MAMGKNKKPIYESRLVNMDRANAAGFKATALRLAGTTPFSRGMN SLRHLPLAQVSKTQPISRNGDGITPLLYGYPAALTRNNALSTSTFETSPPSPPSLEEE SMKAPVAAFENRMAQDDSNVEHFSAWSPFDEPFITIDREQQPEYVPPQVPLSSSYDSL DCPNALNDSPPFPRTLLSDNLNWPNFSHCLRSDQTPLPQPTTSPSESLHRTGSAHGLR SGNIPATHLPWGSLYPNAYGPVPARPSYLNGRGSENMVPPVPGYLPSESLFSWSNSHN IPPLVPAALPYGSGGWPYHHGFGTIPS" gene complement(19503122..19504940) /locus_tag="BRARA_H02241" /db_xref="Phytozome:Brara.H02241" mRNA complement(join(19503122..19503417,19503508..19503624, 19503710..19503829,19504295..19504940)) /locus_tag="BRARA_H02241" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02241" CDS complement(join(19503355..19503417,19503508..19503624, 19503710..19503829,19504295..19504774)) /locus_tag="BRARA_H02241" /codon_start=1 /product="hypothetical protein" /protein_id="RID51589.1" /db_xref="Phytozome:Brara.H02241" /translation="MAVSSREDYVYMAKLSEQAERYEEMVEFMEKLSAAADGTELTVE ERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRVKTIRDYKAKIESELAGICD GILKLLESALVPSAASEDSKVFYLKMKGDYYRYLAEFKIGKERDDAADNTLSAYESAQ ENAKGLAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKTAFDAAIAELDTLGEESYK DSTLIMQLLRDNLTLWTSDMQDEAADEIKEATPPKPTEEQK" gene complement(<19505327..>19506239) /locus_tag="BRARA_H02242" /db_xref="Phytozome:Brara.H02242" mRNA complement(join(<19505327..19505525,19505881..>19506239)) /locus_tag="BRARA_H02242" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02242" CDS complement(join(19505327..19505525,19505881..>19506239)) /locus_tag="BRARA_H02242" /codon_start=1 /product="hypothetical protein" /protein_id="RID51590.1" /db_xref="Phytozome:Brara.H02242" /translation="LKIVEINSEANQREELSKWFIKYLNRQGDWLEKTRGNLMVAATV IAGMSFQVMVNPPSGVWQSDICSPGHQTGVCKAKVWGAIVQTSKRGFYHGMVTSTTIS FSASMTQILLIISGLRLRNRLIMAILVTFMTVAVLCISAAFYCAVLVQSDDQVITRIL KIYLGIWIVFFICILLIQLIRLHVG" gene complement(19507031..>19509355) /locus_tag="BRARA_H02243" /db_xref="Phytozome:Brara.H02243" mRNA complement(join(19507031..19507454,19507772..19507841, 19508952..>19509355)) /locus_tag="BRARA_H02243" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02243" CDS complement(join(19507197..19507454,19507772..19507841, 19508952..19509355)) /locus_tag="BRARA_H02243" /codon_start=1 /product="hypothetical protein" /protein_id="RID51591.1" /db_xref="Phytozome:Brara.H02243" /translation="MALMNGSMNLSSIKTTIFNHRQTSFSSAVPRTTSLRISAVQTDP KPPTSSTVTKSVEVNVSKSKWTPESWKKQKALQQPEYPDLAELEAVLDTIESFPPIVF AGEARLLEERLGQAAMGEAFLLQGGDCAESFKDDPMHGNTIKAPCGLKTRPFDSIMAE VKAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHCDPRLNASQ SLELSFIIAERLRKRRIKSQQAFSV" gene 19513482..19515311 /locus_tag="BRARA_H02244" /db_xref="Phytozome:Brara.H02244" mRNA join(19513482..19514031,19514209..19515311) /locus_tag="BRARA_H02244" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02244" CDS join(19513515..19514031,19514209..19515134) /locus_tag="BRARA_H02244" /codon_start=1 /product="hypothetical protein" /protein_id="RID51592.1" /db_xref="Phytozome:Brara.H02244" /translation="MASHAVSNGQKPHVICVPFPAQGHINPMLKVAKLLHAKGFHVTF VNNVYNHNRLLRSRGPNALDGVPSFRFESIPDGLPETDGDRTQDVPFLCESTMKNCLA PFKELLQRINARDDIPPVSCIVSDGLMTFTLDAAEELSVPNIIFWTTSACGFLAYLYF DRLVEKGLCPIKDESCLDREIDWIPTMKNLRLKDIPSFIRATSRDDIMLNFFLHEVDR VKRASAIILNTFDDLEHDTIQAIQYITPPVYSIGPLHLVVNRDIDKDSEIGRMGSNLW REDTKCVDWLDTKAPNSVVYVNFGSITVMSAKHLVEFAWGLAATGKDFLWVIRPDLVD GKLAVVPPEFLTETADRRMIATWCPQEKVLSHPAIGGFLTHSGWNSTLESLCCGVPMV CWPFFAEQQTNCKFCRDEWEVGMEIGGDVKREEVDAVVRELMDGEKGNKMREKAEKWR LLAEEATEPKRGSSELNFETVVDKVLLARG" gene <19516848..19517438 /locus_tag="BRARA_H02245" /db_xref="Phytozome:Brara.H02245" mRNA join(<19516848..19516913,19517018..19517438) /locus_tag="BRARA_H02245" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02245" CDS join(19516848..19516913,19517018..19517230) /locus_tag="BRARA_H02245" /codon_start=1 /product="hypothetical protein" /protein_id="RID51593.1" /db_xref="Phytozome:Brara.H02245" /translation="MEKDREKQIYLAKLSEQTGRYDGAVAAAETGLAPTHPLRLGLAL NFSVFYHEILNSPQRFGNYFNTYNIIIACVTLSQQFETMCLFCTVQSN" gene complement(19517533..19519169) /locus_tag="BRARA_H02246" /db_xref="Phytozome:Brara.H02246" mRNA complement(join(19517533..19517898,19517968..19518122, 19518219..19518495,19518564..19518797,19518915..19519169)) /locus_tag="BRARA_H02246" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02246" CDS complement(join(19517761..19517898,19517968..19518122, 19518219..19518495,19518564..19518797,19518915..19518950)) /locus_tag="BRARA_H02246" /codon_start=1 /product="hypothetical protein" /protein_id="RID51594.1" /db_xref="Phytozome:Brara.H02246" /translation="MGKFCCFTSPSEVVGGQSSSGKGRSDEGSIKYGFSLVKGKANHP MEDYHVANFINSQDHELGLFAIYDGHMGDTVPAYLQKHLFSNILKEGEFWVDPRRSIA KAYEKTDQAILSNSSDLGRGGSTAVTAILINGRKLWVANVGDSRAVLSRGGRAVQMST DHEPRAERSSIEDRGGFVSNLPGDVPRVNGQLAVSRAFGDKGLKTHLSSEPDIRDVVV DSQTDVLLLASDGIWKVMTNEEAMEIAKRVKDPQKAAKELTAEALRRESKDDISCVVV RFR" gene complement(<19521713..>19522339) /locus_tag="BRARA_H02247" /db_xref="Phytozome:Brara.H02247" mRNA complement(join(<19521713..19521961,19522010..>19522339)) /locus_tag="BRARA_H02247" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02247" CDS complement(join(19521713..19521961,19522010..19522339)) /locus_tag="BRARA_H02247" /codon_start=1 /product="hypothetical protein" /protein_id="RID51595.1" /db_xref="Phytozome:Brara.H02247" /translation="MSGSDMSLRRELAKLRSLCLVICFLYVVTVARVSLRRRLDSSDP RVNTLFSIAEDIGFPRVLAERWRGSDPCQHWYGINCTDGIITTIKLINCNTTGIISLR FAELNSLSLLTKLKKLVILDVSYNDLHGKVIEFRKEVVFAEGNPQIEKDQVISRQSFI WIGIGIGFLLPGVIRVLFYYLVIRKMTSVMET" gene complement(19523822..19524998) /locus_tag="BRARA_H02248" /db_xref="Phytozome:Brara.H02248" mRNA complement(19523822..19524998) /locus_tag="BRARA_H02248" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02248" CDS complement(19523942..19524901) /locus_tag="BRARA_H02248" /codon_start=1 /product="hypothetical protein" /protein_id="RID51596.1" /db_xref="Phytozome:Brara.H02248" /translation="MDVFDGLPDPIVVYILDKVGDVKTLLRCSSLSKRFNSLVPQSES LILRLDQVVTTESPPDSPVSNFFKSVCKPFHGLFSLFSKPAKPIPTTNLSPVIPSKLL SRFDRIKNLDVELLGGDAKPEKGAGIKWKADFGKTLKTCVVVAFRSASTVSSSDGESD AEFVTGLKTRVEWTIKALMAASTRHHLMSQVVKEHKEMESLVMHERGGEGTVVMKSEG LREFRKTEVARDQELEERVEKKQRSVVPSVRMSMRHAPSLKLKSGICLESATLVIVRP SEEYSDVGDDKLATEAFAGSCMYGEAVAALLKRNKNTLDMNSF" gene 19527176..19529767 /locus_tag="BRARA_H02249" /db_xref="Phytozome:Brara.H02249" mRNA join(19527176..19527449,19527657..19527744, 19527819..19528574,19528846..19529135,19529321..19529409, 19529498..19529767) /locus_tag="BRARA_H02249" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02249" mRNA join(19527176..19527449,19527657..19527744, 19527819..19528574,19529321..19529409,19529498..19529767) /locus_tag="BRARA_H02249" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02249" CDS join(19527439..19527449,19527657..19527744, 19527819..19528574,19529321..19529409,19529498..19529531) /locus_tag="BRARA_H02249" /codon_start=1 /product="hypothetical protein" /protein_id="RID51598.1" /db_xref="Phytozome:Brara.H02249" /translation="MSKRILCKFFAHGACLKGDNCEFSHDWKDPSNNICTFYQRGNCS YGSRCRYEHVKPSRPPHPSPASSSTYLSSPFVSQRDLSSSPAWTLASLDDEVNNTDTT KPEDQPICSYAAAGDCPRGDQCPHIHGDLCPTCQKHCLHPFRPVEREEHKRSCEKKHK QLEALKLSQDVECCVCLERVLSKPTPAERKFGILTECDHAFCIGCIRNWRSSSPSTGM DVNSTLRACPICRKLSYFVVPSVIWFSDPEEKKGIMDNYRDKLRSIDCKHFNFGDGNC PFGTSCFYKHAFHDGRLEEVVLRHLDAEDGQTVIAKDIRLSDFLENMHI" CDS join(19527439..19527449,19527657..19527744, 19527819..19528574,19528846..19529112) /locus_tag="BRARA_H02249" /codon_start=1 /product="hypothetical protein" /protein_id="RID51597.1" /db_xref="Phytozome:Brara.H02249" /translation="MSKRILCKFFAHGACLKGDNCEFSHDWKDPSNNICTFYQRGNCS YGSRCRYEHVKPSRPPHPSPASSSTYLSSPFVSQRDLSSSPAWTLASLDDEVNNTDTT KPEDQPICSYAAAGDCPRGDQCPHIHGDLCPTCQKHCLHPFRPVEREEHKRSCEKKHK QLEALKLSQDVECCVCLERVLSKPTPAERKFGILTECDHAFCIGCIRNWRSSSPSTGM DVNSTLRACPICRKLSYFVVPSVIWFSDPEEKKGIMDNYRDKLRSIDCKHFNFGDGNC PFGTSCFYKHTVKPGSYAWRHHRPPPRRPRPTSGSNFSEINAFLNMMGNIMSEGGYGP FGFEDSDDDDNELTSTDMMMLLMNLDMDSDDDDSNEDSY" gene <19530168..19532748 /locus_tag="BRARA_H02250" /db_xref="Phytozome:Brara.H02250" mRNA join(<19530168..19530352,19530496..19530549, 19530631..19530663,19531314..19531332,19531428..19531489, 19531622..19531649,19531747..19531808,19531911..19532171, 19532306..19532468,19532555..19532748) /locus_tag="BRARA_H02250" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02250" CDS join(19530168..19530352,19530496..19530549, 19530631..19530663,19531314..19531332,19531428..19531489, 19531622..19531649,19531747..19531808,19531911..19532171, 19532306..19532468,19532555..19532704) /locus_tag="BRARA_H02250" /codon_start=1 /product="hypothetical protein" /protein_id="RID51599.1" /db_xref="Phytozome:Brara.H02250" /translation="MGRVKLEIKRIENTTNRQVTFSKRRNGLIKKAYELSILCDIDIA LIMFSPSDRLSLFSGKTRIEDVFTRFINLSNQERENALVFPDQDRRPDIQSKEYLLRI LQQLKTENDIALQLTNPAAIKSDVEELEQEVCRLQQQLQMAEEELRRYEPDPVRFTSM EDYEVCEKQLLDTLTHVVQRREHLVNSHLSSYEASTMQQGIAGPFANGVLEGWLPENG HNQVNLFDASAHSNQLRELSSAMYEPLLQGSSSSSNQNNMSECHVTNHNGDMFSEWAQ AYSSSALFPSMNQHGSVGPSIEEMIPGQQSEIPAVTTMEAPQQAKLEMVDDYETRVPQ LSSQ" gene <19539956..>19540810 /locus_tag="BRARA_H02251" /db_xref="Phytozome:Brara.H02251" mRNA <19539956..>19540810 /locus_tag="BRARA_H02251" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02251" CDS 19539956..19540810 /locus_tag="BRARA_H02251" /codon_start=1 /product="hypothetical protein" /protein_id="RID51600.1" /db_xref="Phytozome:Brara.H02251" /translation="MERASSSSSESSSSMGSSSDQTSHFVTSSMLSRTSSSSSSAFGD YIGTESCFDILEENDVVSAPTKPSNRYRYGGRRREEREARAAAAREFPPPIPLLAQTE NLLPHMPWVLKRVVTSDGRLILREEKVRHHEYFRAHRANGRLTLDLVPLDDDVFDLPQ EPSHYHSDEDDHNDDYDEHECDVDDHHNEVGDDLDDLADNVDESVIITASDDDDGVIH NYDEDKCNVHGGIKDGYRKTILEVAAAEETVVESGGMVGGGGSPRGKCMKSCFVGMTV REIRPVLS" gene <19542629..>19544856 /locus_tag="BRARA_H02252" /db_xref="Phytozome:Brara.H02252" mRNA join(<19542629..19542730,19542869..19543078, 19543197..19543344,19543425..19543465,19543563..19543673, 19543876..19544484,19544710..>19544856) /locus_tag="BRARA_H02252" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02252" CDS join(19542629..19542730,19542869..19543078, 19543197..19543344,19543425..19543465,19543563..19543673, 19543876..19544484,19544710..19544856) /locus_tag="BRARA_H02252" /codon_start=1 /product="hypothetical protein" /protein_id="RID51601.1" /db_xref="Phytozome:Brara.H02252" /translation="MEIGLEPKDAVDWSYRGEGAVNLVLAYTGSSPSFLGKMMRIQKM PNDGKEDNGNTSGNGLTSHEKVIWGECKELVSCQNKEIVEFLFVKHVMRPLLGHKHVN PGMRLLVAKEFLESVENIVTSQRPSWRADAASVDTHRNSVLLMDDLTLFAHGRVEDKP CLSVEIKPKCGFLPSSSFIAEENVIKKSITRFEMHQVLKLHDNEISEISEYDPLDLFS GSKDRIHKAIRALYATPQNNFRVFLNGSLVFGGLGGGTCKTTSKVEQDFEQLLKDIIK TKDGSRANHFIELVAETVYTSGVLDHLLDVQKLDKYNIEGAVHVYYDLINQPCRVCKE LEKSKTSSTSQFSSMHSIPMAEKVNVLKEFLISATAKDCSVMISFRSTDAVISRSSSH SNLHLESAKQEFDYKVHFIDLDMRPLKKMEVYYELDKKIMNTYLEMVKKKEARGERRA QRQCF" gene complement(19545042..19547572) /locus_tag="BRARA_H02253" /db_xref="Phytozome:Brara.H02253" mRNA complement(join(19545042..19545645,19545720..19546049, 19546127..19546204,19546343..19546402,19546517..19546603, 19546682..19546864,19547050..19547572)) /locus_tag="BRARA_H02253" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02253" CDS complement(join(19545274..19545645,19545720..19546049, 19546127..19546204,19546343..19546402,19546517..19546603, 19546682..19546864,19547050..19547052)) /locus_tag="BRARA_H02253" /codon_start=1 /product="hypothetical protein" /protein_id="RID51602.1" /db_xref="Phytozome:Brara.H02253" /translation="MMMSSSSSNTQAVPFRDMAMYEPFQQSTGWDNTFNTITSNNHNN NNQTSSAVARREADDNNKGNYTSLYNNSVEAEPSSNNDQEEVQINDKMKRRLAQNREA ARKSRLRKKAHVQQLEESRLKLSQLEQELVRARQQGLCVTSDATYLGPAGTMNTGIAA FEMEHKHWLEEQNKRVSEIRTALQAHISDVELKMLVDVCLNHYANLFRMKADAAKADV FFLISGMWRTSTERFFQWIGGFRPSELLNVVMPYIEPLTDQQLLEVRNLQQSSQQAEE ALSQGLDKLQQGLVENIAVVESLNHGGAQMASAMENLESLEGFVNQADHLRKQSLQQM SKVLTTRQAARGLLALGEYFHRLRALSSLWAARPRN" gene complement(19549584..19550059) /locus_tag="BRARA_H02254" /db_xref="Phytozome:Brara.H02254" mRNA complement(19549584..19550059) /locus_tag="BRARA_H02254" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02254" CDS complement(19549724..19549939) /locus_tag="BRARA_H02254" /codon_start=1 /product="hypothetical protein" /protein_id="RID51603.1" /db_xref="Phytozome:Brara.H02254" /translation="MSLDGLGGMAMAEAYTARKFHRENMKALTASTATTVGGGTEDNG GGYSLWFFGKRSTKKNSAKVCDLITIE" gene 19567941..19569452 /locus_tag="BRARA_H02255" /db_xref="Phytozome:Brara.H02255" mRNA 19567941..19569452 /locus_tag="BRARA_H02255" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02255" CDS 19568478..19568687 /locus_tag="BRARA_H02255" /codon_start=1 /product="hypothetical protein" /protein_id="RID51604.1" /db_xref="Phytozome:Brara.H02255" /translation="MGLGIGRLTSFIKQMGQAQSLRDISSINVVRTSQEALDLRCSST PRERPPEELSRRGKLPLSRRQPGGE" gene 19574216..19576196 /locus_tag="BRARA_H02256" /db_xref="Phytozome:Brara.H02256" mRNA join(19574216..19574936,19575346..19576196) /locus_tag="BRARA_H02256" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02256" CDS join(19574571..19574936,19575346..19575969) /locus_tag="BRARA_H02256" /codon_start=1 /product="hypothetical protein" /protein_id="RID51605.1" /db_xref="Phytozome:Brara.H02256" /translation="MDPSAATSVNGYYSFLNRSMDDLERVYLSNNFMSVHFLQRALCL LRTSHSHLTLLVQKLQLPVGDKWLDEYMDESSKLWEACLVIKTAVSSVESFSSAGISI ASTLDGHYHHRRLSPQLSRQVIRAIAGCGREAIGIEEENRALMENRVQRFPFWSEQTA AMESSTKLQNGFSGFRGVLYATRNMSSLLLMVLIHGLVYCFPGDATLSQTHTQNQVGG FVGAMGRLQQRVAAEVGRMGVRKGMLMHEYRRSKAALEELKAELERRFCGGGGGGESE EEGERELRERVENLKGCFGNLRNGTESIVAQIDDLFDEIVEGRKKLLDFCSHR" gene complement(19577832..19580284) /locus_tag="BRARA_H02257" /db_xref="Phytozome:Brara.H02257" mRNA complement(join(19577832..19578405,19578521..19578622, 19578714..19580284)) /locus_tag="BRARA_H02257" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02257" CDS complement(join(19578182..19578405,19578521..19578622, 19578714..19580124)) /locus_tag="BRARA_H02257" /codon_start=1 /product="hypothetical protein" /protein_id="RID51606.1" /db_xref="Phytozome:Brara.H02257" /translation="MDRAQSDLSLGFGSSHALPLPPPRNPIADDSITLQLLEQRFDAP RSRTRAVVDKDDNFEDEDDDGDDQREDFILLGQPMKLKRSRPSSSTSSPTPCKRLAID SSLETRRALVKAWGNEPLSEADPDLHELMEKEKQRQFRGIELIASENFVCRAVMEALG SHLTNKYSEGMPGARYYTGNNYIDQIETLCQERALQAFGLHHEQWGVNVQPYSCTSAN FAVFAGLLSPGERIMGLDSPSGGHMSHGYYTPGGKKVSGASIFFESFPYKVDPRTGYI DYEKLEEKALDFRPKILICGGSSYPRDWEFPRFRFIADKCGAVLMFDMAQISGLVAAK EAPNPFEYCDVVTSTTHKSLRGPRGGIIFYRRGFKANKKQSFNLNHCESNVQYDFEER INFSVFPSLQGGPHNNHIAALAIALKQAASPEYKVYMRQVKKNAKALASALISRKCKL VTGGTDNHLLLWDLTPLGLTGKVYEKVCEMCHITVNKVAIFSENGVISPGGVRIGSPA MTSRGCLEQDFETMAEFLYRAAQIASAALREHGKLQKEPLKSIYHCKDIADLRNQVEA FASQFAMPGFEI" gene <19581495..19583954 /locus_tag="BRARA_H02258" /db_xref="Phytozome:Brara.H02258" mRNA join(<19581495..19581586,19581741..19581831, 19581957..19582015,19582106..19582305,19582404..19582467, 19582580..19582652,19582733..19582853,19582935..19583022, 19583225..19583350,19583468..19583577,19583670..19583954) /locus_tag="BRARA_H02258" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02258" CDS join(19581495..19581586,19581741..19581831, 19581957..19582015,19582106..19582305,19582404..19582467, 19582580..19582652,19582733..19582853,19582935..19583022, 19583225..19583350,19583468..19583577,19583670..19583818) /locus_tag="BRARA_H02258" /codon_start=1 /product="hypothetical protein" /protein_id="RID51607.1" /db_xref="Phytozome:Brara.H02258" /translation="MKTKISKRLSLTWVSLLCISCFFLGAIFNSRFRGSDSGSQLMLH RRDQEVNVVTEEYAHEKKKSQEKDVIQEVLKTHKAIESLDKSVSMLQKQLSVRQSHQQ IVDVATTNTSTEGSQRKKVFMVIGINTAFSSRQRRDSLRDSWMPQGEKLEKLEKEKGI VVKFMIGHSAVPHSIVDKEIDSEDAQYKDFYRLDHVEGYYNLSAKTKTFFSSAVATWD AEFYVKIDDDVHVNLGMLASTLALHRHKPRVYIGCMKSGPVLTKKTAKYREPEFWKFG EEGNKYFRHATGQIYAISKDLATYISNNQPILHKYANEDVTLGSWFLGLEVEHIDDRN FCCGTPPVCEMRAEVGDVCVASFDWKCSGVCKSVDRMWLVHAVCGEGDQAVWDAHL" gene <19591200..>19591844 /locus_tag="BRARA_H02259" /db_xref="Phytozome:Brara.H02259" mRNA <19591200..>19591844 /locus_tag="BRARA_H02259" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02259" CDS 19591200..19591844 /locus_tag="BRARA_H02259" /codon_start=1 /product="hypothetical protein" /protein_id="RID51608.1" /db_xref="Phytozome:Brara.H02259" /translation="MCFPAALVPPRSDILRLSDVERKEWQMKLVFDVETGEYTVGEEW ASFVRAKDLRAGDTVVFVSVLGSAITLFATSRAHSQSKVRHSINLDAFSKAIGGLMQS KPVELTYSPMDPHSDFLVSPRVYRDSLLVVWMRGMRVKKVREYDDDHHVGTITSTTFG NSDVHGVMRSLWRCHTVVWDAPYGFDRIHFSPWELTPSQELPQPQPPRTLPLFP" gene complement(19600735..19604457) /locus_tag="BRARA_H02260" /db_xref="Phytozome:Brara.H02260" mRNA complement(join(19600735..19601355,19601611..19601704, 19601776..19601906,19602003..19602196,19602283..19602393, 19602607..19602772,19602917..19603081,19603157..19604457)) /locus_tag="BRARA_H02260" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02260" CDS complement(join(19601052..19601355,19601611..19601704, 19601776..19601906,19602003..19602196,19602283..19602393, 19602607..19602772,19602917..19603081,19603157..19604196)) /locus_tag="BRARA_H02260" /codon_start=1 /product="hypothetical protein" /protein_id="RID51609.1" /db_xref="Phytozome:Brara.H02260" /translation="MMQESLDVEEEEVISSIRRDDSLPLTKPPPIMTRSRSQVATRRV TPTPPPLEIEKPLPNGDLYIGSFSGGFPHGSGKYLWSDGCMYEGEWKRGKASGKGKFS WPSGATYEGEFKSGRMEGFGTFTGADGDTYRGTWAADRKHGHGQKRYANGDFYEGTWR RNLQDGRGRYVWRNGNQYTGEWRGGVISGKGLLVWPNGDRYEGLWENGVPRGSGVFTC VDGSSCVGAWDRSNVMRSFFDGVEKSGLVVAATRKRSSVDSGGGSLGGEKVFPRICIW ESDGEAGDITCDIIDNVEASMIYRERASVDRVRQFKKNPCWFNGEAKKAGETISKGHK KYDLMLNLQLGIRYSVGKHASVVRELKQADFDPKEKFWTRFPPEGTKTTPPHQSVDFR WKDYCPLVFRRLRDHFQVDPAEYMLAICGNEALRELSSPGKSGSFFYLTQDDRFMIKT VKKSEVKVLLRMLPSYYKHVCQYENSLVTRFYGVHCVKPVGGQKTRFIVMGNLFCSEY RIQRRFDLKGSSHGRSTAKPEGEIDETTTLKDLDLNFAFRLQRNWYQELMKQIKRDCE FLEAERIMDYSLLVGVHFRDDSTGEKMGLSPFVLRSGRIDSYQNEKFMRGCRFLEAEL QDMDRILAGRKPSIRLGANMPARAERMARRSDFDQYTSGGGANYPSHGEMYEVVLYFG VIDILQDYDITKKIEHAYKSLQADPASISAVDPKLYSKRFRDFISRIFIEDG" gene <19618496..>19619094 /locus_tag="BRARA_H02261" /db_xref="Phytozome:Brara.H02261" mRNA join(<19618496..19618918,19618963..>19619094) /locus_tag="BRARA_H02261" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02261" CDS join(19618496..19618918,19618963..19619094) /locus_tag="BRARA_H02261" /codon_start=1 /product="hypothetical protein" /protein_id="RID51610.1" /db_xref="Phytozome:Brara.H02261" /translation="MPPEQDQYLPIASVTRIMRKILPSEARISEEAKENILICATKYI SFVTTEASDTCQSERRTTITAGDMLSAMSNLGFEDYVEPLNVFINRYRLSETDRGCSL RGGSSSFDPAYGGSGIGFHGPPHYGPPPHGTIPYGPLTHSMDLGGGDRYYPNGSGQDG TTGGGGSSSSMNGMPFCDQCGQYK" gene 19619599..19620193 /locus_tag="BRARA_H02262" /db_xref="Phytozome:Brara.H02262" mRNA 19619599..19620193 /locus_tag="BRARA_H02262" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02262" CDS 19619676..19620155 /locus_tag="BRARA_H02262" /codon_start=1 /product="hypothetical protein" /protein_id="RID51611.1" /db_xref="Phytozome:Brara.H02262" /translation="MDDGGRYTRRAAPTLIIALIVMFNTLGPILAPPTNLNASNRPPG TTEAEFRAKKVATKMFWAFSNTAFALSLAAALVQIGKRIVHGTREVCEKVSFMLVMVA LLFMSFAAMCAVAVKLCPSSLLSAFSLFQGMCLLLSTYLCSCVFIAEIAEIVISSLV" gene 19623733..19625627 /locus_tag="BRARA_H02263" /db_xref="Phytozome:Brara.H02263" mRNA join(19623733..19624866,19625026..19625627) /locus_tag="BRARA_H02263" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02263" CDS join(19623980..19624866,19625026..19625413) /locus_tag="BRARA_H02263" /codon_start=1 /product="hypothetical protein" /protein_id="RID51612.1" /db_xref="Phytozome:Brara.H02263" /translation="MEGQAKLTRTQSSLLRSPSTTVRSSFQSLSLIASEVSHQRQDLE AGEKEEKQRRKPPKPFGSSPRTGLTRINPGLTFTMVSLSFLSLSSFIFFVVFSQTDEL LTSENLLLALIFVAVALFFASKNIALLNQTILALKETTKVFGFHSKNRSEPVQWYIGD TETKPEKKIKPFVKEGVHFYSNGDFYEGEFHKGKCNGSGVYYYFVRGRYEGDWVDGRY DGHGIESWARGSRYKGQYRQGLRHGYGVYRFYTGDCYAGEWLNGQSHGFGVQSCADGS SYVGESRFGVKHGLGSYHFRNGDKYAGEYFGDKIHGFGVYRFANGHCYEGAWHEGRKQ GYGAYSFRTGDAKSGEWDSGNLVTFLHPTSEPVRRAVQAARETAKKAVTRRRVDEQVS RAVAAANKAATAARVAAVKAVQNQMDGNFCQS" gene complement(<19636895..>19637527) /locus_tag="BRARA_H02264" /db_xref="Phytozome:Brara.H02264" mRNA complement(<19636895..>19637527) /locus_tag="BRARA_H02264" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02264" CDS complement(19636895..19637527) /locus_tag="BRARA_H02264" /codon_start=1 /product="hypothetical protein" /protein_id="RID51613.1" /db_xref="Phytozome:Brara.H02264" /translation="MVKQELNIKKEMSLSPSSSPKTKSKKNKIKKYKGVRMRSWGSWV SEIRAPNKKTRIWLGSYSTAEAAARAYDVALLCLKGPQANLNFPSSASSHLLLDEKTH LSPKSIQKIAAQAANNSFDLFAPSSSADSSPSDHDQHPDDMQSLIGSFVDNRVSLMDP SSSWYDNDHNGMFFFDDGAPFNYSPPLNTTTNMVDGYFYEDADIPLWSFS" gene 19640372..19641598 /locus_tag="BRARA_H02265" /db_xref="Phytozome:Brara.H02265" mRNA join(19640372..19640666,19640806..19641018, 19641106..19641182,19641246..19641598) /locus_tag="BRARA_H02265" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02265" CDS join(19640460..19640666,19640806..19641018, 19641106..19641182,19641246..19641390) /locus_tag="BRARA_H02265" /codon_start=1 /product="hypothetical protein" /protein_id="RID51614.1" /db_xref="Phytozome:Brara.H02265" /translation="MAIRGIFTISTVVLFLLTVNHGEAIWLTIPATGGTKCVSEEIQS NVVVMADYYVVDEQNPGNTPAVSAKVTSPYGNNLHHQDNVTHGQFAFTTQETGNYLAC FSVDSSHPLPNPLTLGIDWKTGIAAKDWDSVAKKEKIEGVELQLTRLEGLVQAIRENI EYIKNREGEMREVSEATNARVAWFSIMSLGVCLSAAGAQIWYLKRYFHKKKLI" gene complement(19644410..19646543) /locus_tag="BRARA_H02266" /db_xref="Phytozome:Brara.H02266" mRNA complement(join(19644410..19644959,19645037..19645154, 19645718..19646543)) /locus_tag="BRARA_H02266" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02266" CDS complement(join(19644497..19644959,19645037..19645154, 19645718..19646381)) /locus_tag="BRARA_H02266" /codon_start=1 /product="hypothetical protein" /protein_id="RID51615.1" /db_xref="Phytozome:Brara.H02266" /translation="MKTPDKPIFYLFLILASSSLLFTATAKSTIEPCSSNDTCNSLLG YTLYTDLKVSEVASLFQVDPISILLANAIDISFPDVENHILPSHLFLKIPLTCSCVDG IRKSVSTRYKTRPSDTLASIAGSVYGGLVSAEQIQEANSVTDPSVLDVGTSLLVPLPC ACFNGTDNSLPAVYLSYVVKGVDTLAGIARRYETTVTDLMNVNAMGAPDVSSGDILAV PLSACASNFPKYASDYGLIVPNGSYALAAGHCVQCSCALGSRSLYCEPASLAVSCSSM QCTNSNLMLGNITVQQSSAGCNVTTCDYNGFANGTILTMLTRSLQPRCPGPQQFAPLL APPDTVPKDLMYAPAPSPDYDGPGSIAASPGSSVIPPGGGSLPGNPANGPAGSISTAP TVYSFSYFFIMFLISISFVFSC" gene complement(19647204..19648883) /locus_tag="BRARA_H02267" /db_xref="Phytozome:Brara.H02267" mRNA complement(join(19647204..19647542,19647636..19647867, 19648138..19648345,19648431..19648680,19648754..19648883)) /locus_tag="BRARA_H02267" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02267" CDS complement(join(19647318..19647542,19647636..19647867, 19648138..19648345,19648431..19648680,19648754..19648870)) /locus_tag="BRARA_H02267" /codon_start=1 /product="hypothetical protein" /protein_id="RID51616.1" /db_xref="Phytozome:Brara.H02267" /translation="MMEEGSLWHQWSMIRSLLAILQWWGFNVTVIIMNKWIFQKLDFK FPLSVSCVHFICSSIGAYIVIKVLKIKPLIVVDPEDRWKRIFPMSFVFCINIVLGNIS LRYIPVSFMQTIKSFTPATTVVLQWLVWRKYFDWRIWASLVPIVGGILLTSITELSFN VFGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILGVPAFLLEGNG ILDWFEAHPSPWSALVIILSSGVVAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLI SWMIFRNPISPMNAVGCGITLVGCTFYGYVSHLLSQQQPGTPRTPCTPRNKMELMIPL VNDKVEGKV" gene 19652974..19654422 /locus_tag="BRARA_H02268" /db_xref="Phytozome:Brara.H02268" mRNA join(19652974..19653320,19653955..19654422) /locus_tag="BRARA_H02268" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02268" CDS join(19653053..19653320,19653955..19654292) /locus_tag="BRARA_H02268" /codon_start=1 /product="hypothetical protein" /protein_id="RID51617.1" /db_xref="Phytozome:Brara.H02268" /translation="MDMYKDDSSPYCYFHPKEEYVGVCPLCLNERLLVLASKQRSPRT KHSSSSPIISLPKIFTLSSLLSRLDLRHHRKFHPSSDLDVSTSQEDSFISIKFENDGN SSWERKTVSKACEDNTNSTCKKQQAPITSTTSIVEHNSAKSSLKWRKRIGHLFHVIKL RSGFPTSSCHVASSKVEGTKVRKHGWMVRTLTRRKSRKSKT" gene complement(19657589..19660538) /locus_tag="BRARA_H02269" /db_xref="Phytozome:Brara.H02269" mRNA complement(join(19657589..19658063,19658150..19658262, 19658358..19658595,19658697..19658834,19659127..19659252, 19659336..19659521,19659600..19659881,19659962..19659992, 19660271..19660538)) /locus_tag="BRARA_H02269" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02269" CDS complement(join(19657854..19658063,19658150..19658262, 19658358..19658595,19658697..19658834,19659127..19659252, 19659336..19659521,19659600..19659881,19659962..19659992, 19660271..19660452)) /locus_tag="BRARA_H02269" /codon_start=1 /product="hypothetical protein" /protein_id="RID51618.1" /db_xref="Phytozome:Brara.H02269" /translation="MAMRGYALFSILALSLLASSVRSEETATETTKEFVLTLDHTNFT DTINKHDFIVVEFYAPWCGHCKQLAPEYEKAASELSSHVPPVVLAKIDASEETNREFA TQYEVQGFPTIKIFRNGGKAVQEYNGPREADGIVTYLKKQSGPASFEIKAAEDASEFD KKVIVVGVFPKLSGSEFDSFLATAEKLRSDYDFAHTSDAKLLPRGESVTGPVVRLFKP FDELFVDSKDFDGEALEKFVKESSIPLITVFDKDPNNHPYVIKFFDSSNTKAMLFINF TGEGAESLKSKYREVATSYKGQGLSFLLGDAENSQGAFQYFGLEESQVPLIIIQTTDD KKYLKTNIEIDQIESWVKDFKDGKVAPHKKSQPIPTENNEPVKIVVAESLDEMVFNSG KNVLLEFYAPWCGHCQKLVPILDEVAVSYQSDPSVVIAKLDATANDFPNDTFDVKGFP TIYLRSASGNIVLYDGDRTKEDIISFIDKNKDTAGEPKKEETTTEAVKDEL" gene complement(<19664727..>19665935) /locus_tag="BRARA_H02270" /db_xref="Phytozome:Brara.H02270" mRNA complement(<19664727..>19665935) /locus_tag="BRARA_H02270" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02270" CDS complement(19664727..19665935) /locus_tag="BRARA_H02270" /codon_start=1 /product="hypothetical protein" /protein_id="RID51619.1" /db_xref="Phytozome:Brara.H02270" /translation="MNYLPVHLLDNILFRLDLKSLVMMQCTNKSIRSHISDDPSFEIG YSSRVRLSFLYTFSKGAPFVFYQPFGSQCESMSNVKTFASLQGIHCYILGSCSGHLLL YTNGLYVVNPLTKRFRLLDHSGSMLLATIFNGPNNKANDTEERAMCIGFVPDQSRATT TKRFKIICILETHTTYAFEISDGNSWRFSETIITTTNSKSELTKRMKPVYLEGTLHWL RNDGSIIAFNIETEQARFIPSVFHPEPEMKLLFTLDDKINRLTLISGTKNTISVYTLT GDHTSKWALARQIENVLMTESEFEYWNVVAYDGKHMVVRDKEKDGSTGVAHVYNMEDN RWGAWWPTACQAKYNLDVFRFTPFFSFFEHTELVEDSTNEHLARIMRLIDTTKRIDKL FLMLALAYDK" gene complement(19667097..19668837) /locus_tag="BRARA_H02271" /db_xref="Phytozome:Brara.H02271" mRNA complement(join(19667097..19667333,19667422..19667516, 19667779..19667863,19668070..19668159,19668267..19668374, 19668449..19668630,19668710..19668837)) /locus_tag="BRARA_H02271" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02271" CDS complement(join(19667285..19667333,19667422..19667516, 19667779..19667863,19668070..19668159,19668267..19668374, 19668449..19668630,19668710..19668715)) /locus_tag="BRARA_H02271" /codon_start=1 /product="hypothetical protein" /protein_id="RID51620.1" /db_xref="Phytozome:Brara.H02271" /translation="MSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTIATDFQRISK IHDRVFIALSGLATDVQTLYQRLVFRHKLYQLREERDMKPETFASLVSAILYEKRFGP YLCQPVIAGLGEDEKPFICTMDSIGAKELAKDFVVSGTASESLYGACEAMYKPDMEAE ELFETISQALLSSVDRDCLSGWGGHVYVVTPTEIKERILKGRMD" gene 19669447..19673453 /locus_tag="BRARA_H02272" /db_xref="Phytozome:Brara.H02272" mRNA join(19669447..19669621,19669739..19670242, 19670548..19671086,19671173..19671530,19671622..19671695, 19671872..19671957,19672067..19672134,19672223..19672407, 19672516..19673453) /locus_tag="BRARA_H02272" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02272" CDS join(19669606..19669621,19669739..19670242, 19670548..19671086,19671173..19671530,19671622..19671695, 19671872..19671957,19672067..19672134,19672223..19672407, 19672516..19673067) /locus_tag="BRARA_H02272" /codon_start=1 /product="hypothetical protein" /protein_id="RID51622.1" /db_xref="Phytozome:Brara.H02272" /translation="MPATEDRRGKWKRRRRGGRRPKQEEEDIEEEDDEEMEEADNNAD ELHQNGAAAPDPGPGTSEVVEDCGERISGFPSVVRRVVTRPHASVTAVVAAERGCLRG EVKGQGLLLPSLENISFGQLQALSCVPADSLCFDPERSDGAAYVISPPSIMEGEGVVK RFGDKVHVLPMHSDWFAPNTVDRLERQVVPQYFCGKSPNHTPESYMRFRNAIVSKYMD NPERTLTISDCQGLVDGADTEDLARVFRFLDHWGIINYCATSQCHPGPSKGASDVRED ANGEVHVPSAALTSIDSLIRFDKPICRHKADEVSSSLPSSDVDLPDLDIRIREHLCDN HCNHCSRPLPTVYFQSQKKEDVLLCSDCFHDAKFVVGHSCIDFVRVDTSKDYRDQDGE NWSDQETLLLLEAVELYNENWVQIADHVGSKSKAQCILHFLRLPVEDGLLDNVELPGV TDPANPTNGYDHRGGASNGALPGTSEQDSDTEINLPFVKSPNPVMALVAFLASAVGPR VAASCAHECLTVLSADDRLKSDGLQGKNPTMLDGENQQLDDNSGAQKTSCQNGAEAPT PLPQDKVMAAFKAGLSAASTKAKLFADHEEREIQRLSANIVNHQLKRMELKLKQFAEI ETLLMKECEQVEKTRQRFAAERARMLSARFGSAGGIAPQANSNNLQGMSLSTGGGNSI NTLLQQQLQQQQVSAGSSQPSMIPGFSNNPQVHAQMQFMARQQQQQAFSFGPRLPLNA IQTNAGSTASPNVMFGLNNPAGGAAASINQTSFSNPMVRSSTGSGSGSGLGLN" gene complement(19672514..19676331) /locus_tag="BRARA_H02273" /db_xref="Phytozome:Brara.H02273" mRNA complement(join(19672514..19673453,19673666..19673752, 19673825..19673950,19674033..19674092,19674184..19674246, 19674328..19674448,19674695..19674768,19674848..19674917, 19675047..19675169,19675738..19675817,19675941..19676019, 19676124..19676331)) /locus_tag="BRARA_H02273" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02273" CDS complement(join(19673361..19673453,19673666..19673752, 19673825..19673950,19674033..19674092,19674184..19674246, 19674328..19674448,19674695..19674768,19674848..19674917, 19675047..19675169,19675738..19675817,19675941..19676019, 19676124..19676170)) /locus_tag="BRARA_H02273" /codon_start=1 /product="hypothetical protein" /protein_id="RID51621.1" /db_xref="Phytozome:Brara.H02273" /translation="MAPVLESSTQPWVEKYRPRQVKDVAHQEEVVRVLTNTLETANCP HMLFYGPPGTGKTTTALAIAHQLFGPELYKSRVLELNASDDRGINVVRTKIKDFAAVA VGSNNRQGGYPCPSFKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRII EPLASRCAKFRFKPLSEEVMSSRILHICNEEGLNLGGEALSTLSSISQGDLRRAITYL QSGARLFGSTITSRDLLDVSGVVPLEVVERFFTACKSGDFDIANKEVDNIVAEGYPAS QITNQLFDIVVEAGDDITDNQKAKICKCLGKTDKQLVDGADEYLQLLDVASNTIRALS EMSEDF" gene complement(19677007..19678487) /locus_tag="BRARA_H02274" /db_xref="Phytozome:Brara.H02274" mRNA complement(join(19677007..19677343,19677469..19677535, 19677864..19677915,19678104..19678272,19678403..19678487)) /locus_tag="BRARA_H02274" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02274" mRNA complement(join(19677007..19677343,19677469..19677535, 19677864..19677915,19678104..19678276,19678403..19678487)) /locus_tag="BRARA_H02274" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02274" CDS complement(join(19677253..19677343,19677469..19677535, 19677864..19677915,19678104..19678259)) /locus_tag="BRARA_H02274" /codon_start=1 /product="hypothetical protein" /protein_id="RID51623.1" /db_xref="Phytozome:Brara.H02274" /translation="MAGVGTSMLVSVLLFTVVLSLQEVYRGKLASTELFTILGGFTSS LLFLFSLTFIGNLQESSGGKSSWGAVIIAEIIALVAASTVHRVCITTCFLFSAGLLYE MNKISGYMLSRAESKSKRH" CDS complement(join(19677253..19677343,19677469..19677535, 19677864..19677915,19678104..19678259)) /locus_tag="BRARA_H02274" /codon_start=1 /product="hypothetical protein" /protein_id="RID51624.1" /db_xref="Phytozome:Brara.H02274" /translation="MAGVGTSMLVSVLLFTVVLSLQEVYRGKLASTELFTILGGFTSS LLFLFSLTFIGNLQESSGGKSSWGAVIIAEIIALVAASTVHRVCITTCFLFSAGLLYE MNKISGYMLSRAESKSKRH" gene 19678668..19686187 /locus_tag="BRARA_H02275" /db_xref="Phytozome:Brara.H02275" mRNA join(19678668..19678971,19679145..19679279, 19679374..19679443,19679564..19679628,19679738..19679858, 19680007..19680098,19680181..19680246,19680344..19680408, 19680474..19680561,19680672..19680737,19680831..19680946, 19681369..19681459,19681572..19681655,19681738..19681830, 19681937..19682032,19682140..19682225,19682407..19682485, 19682580..19682693,19682869..19682964,19683087..19683150, 19683261..19683550,19683910..19683965,19684074..19684191, 19684318..19684398,19684707..19684786,19684855..19684909, 19685042..19685111,19685217..19685406,19685507..19685630, 19685706..19685780,19685867..19686187) /locus_tag="BRARA_H02275" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02275" CDS join(19678795..19678971,19679145..19679279, 19679374..19679443,19679564..19679628,19679738..19679858, 19680007..19680098,19680181..19680246,19680344..19680408, 19680474..19680561,19680672..19680737,19680831..19680946, 19681369..19681459,19681572..19681655,19681738..19681830, 19681937..19682032,19682140..19682225,19682407..19682485, 19682580..19682693,19682869..19682964,19683087..19683150, 19683261..19683550,19683910..19683965,19684074..19684191, 19684318..19684398,19684707..19684786,19684855..19684909, 19685042..19685111,19685217..19685406,19685507..19685630, 19685706..19685780,19685867..19686031) /locus_tag="BRARA_H02275" /codon_start=1 /product="hypothetical protein" /protein_id="RID51625.1" /db_xref="Phytozome:Brara.H02275" /translation="MGTVLNLVNPPNTTSCLLSPSNKFPWTKPFLYGVFIARPITPVQ RCHVVRKSRVSASLLGNLGRLKRNLQDVTSMNYWVVRDYYRLVESVNSIERQIQSLSD EQLKAKTLEFRERLARGESLADLQAEAFAVVREAAKRTIGMRHFDVQIIGGGVLHDGS IAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGL IQRGMKAEERKFNYGCDITYTNNSELGFDYLRDNLTSNSEQLVMRWPKPFHFAIVDEV DSVLIDEGRNPLLISGEANENAARYPVAAKVAELLVKDIHYKVELKENSVELTEEGIS LAEMALETGDLWDENDPWARFVMNALKAKEFYKRDVQYIVRNGKALIINELTGRVEEK RRWSEGVHQAVEAKEGLEIQADSIVVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKM FQIPVIEVPTNLSNIRIDLPIQAFATARGKWEYVRREVEDMFGQGRPVLVGTTSVENS EYLSALLKEWGIPHNVLNARPKYAAREADFIAQAGRKYAITISTNMAGRGTDIILGGN PKMLAREIIEDSILSYLTSEVLADDIDDNELSQKVLSKIKVGPSSLALLARASLMAKY VGKSESKSWSRKKAKSVVTESLEKSQTMDPMELQNLVNEQSEMYPLGPAIALAYLSVL KDCEAHCLYEGSEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQD EMFQKFNFDTEWAVRLISKITNDEDLPIEGDTIVKQLLALQINAEKYFFGIRKSLVEF DEVLEVQRKHVYDLRQLLLTGDNESCSQHIYQYMQAVVDEIVVGNSDPHKHPRNWNLA KLLKEFMAISGNLLDDSFSGITERTMLQSLENLHETSSIDMDNFHLPNLPKPPNAFRG IRRKNASLRRWLDICSDDLTGSGRYRTSINLLRKFLGDYLIASYLSAVQESGFDDGYV KEIERAVLLKTLDCFWRDHLVNMNKLSSAVNVRSFAHRNPLEEYKIDGCRFFISMLSA TRRLTIESILQYWSSPMESQELFVS" gene complement(<19687829..19693903) /locus_tag="BRARA_H02276" /db_xref="Phytozome:Brara.H02276" mRNA complement(join(<19687829..19688716,19689937..19690035, 19690110..19690181,19690270..19690368,19690454..19690525, 19690755..19690829,19691215..19691426,19691514..19691732, 19692048..19693224,19693476..19693903)) /locus_tag="BRARA_H02276" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02276" CDS complement(join(19687829..19688716,19689937..19690035, 19690110..19690181,19690270..19690368,19690454..19690525, 19690755..19690829,19691215..19691426,19691514..19691732, 19692048..19693224,19693476..19693622)) /locus_tag="BRARA_H02276" /codon_start=1 /product="hypothetical protein" /protein_id="RID51626.1" /db_xref="Phytozome:Brara.H02276" /translation="MAAARPAGSQDLFDAYFRRADLDGDGRISGAEAVAFFQGSNLPK NVLAQVWSYADAKKAGYLGRAEFYNALKLVTVAQSRRELTPEIVKAAIYSPASANIPA PKINLAATPSPQPRGVVPATQAQGGASLPSVPAGMRGPQMGGTVSTSNQQVVPGQQNQ FNGPPPSQPQQNFQSQGMPAGGTSVPRPATQPMPSDWLSGRSVGPSGHVNSQIPSNQS GYGLTAPNSIANNIPKPHMTPAVISSTTSRPQESAPVHKPQDSSAPSDAPSNQLVAKD PKELAASGNGFPSDSLFGDVFTVASSQPKQHSAGTMSTIGIPSSSGTTGSTVGVGLAA SSQMTQRQSQPQPQPQPQPQYQPRPHHQPQPQYQPRSQHQHQHQPQPQHQPQPQHQPH SQSQSPWPRMNSADVQKYAKVFVQVDTDRDGKITGNQARNLFLSWKLPREALKQVWDL SDQDNDSMLSLREFCIAVYLMERYREGRPLPPVFPNTIISSESMFTSPGQSVAPQGNA YWGHTHGQVHGASRPPAIPKGKPPRPVPLSPSDGMVQSTQPKRKMPELEKHLVDQLSK EEQDSLNSKFEEATAIDKKVDDLEKEIADSKQKIEFFHAKMQELVLYKSRCDNRYNEI TERVSGDKRELESLAKKYEEKYKKSGNVGSKLTIEEATFRDIQENKMELYQAIVKFEE GKLDDNIVKERTEHIQSGLEELIKNLNERCKQYGVRGKPTSLDKKHDSDFGFGFGFDD FSIKPIKTGSTLSNDFLPPKLSIFSDSVPSPPANANDGFTPKPSLFADSVPSTPATTT ASYSGNKSYFDESVPSTPAYAGKSFFDDSVPSTPAYPGNLFPEKKSFFDDSVPSTPAY STSDFGGKPFASETPRSDNLFPGRSPFMFDSVPSTPAAHDDFSSNSFSRFDSFNSNNN NDAFSLSRSDSMRSTSEPDPFASRFDSFNYQRYDSFNAQSYDNNNASETPKASLTRFD SIGSTRDSDYSHGFGFDDHDPFGSTGPFKTTTSTAETPRSSDHWNAF" gene 19697214..19700554 /locus_tag="BRARA_H02277" /db_xref="Phytozome:Brara.H02277" mRNA join(19697214..19697563,19697651..19697730, 19697802..19698030,19698106..19698729,19698821..19699069, 19699144..19699307,19699391..19699473,19699550..19699697, 19699791..19699940,19700021..19700554) /locus_tag="BRARA_H02277" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02277" CDS join(19697407..19697563,19697651..19697730, 19697802..19698030,19698106..19698729,19698821..19699069, 19699144..19699307,19699391..19699473,19699550..19699697, 19699791..19699940,19700021..19700269) /locus_tag="BRARA_H02277" /codon_start=1 /product="hypothetical protein" /protein_id="RID51627.1" /db_xref="Phytozome:Brara.H02277" /translation="MTQNGVVTGRGGGRTVVVGVKFDAASSELLDWALVKVAEPGDTV IALHILTNGDSSFVSLVKTFDSVLEAYEDFCKLKQVELKLKLCRGSPTRKVLVREAKL CYGSKVVVGVSKTCSHSSLSVAKYLAKKLPKDSWVMAVDNGKVMFQKDGSSLKARSNV GRNTLSSLFQMHKNTKVVNSWDEEEEEEDRYNGCSLRQALVSSCLRKNLATCGDLSRS SSCNGDQDDFHKSVVTEPVKIPEDLTRFITMLVNELPEFRPGWPLLCRVASPDLLANV PRSYSFRQIPVAQWVLKLPSRTNSLVSSSNAKQLSSLNNETLATVPDGNDSMILNCST EGLHERFFSTSCRSFKYKELVSVTSNFSPDNFIGKGGSSRVYRGYLTNGREVAVKILK QTKGVLKDFVAEIDIITTLNHKNVISLLGYCFENNNLLLVYNYLSRGSLEENLHGNKK DHVVFRWNERYKIALGVAEALDYLHNKAPQLVIHRDVKSSNILLSDDFEPQLSDFGLA KWASSVSTTQIICSDVAGTFGYLAPEYFMYGKMNDKIDVYAYGVVLLELLSGRKPVNS ESPKARESLVMWAKPILDDRDYSQLLDQSLVNHNNGDQMERMALAATLCIRHNPQSRP EMGMVLNLLKGDVEMLKWAKEQVSSGLEDSKLLKDEKLKRSNLQSHLNVAFLDMEDEE SISMRSMVQGISVEDYLKGRESRSSSFN" gene 19702321..19709071 /locus_tag="BRARA_H02278" /db_xref="Phytozome:Brara.H02278" mRNA join(19702321..19706077,19706391..19706592, 19706687..19706837,19706931..19707027,19707191..19707265, 19707450..19707543,19707628..19707898,19707975..19708017, 19708088..19708191,19708487..19709071) /locus_tag="BRARA_H02278" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02278" CDS join(19702333..19706077,19706391..19706592, 19706687..19706837,19706931..19707027,19707191..19707265, 19707450..19707543,19707628..19707898,19707975..19708017, 19708088..19708191,19708487..19708879) /locus_tag="BRARA_H02278" /codon_start=1 /product="hypothetical protein" /protein_id="RID51628.1" /db_xref="Phytozome:Brara.H02278" /translation="MDSSHYNPSYGQWNPHLLLLPPPPPPVPPPPPPPRESHPDSPSF YVTSIQNGGQRLHYLPPKPAVNHPSPYYSQQPPPQQHLQCIPEKVSYESQKVSQPLSF TESRDISQSVGVGYNDRRIDSWRMEYSAQGRRDSSGVGGINRGLDGSSWSRDEFRNLG HLRKESGAAWVEGNYQDRGQLQAECFRGLDNGHRSLSSRVGYSSERYGVSVRRDMSRP SASREGDRNLRWDEARNGVRVLYPGRRDDYYHLDRGRREGSNDAKRTPGKQTQKKSAL LRLETPRSHHNGRENARSRCSFSGRRFNSNSFKCKEHLGYSDRGLVENQRGRTSVNLD VSFQSNRLVAKPVASPASADIHPRRSVTPRSSKAGRALVPDKSEKASVTEENGNRSIL KSHEDLVDRTDTGCKALLPKGMEMEGTVKKKTNSSPKKLPISWSTVADLSGCSEARIR FAGMSMCNAGSQPCEDVDLDCLPSRNSSVMDVNAEDDIKGINKNADSLSPENDSRRCL PKCPVSSASLDIPNVSTELANAHSFTVCTFTNATVNPLVENENGSRTESMETTARNSA AEMADNRDSDKGEKACVKGTSSSLTKVDVKDSSVVLPVERTDGCSGSDESGLAMAVPS DVCMENVSAERLVPDEDLGITSHYPAEIPSVDQLSGTTIRGLEVCLPEPDVTLSKGIT GGSAECLVQRDVRQKDSTFCDSLPSSPPLVTETNLAVGINGMSANETVTDAESGLHES QPCSTVCKFMHEKNNCAEKTVVDTQEEKTNPYGGTLNYKTPGTDIVAVTGDSVFPCSS VSSSPRRSLRQIRSKIHVVGTVDETCKDKPKPKHYGGTSKYKTGGTNIFAFSGDSVPC GSLSNSPTLYSQIRSKVHVASMVDDTSNYKEKAKPSGGTSKCRILESDVTFDVGGQEK YSLNRVKTDIFDGEVWSSFVNVSGAEILGDSGVPLSRSHSNVKDHVISARDLDSQSKT SLSSRYDVENMENKSNYSAQKRFSRALPFVSGPKKDANPPNKCHTWHRKPDSSASPLV AVKPLSSTLFTQPKFPIMTAQSSISYVRKGNSLLRKPSYGSLVKTGEITTLERQSNPS SDSSTSKVSNAIITSSGKCPLSYSRDHLISGLPESIMDSATSEEANAPHSGGDASKTS DIQTNHASDCQQKRNHPKLDSSHLKRTVYVKKKANQLIAASDIHGAVKGQIPTSDGYF KQSKNQLVRTSASCVSHSPDDSLDSQAATTMVSKRSSSAAFSDSAVTRPYKRSKFSLV WTQNDPQSRLRTSHMRYQRILPQLVPWKRVTYWRRLMNSVSGSALRNGSFSKSSQKLS MMRKTHTIYTRSTNGYSLRKSKVLSLGGSHLKWSKSIERDSRKANEEATLTAAEFSKK ESEKHSGQSTTRITSRNHLTRERVFRIGSLRYKMDSSSRTLQRISDDDSPCSGPTENG KGAKKPPFIPKRLVIGNEEYVRVGNGNQLVRDPKKRTRELANEKVRWSLHNVRFRSAK NKKYCQFFTRFGKCSKDNGKCPYVHDPSKIAVCTKFLNGLCANENCKLTHKVIPARMP DCSYFLQGLCNSDACPYRHVHVNPSAAICDGFLKGYCSDGDECRKKHSYTCPVFEATG LCSQGSKCKLHHPKNQSKGRKRKRPAEPSEKSFRGRYFGSRHKVFSESEPMVVDRRPT NSADFGIEEGLDFIFLGDTEYEAGDNSDLAAEESVSSASEEPVSVYNLIRPVALMQ" gene complement(<19715937..>19716146) /locus_tag="BRARA_H02279" /db_xref="Phytozome:Brara.H02279" mRNA complement(<19715937..>19716146) /locus_tag="BRARA_H02279" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02279" CDS complement(19715937..19716146) /locus_tag="BRARA_H02279" /codon_start=1 /product="hypothetical protein" /protein_id="RID51629.1" /db_xref="Phytozome:Brara.H02279" /translation="MHAASVTVAVAVAGRLRTLIPTRGGEGRDNGFQDHGFNQLSFNG DHTRCFFSHLPIRRRVRTFSSGTHF" gene 19720023..19722212 /locus_tag="BRARA_H02280" /db_xref="Phytozome:Brara.H02280" mRNA join(19720023..19720257,19720331..19720965, 19721029..19722212) /locus_tag="BRARA_H02280" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02280" CDS join(19720551..19720965,19721029..19722059) /locus_tag="BRARA_H02280" /codon_start=1 /product="hypothetical protein" /protein_id="RID51630.1" /db_xref="Phytozome:Brara.H02280" /translation="MANNITWESLYPEWIDEEEQFEVPTCPSLPWVQVPGKPRIDLVV AKLPCNKGGKWSRDVARLHLQLAAARVAASSKGLHDVHVLFVTDCFPVPNLFIGKELV ARQGNLWLYKPDLHQLRQKVELPVGSCELTVPLKAKDTFYSASAKREAYATILHSANF YVCGAITAAQSIRMSGSTRDLVILVDDSITEHHRSGLAAAGWKIYPIQRIRNPKAEAE AYNEWNYSKFRLWQLTEYDKIIFIDADMLILRNIDFLFEMPEISATGNNATLFNSGVM VVEPSNSTFQLLMDHINEIVSYNGGDQGYLNEVYTWWHRIPKHMNFLKHFWEGDEPEI KQMKTRLFGTDPPILYVLHYLGNKPWLCFRDYDCNWNVDILQEFASDVAHRTWWKVHD AMPENLQKFCLLRSKQKAQLEWDRMQAEKGNYTDGHWKIKIKDKRLETCYEKFCYWES MLWHWGDKNWTDNSTNSLSPPTSLKANISSV" gene 19722520..19724965 /locus_tag="BRARA_H02281" /db_xref="Phytozome:Brara.H02281" mRNA join(19722520..19722949,19723125..19723271, 19723424..19723488,19723574..19723643,19723772..19723990, 19724096..19724239,19724325..19724460,19724542..19724965) /locus_tag="BRARA_H02281" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02281" CDS join(19722575..19722949,19723125..19723271, 19723424..19723488,19723574..19723643,19723772..19723990, 19724096..19724239,19724325..19724460,19724542..19724771) /locus_tag="BRARA_H02281" /codon_start=1 /product="hypothetical protein" /protein_id="RID51631.1" /db_xref="Phytozome:Brara.H02281" /translation="MASLSSSKPRNFGAYSYSATPCTRTHQIGALFLVVSTFFVTRIL DQYFSESNSVTPATNLHRASSSGITIDNGIPQWPERGYGSHLSLKIYVYDENEIDGLK ELMYGRDGSVKTAACLKGQWGSQVKIHKLLMESKFRTSKKEEADLFFVPAYVKCVRML GGLNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWSTFINRSIILTP EGDRTDKKDTTAFNTWKDIIIPGNVDDAMTKNGQPDVQPLPLSKRKYLANYLGRAQGK AGRLKLIDLSKQYPDKLECPDLKFRGTEKFGRTTYFEHLRNAKFCLAPRGESSWTLRF YESFFVECVPVLLSDHSELPFQNVIDYAQVSIKWPSYRIGPELLDYLASIPDRDIEGM IARGRKIRCLFVYGPDSAPCSAVKGILWELQRKARHFQQSTETFWLHNGSVVNRELVH FSSWRPPMPLP" gene 19729775..19731295 /locus_tag="BRARA_H02282" /db_xref="Phytozome:Brara.H02282" mRNA join(19729775..19729896,19729988..19730024, 19730191..19730286,19730404..19730683,19730755..19730874, 19730962..19731295) /locus_tag="BRARA_H02282" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02282" CDS join(19729863..19729896,19729988..19730024, 19730191..19730286,19730404..19730683,19730755..19730874, 19730962..19731150) /locus_tag="BRARA_H02282" /codon_start=1 /product="hypothetical protein" /protein_id="RID51632.1" /db_xref="Phytozome:Brara.H02282" /translation="MNIAHTIFGVFGNATALFLFLAPSITFKRIIKNKSTEQFSGIPY PMTLLNCLLSAWYGLPFVSKDNTLVSTINGTGAAIETIYVLIFLFYAPRKEKAKIFAI FAAVLAVFATVALVSLFALHGNGRKLFCGIAATVFSIIMYASPLSIMRLVVKTKSVEY MPFFLSLFVFLCGTSWFIYGLIGRDPFVAIPNGFGCALGTVQLILYFIYCGNKGEKST DDAEKDEKKTVEMKDEEKKKQNVVNGKKQEQQV" gene complement(19731880..19734288) /locus_tag="BRARA_H02283" /db_xref="Phytozome:Brara.H02283" mRNA complement(join(19731880..19732414,19732713..19732854, 19732926..19733274,19733735..19733992,19734157..19734288)) /locus_tag="BRARA_H02283" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02283" CDS complement(join(19732044..19732414,19732713..19732854, 19732926..19733274,19733735..19733961)) /locus_tag="BRARA_H02283" /codon_start=1 /product="hypothetical protein" /protein_id="RID51633.1" /db_xref="Phytozome:Brara.H02283" /translation="MVMVVGETSMELDQCFQMMMMKMEGVSIKEWKDIPVELLMRILS LVDDRNVIAASGVCCGWRDAISLGLTRLRLSWCNNNMNNLVLSLAPKFVKLQTLILRQ DKPQLEDNAVEAIANHCHELQELDLSKSLKLTDRSLYALAHGCPNLTKLNLSGCTSFS DKAIAYLTRSCRNLKVLNLCGCVNAVSDYTLEAIGNNCNQMQSLNLGWCEKISDDGVM SLAYGCPDLRTLDLCGCVLITDESVVALADWCVHLRSLGLYYCRNITDRAMYSLAQSG VKNKPGGQWKSSAKKSKFDEEGLRSLNISQCTALTPSAVQAVCDTFPALHTCSGRHSL VMSGCLNLTSVHCACILQAHRSVPHSAH" gene complement(19741988..19746592) /locus_tag="BRARA_H02284" /db_xref="Phytozome:Brara.H02284" mRNA complement(join(19741988..19742608,19742687..19742872, 19743147..19743286,19743376..19743487,19743846..19743945, 19744015..19744355,19744432..19744569,19746265..19746592)) /locus_tag="BRARA_H02284" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02284" CDS complement(join(19742441..19742608,19742687..19742872, 19743147..19743286,19743376..19743487,19743846..19743945, 19744015..19744355,19744432..19744569,19746265..19746495)) /locus_tag="BRARA_H02284" /codon_start=1 /product="hypothetical protein" /protein_id="RID51634.1" /db_xref="Phytozome:Brara.H02284" /translation="MAIWFSRSRNIVFSLRHNLNLSGILIKRDYSPRPAFTNSQLSSK SVCLDSFTSLRHESTAVEKQLDLVQQSDEEDPQELNFPGGKVGYTSEMKFIPESSSLR VPCYRVLDEDGRIISNSDYIPVSEKLAVRMYEHMATLQVMDHIFYEAQRQGRISFFIT AVGEEAINIASAAALSPDDVVLPQYREPGVLLWRGFTLQEFANQCFGNKADRGKGRQM PVHYGSNRHNFFTVSSPIATQLPQAAGVGYSLKMEKKNACAVTFIGDGGTSEGDFHAG LNFAAVMEAPVVFICRNNGWAISTHISEQFRSDGIVVKGQAYGIRSIRVDGNDALAVY SAVRSAREMAVKEQRPVLIEAMTYRVGHHSTSDDSTKYRAADEIQYWKMSRNPVNRFR KWVEDNGWWSEEDESKIRSNARKQILQAIQAAEKWDKPPLTELFSDVYDVKPKNLEEQ ELGLKELVEKQPQDYPPGFQI" gene 19748654..19750817 /locus_tag="BRARA_H02285" /db_xref="Phytozome:Brara.H02285" mRNA join(19748654..19748861,19748999..19750031, 19750124..19750817) /locus_tag="BRARA_H02285" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02285" CDS join(19749044..19750031,19750124..19750590) /locus_tag="BRARA_H02285" /codon_start=1 /product="hypothetical protein" /protein_id="RID51635.1" /db_xref="Phytozome:Brara.H02285" /translation="MANNKQVSERIHVLFFPFMAHGHMIPVLDMVKLFSSRGAKSTIL TTPSNSKILEKTIEAFKNHNTDLEIGIKIFDFPCVDLGLPQGCDNVDFISSYKKPGAG DLLLKLFLSTKYMKQQLESFIETTKPSCLVADMFFPWSTESAEKFGVPRLVFHGTSFF SLCCFYNMNTHKPHEKVATSCTPFVIPGLPGGIVMTAEQANVAADDETPMGKIMKEIG ESESTSFGVLVNSFYELESAYADFYSKNVAKRAWHIGPLSLWNRRGQKVNNIHEEEEC LKWLESKTPGSVIYVSFGSGNNFTNEQMLEIAAGLEGSGQSFVWVVGKKSENQGEKEE WLPEGYEERMKGKGLIIRGWAPQVLILDHKAVGGFLTHCGWNSAIEGIAAGLPMVTWP KGAEQFYNEKLLTKVLGIGVNVGATELVKKGRLISREEVDKAVREVMMSGEEAEERRI RAKKLGEMAKVAVGEGGSSSSDLNRLMEELNCRK" gene complement(19751505..19754266) /locus_tag="BRARA_H02286" /db_xref="Phytozome:Brara.H02286" mRNA complement(join(19751505..19752866,19753018..19753191, 19753288..19754266)) /locus_tag="BRARA_H02286" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02286" CDS complement(join(19751677..19752866,19753018..19753191, 19753288..19754137)) /locus_tag="BRARA_H02286" /codon_start=1 /product="hypothetical protein" /protein_id="RID51636.1" /db_xref="Phytozome:Brara.H02286" /translation="MKMHSVFLVAVCFCLACTELVNGQPRNDCQTSCGNVTIEYPFGT SQGCYYADDPSFSLTCNETDQKLLFGNIEVINMSPSGELRVWKNISYACYNSTGNLTD YSYHTTTLGNLSLSRKNEFTIVGCNAYAYLSTFGTQNYSTGCISACDSPPAENGGCNG AGCCSTDVSVPLGSREYRTRPARLASMTSVYDFNPCIYAFLTENSTFHFDALEDLKNL RNVNKFPLVLDWSIGHQTCEQVGNRSICGLNNSTCFNSTRGTGYNCKCLEGFEGNPYL SNEHGCQDINECTTNSTIYKHNCSDPSTCRNKVGGFDCKCKSGYRLDTTNMSCKRKDF GWATILLGTIIGFLSLLLLISCVQQRMKQRKTAELRQKFFQQNGGGMLIQRLSGPGRS NANVTIFTEEGMKTSTNGYDESRILGQGGQGTVYKGILPDNSVVAIKKARLGDNSQVE QFINEVLVLSQINHRNVVKLLGCCLETEVPLLVYEFINSGTLFDHLHGSLFGPSLTWE QRLRIAVEIAGTLAYLHSSASIPIIHRDVKTANILLDENLTAKVADFGASRLIPMDKE QLTTMVQGTIGYLDPEYYHTGLLNEKSDVYSFGVVVMELLTGQKALCFERPQQSKHLV NYIASAMKENRLHEVIDEKVINENNWREIEEAVRVAMECTRVTGEERPLMKEVAAKLE GLTVTKTKHQWSDQYPGEVTENLVGVGILSEQGDTSSTGYDSIKNVASMHVAAGR" gene complement(19755303..19757970) /locus_tag="BRARA_H02287" /db_xref="Phytozome:Brara.H02287" mRNA complement(join(19755303..19756616,19756787..19756951, 19757039..19757970)) /locus_tag="BRARA_H02287" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02287" CDS complement(join(19755427..19756616,19756787..19756951, 19757039..19757885)) /locus_tag="BRARA_H02287" /codon_start=1 /product="hypothetical protein" /protein_id="RID51637.1" /db_xref="Phytozome:Brara.H02287" /translation="MKVQRLILVATFFYLAYTQLVNGQPRKDCKTSCGNVTIEYPFGT SPGCYYADDPSFHLTCNEKEKLLFEGDMEVINISHSGELRVWNNVSYACYNSTGDLSH EDYYPYSLGNLSLSRSNKFTLVGCNALAVLNTLGKRNHSTGCMSACDSLPVEYEDCNG EGCCRTDVSVPLGSYLYHTLQSRVPDMTSVFDFNPCVYAFVAEIGTFHFNALEDLWNL RNVSQFPVLLDWSIGNQTCEEVGNTSICGLNTICFNSSLGTGYNCKCLEGFEGNPYLS NEHGCQDIDECTTHKHNCSDPSTCRNKDGAGFYCQCKSGYRLDATTMICKRKDFEWAT ILLGTTIGFLSILLLICCVQQRMKRRKTTALRQKFFEQNGGGMLIQRLSGPGRSNANI QIFTEESMKTSTNGYDESRILGQGGQGTVYKGILPDNSIVAIKKARLGDNSQVEQFIN EVLVLSQINHRNVVKLLGCCLETEVPLLVYEFINSGTLFDHLHGSLFGPSLTWEQRLR IAVEIAGTLAYLHSSASIPIIHRDVKTANILLDENLTAKVADFGASRLIPMDKEQLTT MVQGTLGYLDPEYYNTGLLNEKSDVYSFGVVLMELLSSQKALCFERPLQSKHLVNYIS SAMKENRLHEVIDEKVINENNWKEIEEAVRVAMECTRVTGEERPLMTEVAAKLEGLRV TKTKHQWSDQYPVEVTENLVGVGILSAQGDTSSTGYDSIKNVASMHMEAGR" gene complement(19760722..19761612) /locus_tag="BRARA_H02288" /db_xref="Phytozome:Brara.H02288" mRNA complement(join(19760722..19761220,19761316..19761475, 19761563..19761612)) /locus_tag="BRARA_H02288" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02288" CDS complement(join(19760891..19761220,19761316..19761456)) /locus_tag="BRARA_H02288" /codon_start=1 /product="hypothetical protein" /protein_id="RID51638.1" /db_xref="Phytozome:Brara.H02288" /translation="MGSLPSQSQFDDSYVSSGPTETQKSLEEGGEGDFTVAIPGMASS QLDDKAVEEGSSAETFLDPFANRDRRTTVETQTAPSTILISIRLADGIGTTLELPFRS NQTIRDIRNAIDQRYPDNDRGYILQSGDGVDYMDWNVTVYRVSTYGTVIFQTKP" gene complement(19766849..>19769638) /locus_tag="BRARA_H02289" /db_xref="Phytozome:Brara.H02289" mRNA complement(join(19766849..19768253,19768518..19768691, 19768786..>19769638)) /locus_tag="BRARA_H02289" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02289" CDS complement(join(19767130..19768253,19768518..19768691, 19768786..19769638)) /locus_tag="BRARA_H02289" /codon_start=1 /product="hypothetical protein" /protein_id="RID51639.1" /db_xref="Phytozome:Brara.H02289" /translation="MKVHGVFLVATVFYLAYTQLVNGQRHKGCQTSCGNVTIEYPFGT SPGCYYADDPSFKLTCNDKEKLLFGDNIEVINISHSGELRVWNNVSYACYNNQGNLSD NLYYTYTLANLSLSRSNMFTLVGCNALAFLSTFGTQNYSTGCMTTCNSPSTETGDCNG TGCCRIDVSVPLDSYSFGTRSSRLENMTSVYDFYNPCTYAFLAENGTFHFDALGDLKN LRNVTEFPLVLDWSIGNQTCEQVGNTSECGVNNSICFNSNRGTGYNCKCLEGFEGNPY LPNEHGCQDINECTTNSTIHKHNCSDPSTCRNKIGGFYCKCQSGYRLDSTTMSCKRKD FEWATIFLGTTIGFLSLLLVFSCVQQRMKQRKTAEFRQKLFEQNGGGMLIQRLSGPGT SNANIQIFTEESMKEATNGYEESRILGQGGQGTVYKGILPDNSIVAIKKARLGDSSQV EQFINEVLVLSQINHRNVVKLLGCCLETEVPLLVYEFINSGTLFDHLHGSFVGPSLTW EHRLRIAVEIAGTLAYLHSSASIPIIHRDVKTANILLDENLTAKVADFGASRLIPMDK EQLTTMVQGTLGYLDPEYYNTGLLNEKSDVYSFGVVLMELLSGQKALCFERPQQSKHI VSYFASATKENRVYEVIDGKVLTDNNQREIKEVARIAVECTRLMGEERPKMKEVASEL EGLRVAKDKYQSSDQYTEETEHLAGVQYHIGTRG" gene <19774898..>19775586 /locus_tag="BRARA_H02290" /db_xref="Phytozome:Brara.H02290" mRNA join(<19774898..19775073,19775149..19775285, 19775336..>19775586) /locus_tag="BRARA_H02290" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02290" CDS join(19774898..19775073,19775149..19775285, 19775336..19775586) /locus_tag="BRARA_H02290" /codon_start=1 /product="hypothetical protein" /protein_id="RID51640.1" /db_xref="Phytozome:Brara.H02290" /translation="MAESMSLSQKVNPRSPYYVNPDYQPDENLPMVILNQAGDNYFIW NNHFLEFLGSKNKTRVKSWMMNSVSENFRNYVHFAETAHKAWEDLRTIFVPNVDMRIY QLREFGGELSEYDPLVECACGGCRCEITERAKEAREKEQLTAFVMGLDKDLSYVTTHI MLMDPSPSVDQAYGLVARAESDMKSRR" gene complement(<19775929..>19785400) /locus_tag="BRARA_H02291" /db_xref="Phytozome:Brara.H02291" mRNA complement(join(<19775929..19777115,19777191..19777358, 19784551..>19785400)) /locus_tag="BRARA_H02291" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02291" CDS complement(join(19775929..19777115,19777191..19777358, 19784551..19785400)) /locus_tag="BRARA_H02291" /codon_start=1 /product="hypothetical protein" /protein_id="RID51641.1" /db_xref="Phytozome:Brara.H02291" /translation="MKVHGVFLVAVFFYLAYTQMVNGQPHKDCQTSCGKVTIEYPFGT SPDCHYADDPSFKLTCNDKEKLLFGRDFEVINISHSGELRVWNNVSYACYDSQGNLKD WQYNSYTQANLSLSRNNKFTAVGCNALGLLMAYGTRNHSAVCLSTCDSPPVANGECNG EGCCSTDVSVPFDSYEFQTLPVRVTNMTSVYDFNPCIYAFLAENGTFLFDASEDIKNL QNVTTFPLVLDWSIGNQTCNEVGNASVCGMNNSTCFDSARGTGYNCKCLEGFEGNPYL SNKHGCHDIDECISTIHKHNCSDPSTCRNKDGGFYCKCQSGYRLDTATMSCKRKDFGW ATILLGTTIGFLSILLAVSCVRQKMKHRKNSQLRQKFFVQNGGGLLVQRLSGGETSNS NIKIFTEEGMKTATNGYDQSRILGQGGQGTVYKGILPDNSIVAIKKARLGDNSQVEQF INEVLVLSQINHRNVVKLLGCCLETEVPLLVYEFINSGTLFDHLHSSFSSLSLTWEHR LRIAVEIAGTLAYLHSSASIPIIHRDVKTANILLDENLTAKVADFGASRLIPMDKEQL TTMVQGTLGYLDPEYYNTGLLNEKSDVYSFGVVLMELLSGQKALCFERPQYSKHLVSY FASAIKENRVYEVIDEKVMAEDNKMEIKEVARIAVECTRLMGEERPKMKEVAAELEGL RVTKDKHHWSAQYPEETEHLVGVEIISAQGGSSTTDYDSIKNVATLHIEAGR" gene complement(<19788572..>19790989) /locus_tag="BRARA_H02292" /db_xref="Phytozome:Brara.H02292" mRNA complement(join(<19788572..19789761,19789865..19790020, 19790134..>19790989)) /locus_tag="BRARA_H02292" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02292" CDS complement(join(19788572..19789761,19789865..19790020, 19790134..19790989)) /locus_tag="BRARA_H02292" /codon_start=1 /product="hypothetical protein" /protein_id="RID51642.1" /db_xref="Phytozome:Brara.H02292" /translation="MKKVQSLILVAIFFYMAYTEPVNGTPRKGCQTRCGNVTVEYPFG TSPGCYYADDPEFHLTCNEKEKLVFEGDYEVIDISHSGELRVMNNVSYTCYDRQGNSS DEEYYIYTLGNLSLSRKNKFNVVGCNAYAYLSTYGIQNYSTGCISACDSPPAANGGCN GAGCCSKDVSVPFDNYSSFETRPHRLDNMTSVYDFNPCIYAFLAENGTFHFDALGDLK NLRNVSQLPLVLDWSIGNQTCEQVGNRSICGMYNNTCFNSIREIGYNCKCLEGYEGNP YLSNEHGCQVLSINITVRCSDPGTCRNKVGSFSCKCQSGYRLDATNMSCTRKDYEWAT ILLGTTIGFLSITLVVSCAKQRRKHRKMTELQRKFFQQNGGGILVRRLSGPETSNANI QIFTDESMNEATNGYEESRILGQGGQGTVYKGVLPDNSVVAIKKARLGGDISQVEQFI NEVLVLSQINHRNVVKLLGCCLETEVPLLVYEFINSGTLSDHLHGSLFGPSLTWDQRL RIAVEIAGTLAYLHSSASIPIIHRDVKTANILLDENLTAKVADFGASRLIPMDKEQLT TMVQGTPGYLDPEYYNTGLLNEKSDVYSFGVVLMELLSGQKALCFERPQQSKHLVSYF ASAIKENRLHEVIDEKVTNENNWKEIEEAVRVAMECTRVTGEERPLMTEVAANLEGLR VTKAKHQWSDQYPEETDHLVGVHIISVQEGGSTIDYDSIKNVARLHTEPGC" gene complement(19794131..19795938) /locus_tag="BRARA_H02293" /db_xref="Phytozome:Brara.H02293" mRNA complement(join(19794131..19795550,19795742..19795938)) /locus_tag="BRARA_H02293" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02293" mRNA complement(join(19794131..19795550,19795613..19795938)) /locus_tag="BRARA_H02293" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02293" CDS complement(19794368..19795519) /locus_tag="BRARA_H02293" /codon_start=1 /product="hypothetical protein" /protein_id="RID51643.1" /db_xref="Phytozome:Brara.H02293" /translation="MDGKLPQGVAVRGGAASYGGFDLQGPMSQHLQGLPFTMVSGQTC GHHLSEQHKGERESDDDEQPSFNEEATKGSPWQRVKWTDKMVKLLITAVSYIGDDSSM DGGSRRKFAALQKKGKWKSVSKVMSERGYHVSPQQCEDKFNDLNKRYKKLNDMLGRGT SCQVVENPKLLDSIGYLNDKEKDDVRKIMSSKQLFYEEMCSYHNGNRMHLPHDFALQR SLQLALRSRDDQHRVEDLDDEDHDGEGDEHDDDVDHGDCRVVGGPLKRARQSHSHSHE GVDHQGHVNSLECNKVTLALPQGGAESGRSASVQKQWIESRTLQLEEQKLQIQVELLE LEKQRFRWERFSKKRDQELERMRMENERMKLENDRMGLELKQRVLGVEL" CDS complement(19794368..19795519) /locus_tag="BRARA_H02293" /codon_start=1 /product="hypothetical protein" /protein_id="RID51644.1" /db_xref="Phytozome:Brara.H02293" /translation="MDGKLPQGVAVRGGAASYGGFDLQGPMSQHLQGLPFTMVSGQTC GHHLSEQHKGERESDDDEQPSFNEEATKGSPWQRVKWTDKMVKLLITAVSYIGDDSSM DGGSRRKFAALQKKGKWKSVSKVMSERGYHVSPQQCEDKFNDLNKRYKKLNDMLGRGT SCQVVENPKLLDSIGYLNDKEKDDVRKIMSSKQLFYEEMCSYHNGNRMHLPHDFALQR SLQLALRSRDDQHRVEDLDDEDHDGEGDEHDDDVDHGDCRVVGGPLKRARQSHSHSHE GVDHQGHVNSLECNKVTLALPQGGAESGRSASVQKQWIESRTLQLEEQKLQIQVELLE LEKQRFRWERFSKKRDQELERMRMENERMKLENDRMGLELKQRVLGVEL" gene <19797234..19801356 /locus_tag="BRARA_H02294" /db_xref="Phytozome:Brara.H02294" mRNA join(<19797234..19798326,19798393..19798922, 19798993..19799100,19799181..19799281,19799389..19799479, 19799559..19799669,19799769..19799834,19799920..19799979, 19800095..19800652,19800739..19800873,19800984..19801100, 19801218..19801356) /locus_tag="BRARA_H02294" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02294" CDS join(19797234..19798326,19798393..19798922, 19798993..19799100,19799181..19799281,19799389..19799479, 19799559..19799669,19799769..19799834,19799920..19799979, 19800095..19800652,19800739..19800873,19800984..19801100, 19801218..19801280) /locus_tag="BRARA_H02294" /codon_start=1 /product="hypothetical protein" /protein_id="RID51645.1" /db_xref="Phytozome:Brara.H02294" /translation="MDRKNTSACRGDEDHPFALSSKGSENPKNKSYNVRFDLLGIEDY NEEAEDDVNVELSDELMLGRQVTEETNSKSEDLGENSRSKKKKKNKSGKTAHEEEDLD KILAELGITPVSPAQPELVAPSDCSKDGEEETVLSASSKKRKKKKDKDKKVSVEAKEE PSQHQKKVPKHILEMQEMVARRKEAEEMKKKEEEEKLRKEEEERRIQEEREKEAEEIK QKRKIREKEKMLKKKQEGGILTEKQKRDKAFKNKMLSDAGMLLASDKHGDSSKRPVYG NKRKPGRTKANDSGSVQVKDHANVTAKLHDIDSAVKEKKQEAGDEEDDWEAKSDDSVN IMGDYDDGKDAPWLVVKKEIKDTAAKAHCSGPVTSDRLALKSEVSDKPKESRPKVDDA TRPKDATKRGNDSEVNEVAKESLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGI TQQIGATYFPAENIRERTKELRPDAKLKVPGLLVIDTPGHESFSNLRSRGSNLCDIAI LVVDIMHGLEPQTIESLNLLRKRNTEFIVALNKVDRLYGWKTCKNSPIEKALALQSKD VVIEFNMRLTQVITQFKEQGLNTEIYYKNKEMGDTFSIVPTSAISGEGIPDLLLYLVM WSQKTMVEKLTFVDKVQCTVLEVKVIPGHGTTIDVVLVNGVLHEGDQIVACGSQGPII TTVRSLLTPHPMKELRVKGTYQHHREVKAAQCIKITAQGLEHAVAGTSLHVIGPDVDV DEAKKTAMEDMKSVMSLVDKSGEGVYVQASTLGSLEALLEFLKSPDVKIPVSGIGLGP VHKKDIMKAGVMLEKKKEYATVLAFDVKITTEARELADNMGVKIFSADIIYHLFDQFK GYVKDVRDEERKETASEVVFPCVLKILPNHVFKRGNPIILGVEVIDSILKVGTPMCFL KEIGKSRVFVDLGRVASIHKDKKPLDDAKKGQQVAIKIVASNPGEEKMFGEDFDIEDE LVSHISRRSIDILKTLYRDELKNELKLVLKLKMLFKIQ" gene complement(<19802689..>19803291) /locus_tag="BRARA_H02295" /db_xref="Phytozome:Brara.H02295" mRNA complement(<19802689..>19803291) /locus_tag="BRARA_H02295" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02295" CDS complement(19802689..19803291) /locus_tag="BRARA_H02295" /codon_start=1 /product="hypothetical protein" /protein_id="RID51646.1" /db_xref="Phytozome:Brara.H02295" /translation="MESYNVNNSLNIDMEKDKETAFDYSKRSQWLRAAVLGAIDGLVS TASLMMGVGAVKPDFKTMILTGFAGLVAGACSMAIGEFVSVYSQYDIEVAQIKRENAG DIEKEKLPNPMHAAAASVIAFFLGAVVPLLAAAPVKTYEVRVGVIVAAVTLALVMFGW LGAVLGKTPVVKSSARVLIGGWLAMAITYGLTRLFGSLGL" gene 19806473..19807968 /locus_tag="BRARA_H02296" /db_xref="Phytozome:Brara.H02296" mRNA join(19806473..19806982,19807069..19807441, 19807541..19807968) /locus_tag="BRARA_H02296" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02296" CDS join(19806540..19806982,19807069..19807441, 19807541..19807849) /locus_tag="BRARA_H02296" /codon_start=1 /product="hypothetical protein" /protein_id="RID51647.1" /db_xref="Phytozome:Brara.H02296" /translation="MGFPFEETLSSNLKTQTVIDDDNELGLMAVRLANAAAFPMVLKA SLELGVFDTLYAEAARTDAFLSPSEIASRLPTTPRNPEAPVLLDRMLRLLASYSMVKC DKVGKGERVYRAEPICRFFLKDNIQDIGSLASQVIVNFDSVFLNTWAQLKDVVLEGGD AFGRAHGGMKLFDYMGTDERFSKLFNQTGFTIAVVKKALEVYQGFKDVDVLVDVGGGV GNTLGVVTSKYPNIKGINFDLTCALAQAPTYPGVEHVAGDMFVEVPKGDAMILKRILH DWTDEDCIKILKNCWKSLPENGKVVVIELVTPDDAENGDINANIAFDMDMLMFTQCSG GKERSRAEFEALAVASGFTNCKFVCQAYHCWIIEFCKENV" gene <19811554..>19812963 /locus_tag="BRARA_H02297" /db_xref="Phytozome:Brara.H02297" mRNA join(<19811554..19811873,19811961..19812333, 19812775..>19812963) /locus_tag="BRARA_H02297" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02297" CDS join(19811554..19811873,19811961..19812333, 19812775..19812963) /locus_tag="BRARA_H02297" /codon_start=1 /product="hypothetical protein" /protein_id="RID51648.1" /db_xref="Phytozome:Brara.H02297" /translation="MVLKAALELGVFDTLYAASVFLSPSEIASRLPTTPRNPEAPALL DRMLRLLASYSVVKCGTVQAEKDQRVYKAEPICRFFLKNNIQDIGSLASQVIVNLDSV FLNTWAQLKDVVLEGGDAFGRAHGGMKLFDYMGTDERFSKLFNQTGFTIAVVKKALEV YQGFKDVDVLVDVGGGVGNTLGVVTSKYPNIKGINFDLTCALAQAPSYPGVEHVAGDM FVEVPKGDTMILKSGDINSNIAFDMDMLMFTQCSGGKERSRAEFEALAMESGFNHCKF VCQAYHCWIIEFCKENV" gene 19816084..19819549 /locus_tag="BRARA_H02298" /db_xref="Phytozome:Brara.H02298" mRNA join(19816084..19816303,19816507..19816566, 19817137..19817219,19817377..19817533,19817755..19817881, 19817968..19818126,19818337..19818515,19818614..19818694, 19818799..19818933,19819184..19819549) /locus_tag="BRARA_H02298" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02298" CDS join(19816217..19816303,19816507..19816566, 19817137..19817219,19817377..19817533,19817755..19817881, 19817968..19818126,19818337..19818515,19818614..19818694, 19818799..19818933,19819184..19819288) /locus_tag="BRARA_H02298" /codon_start=1 /product="hypothetical protein" /protein_id="RID51649.1" /db_xref="Phytozome:Brara.H02298" /translation="MVKETEYYDVLGVSPTATEAQIKKAYYIKARQVHPDKNPNDPQA AHNFQVLGEAYQVLSDPGQRQAYDTIGKAGISTEIIDPAAIFAMLFGSELFEEYIGQL AMASMASLDILSEGDQIDTKKIIEKMRAVQKDREDKLAQILKDRLNLYVTNKDEFISN AEAEVTRLSNAAYGVEMLNTIGYIYVRQAAKELGKKAIYLGVPFVAEWFRTKGHFIKS QVTAATGAYALFQLQEEMKRQLSAEGNYTEKELEEYMQSHKKVMIDSLWKLNVADIEN TLSRVCELVLQDPTAKREELRARAKGLKTLGKIFQKNKLTSESDPLVRAELHKINGNG QDRDASTSPNSDEASHSTFGPQEPQSPYVEAPRVGVEQFDHYFPRPAPPPGAQRHS" gene 19820151..19822131 /locus_tag="BRARA_H02299" /db_xref="Phytozome:Brara.H02299" mRNA join(19820151..19820447,19820525..19820709, 19820785..19820985,19821358..19821519,19821611..19822131) /locus_tag="BRARA_H02299" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02299" CDS join(19820309..19820447,19820525..19820709, 19820785..19820985,19821358..19821519,19821611..19821970) /locus_tag="BRARA_H02299" /codon_start=1 /product="hypothetical protein" /protein_id="RID51650.1" /db_xref="Phytozome:Brara.H02299" /translation="MEKAESEKKASSVSDVGAWAMNVTSSVGIIMANKQLMSSSGFAF TFATTLTGFHFALTALVGMVSNATGLSASKHVPLWELLWFSLVANTSIAAMNFSLMLN SVGFYQISKLSMIPVVCVMEWILHNKHYSREVKASVMVVVVGVGICTVTDVKVNAKGF ICACTAIFSTSLQQISIGSLQKKYSIGSFELLSKTAPIQALSLLIFGPFVDYFLSGRF ITTYKMTYGAIFCILLSCALAVFCNISQYLCIGRFSATSFQVLGHMKTVCVLTLGWLI FDSEMTFKNIAGMFLAVVGMVIYSWAVELDKQRQTKATPHGKNSMTEDEIRLLKEGIE HMNLEDMELGDVKA" gene complement(19822294..19823605) /locus_tag="BRARA_H02300" /db_xref="Phytozome:Brara.H02300" mRNA complement(join(19822294..19822494,19822620..19822662, 19822738..19822792,19822891..19822924,19823001..19823095, 19823198..19823258,19823352..19823605)) /locus_tag="BRARA_H02300" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02300" CDS complement(join(19822423..19822494,19822620..19822662, 19822738..19822792,19822891..19822924,19823001..19823095, 19823198..19823258,19823352..19823537)) /locus_tag="BRARA_H02300" /codon_start=1 /product="hypothetical protein" /protein_id="RID51651.1" /db_xref="Phytozome:Brara.H02300" /translation="MTIIFSGVAPPPTLRVRSVFTPAANPATDRGSMASPSGGPKWAQ KTITLPPQRRGCHLITPMIVKEIRQDLSGFNCGLAHVFLQHTSASLTINENYDPDVQA DTETFLNTIVPEGRSAPWRHTMEGPDDMPAHIKSSMFGCQLTIPITKGKLGMGTWQGI WLCEHRDAPTARKVVVTLNGI" gene complement(<19826369..>19827112) /locus_tag="BRARA_H02301" /db_xref="Phytozome:Brara.H02301" mRNA complement(<19826369..>19827112) /locus_tag="BRARA_H02301" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02301" CDS complement(19826369..19827112) /locus_tag="BRARA_H02301" /codon_start=1 /product="hypothetical protein" /protein_id="RID51652.1" /db_xref="Phytozome:Brara.H02301" /translation="MVDLGKQRGRHHMQTINTATTVDCASELRHRRTLRSFIECMLPY CCTYQQPSPSYQNDTVSVSSSSSSSSSSDHSSSSSHSNSIVSGTFFGHRRGRVSFCLQ EDAAVGSSPLLLLELAVPTAALAKEMDQAGVLRIALECDRRRSTNSSNSRVSSIFDVP VWSMYCNGRKMGSAVRRKVTENDAVFFKTMQSVSVGAGVVPLDEEEQTLYLRAKFERV TGSSDSESFHMMNPGGSYGQELSIFLLRS" gene complement(<19833488..>19834120) /locus_tag="BRARA_H02302" /db_xref="Phytozome:Brara.H02302" mRNA complement(<19833488..>19834120) /locus_tag="BRARA_H02302" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02302" CDS complement(19833488..19834120) /locus_tag="BRARA_H02302" /codon_start=1 /product="hypothetical protein" /protein_id="RID51653.1" /db_xref="Phytozome:Brara.H02302" /translation="MGICVSLNRRDSNSPPTVKIVSVNGDLREYNVPVLASQVLEAES SAAAAASSSYFICDSDSLHYDDFIPAVKSEEPLHAEQIYFVLPISKRRNRLTAPDMAA LAVKASVAIQNSVGKDFRRRKKGRISPIMTLTHQPNGSVAAVNGEASESTAVSKGRPL VKRTATYKASSGYNRSGSVRNLRRHTSRRAKLAVRSFRLKLSTIHEGSVV" gene complement(19838211..19839880) /locus_tag="BRARA_H02303" /db_xref="Phytozome:Brara.H02303" mRNA complement(join(19838211..19838978,19839108..19839323, 19839418..19839621,19839693..19839880)) /locus_tag="BRARA_H02303" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02303" CDS complement(join(19838661..19838978,19839108..19839323, 19839418..19839621,19839693..19839695)) /locus_tag="BRARA_H02303" /codon_start=1 /product="hypothetical protein" /protein_id="RID51654.1" /db_xref="Phytozome:Brara.H02303" /translation="MGPMIRTEEEEDYTSPPWLMPMLRGSYFIPCSIHADSNKNECNM FCLDCAGAAFCSYCLVKHKDHRVVQIRRSSYHNVVRVNEIQKYIDISCVQTYIINSAR IVFLNERPQPRIGKGVTNTCEICCRSLLDSFRFCSLGCKLGGMKRGDQSLTFSMKGKH GRDYQGGLESDEATTPTKLRKTKAFNRLMNGLSISTVRFDDYGPGGDQRSPNSGDEGG FSFSPGTPPIYNHRNSSRRKGIPHRAPF" gene 19842703..19846561 /locus_tag="BRARA_H02304" /db_xref="Phytozome:Brara.H02304" mRNA join(19842703..19842849,19843151..19843436, 19843515..19843589,19843664..19843777,19843874..19843941, 19844021..19844104,19844217..19844270,19844378..19844458, 19844545..19844638,19844719..19844792,19844857..19844967, 19845050..19845094,19845195..19845251,19845343..19845447, 19845525..19845641,19845745..19845854,19845944..19846109, 19846188..19846561) /locus_tag="BRARA_H02304" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02304" CDS join(19842760..19842849,19843151..19843436, 19843515..19843589,19843664..19843777,19843874..19843941, 19844021..19844104,19844217..19844270,19844378..19844458, 19844545..19844638,19844719..19844792,19844857..19844967, 19845050..19845094,19845195..19845251,19845343..19845447, 19845525..19845641,19845745..19845854,19845944..19846109, 19846188..19846316) /locus_tag="BRARA_H02304" /codon_start=1 /product="hypothetical protein" /protein_id="RID51655.1" /db_xref="Phytozome:Brara.H02304" /translation="MDSDFGIPRELSPLQKLRSQYQPELPPCLQGTTVRVELGDGTTV SEASDSHIITRAFPHTLGQPLAHFLRETAKVSDAHIITQLPSIRVGIVFCGRQAPGGH NVIWGLYEALKVHNAKSTLLGFLGGSEGLFAQKTLEITDDILQTYKNQGGYDLLGRTK DQIRTTEQVNAALKACTDLKLDGLVIIGGVTSNTDAAHLAEFFAEAKCSTKVVGVPVT TNGDLKNQFVEANVGFDTICKVNSQLISNACTDALSAEKYYYFIRLMGRKHSHVALEC TLQSHPNMVILGEEVAASKLTIFDISKQICDAVQARAGQDKNHGVILIPEGIIESIPE VYALLKEIHGLLREGVAADKISTQLSPWSSALFEFLPPFIKKQLLLHPESDDSAQLSQ IETEKLLAYLVETEMNKRLKEGTYKGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGH ICYHILAAGLNGYMATVTNLKSPVNKWKCGAAPITAMMTVKNWSQNAGSTSTSIGRPA IHPAMVDLKGKAYELLRQNADKFLMEDLYRNPGPLQYDGPGADAKAVSLCVEDQDYMG RIKKLQEYLDQVRTIVKPGCSQDVLKAALSVMASVTDVLTTISSSSNGGQQTA" gene 19846675..19850479 /locus_tag="BRARA_H02305" /db_xref="Phytozome:Brara.H02305" mRNA join(19846675..19846822,19846932..19847244, 19847403..19847536,19847634..19847673,19848049..19848101, 19848190..19848235,19848417..19848509,19848786..19848887, 19848995..19849043,19849122..19849185,19849381..19849447, 19849598..19849648,19849790..19849882,19849986..19850479) /locus_tag="BRARA_H02305" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02305" CDS join(19846972..19847244,19847403..19847536, 19847634..19847673,19848049..19848101,19848190..19848235, 19848417..19848509,19848786..19848887,19848995..19849043, 19849122..19849185,19849381..19849447,19849598..19849648, 19849790..19849882,19849986..19850081) /locus_tag="BRARA_H02305" /codon_start=1 /product="hypothetical protein" /protein_id="RID51656.1" /db_xref="Phytozome:Brara.H02305" /translation="MEDTEMQLGDVPPCVATDDVLKEEPEKNLNTSETPQPILPLDKG DEHGHEDVGVSLHSENKTDDAKVQVSSPKAGDGNGKKRKNWLLSDSEAGEVDEAGTLE EQQAFLRELATFHKENYLEYKPLKFYGQPLNTLKLWRAVVKLGGHDLVTTSKLWRQVG ESFNPPKTCTTISYTFRNFYEKALLEYEKSLRKNGELNFPNSTHNLSSGLEKEVISHQ GSGSGRARRDSAARAMQGWHTQRLVGSGEDKGLSSTPKHKKLKSIGFQKSKTQTCMDL VLSHGAEKQSVADVVDDGPLADWVKISVKETKESFEIYALVPGLLRKEIRIQSDPAGR LIITGEPEQLDNPWGITPFKKIVGLSARIDPLHTSAVMSMHGRLFIRVPFEQ" gene complement(19853227..19854993) /locus_tag="BRARA_H02306" /db_xref="Phytozome:Brara.H02306" mRNA complement(join(19853227..19854392,19854914..19854993)) /locus_tag="BRARA_H02306" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02306" CDS complement(19853345..19854295) /locus_tag="BRARA_H02306" /codon_start=1 /product="hypothetical protein" /protein_id="RID51657.1" /db_xref="Phytozome:Brara.H02306" /translation="MEGGYEQGGGASRYFHNLFRPEIHHQQQLQPQGGINLFDQHHHQ QQQHQQQQEQPSDDSRESDHSNKDHHHQTGRPDSDPATSSSAPGKRPRGRPPGSKNKP KAPIIVTRDSPNTLRSHVLEVSPGADIVESLSTYARRRGRGVSVLGGNGTVSNVTLRQ PVTPGNGGGVGAGAGGVVTLHGRFEILSLTGTVLPPPAPPGAGGLSIFLSGGQGQVIG GSVVAPLVASAPVILMAASFSNAVFERLPIEEEEEEEGGGGGGGGGGPPQMQHAPSAS PPSGVTGQGQLGGNVGGYGFPGDPHLLGWGAGTPSRPPFN" gene 19868163..19870336 /locus_tag="BRARA_H02307" /db_xref="Phytozome:Brara.H02307" mRNA join(19868163..19868574,19868839..19868986, 19869068..19869194,19869339..19869384,19869555..19869600, 19869708..19870336) /locus_tag="BRARA_H02307" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02307" mRNA join(19868163..19868574,19868839..19868986, 19869068..19869194,19869555..19869600,19869708..19870336) /locus_tag="BRARA_H02307" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02307" CDS join(19868377..19868574,19868839..19868986, 19869068..19869194,19869555..19869600,19869708..19869947) /locus_tag="BRARA_H02307" /codon_start=1 /product="hypothetical protein" /protein_id="RID51658.1" /db_xref="Phytozome:Brara.H02307" /translation="MAYHSIQGYLNSPFGDTTTFTKVFVGGLAWETQSHTLRRHFEPY GDILEAVVITDKNTARSKGYGFVTFRDPEAARRACVDPTPIIDGRRANCNLASLGRPP RLPTQYAVTPFIPGRIRPASPYVGSVQGSRGSLFGSHPYQQPHAYSYQQGVMYPYGAT TPYGPEYMYSQSQGLYGPYTGQQYLQVYGVPGAVNSPGYQYGQLSQNISVGHGYSVPG SHIQSPYPSAVAGPSPTQSNPQYMQSSGSDQTTG" CDS join(19868377..19868574,19868839..19868986, 19869068..19869194,19869339..19869384,19869555..19869578) /locus_tag="BRARA_H02307" /codon_start=1 /product="hypothetical protein" /protein_id="RID51659.1" /db_xref="Phytozome:Brara.H02307" /translation="MAYHSIQGYLNSPFGDTTTFTKVFVGGLAWETQSHTLRRHFEPY GDILEAVVITDKNTARSKGYGFVTFRDPEAARRACVDPTPIIDGRRANCNLASLGRPP RLPTQYAVTPFIPGRIRPASPYVGSVQGSRGSLFGSHPYQQPHAYSYQQGVMYPYGII PEDMLPRSVCFGQGYYTIWT" gene <19870925..>19873650 /locus_tag="BRARA_H02308" /db_xref="Phytozome:Brara.H02308" mRNA join(<19870925..19871610,19872753..>19873650) /locus_tag="BRARA_H02308" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02308" CDS join(19870925..19871610,19872753..>19873650) /locus_tag="BRARA_H02308" /codon_start=1 /product="hypothetical protein" /protein_id="RID51660.1" /db_xref="Phytozome:Brara.H02308" /translation="MPIKVLSSLDAARIQWYHFKAIIVAGMGLFTDAYDLFCIAPVMK MISHVYYHGDSINTAVLSTSYAIALLGTATGQLVFGYLGDRVGRRRVYGLCLVIMIIS SFGCGFSVCTTRRSCVMVSLGFFRFILGLGIGGDYPLSATIMSEFANKRTRGAFIAAV FSMQGLGILVSSAVTMAVCVAFKRGGGGGLEEEVVPPEADVAWRLILMIGALPAALTF YWRMLMPETARYTALVENNIVQAAKDMERVMSITDDISDETTTETPPPPPPLPPSYTL FSLRFLRLHGRDLFAASVNWFLVDVVFYTSNLLLSQIFSHYSDKPSSSTAENVYDAAF RVAELGAIIAACSTIPGYWFTVYFIDKIGRVKIQIMGFFFMAVVYLVAGIPYTWYWSK HEQTKKGFMVLYGLVFFFSNFGPNTTTFIIPAELFPARFRSTCHGISGAAGKLGAIVG TVGFLWATKKADDGDNMNKVYPDVNRMRAAFLILGGVCIAGIFVTYFFTKETMGRSLE ENEHEQERVNNDESEDEPQN" gene complement(19873905..19875308) /locus_tag="BRARA_H02309" /db_xref="Phytozome:Brara.H02309" mRNA complement(join(19873905..19874259,19874340..19874716, 19874802..19875308)) /locus_tag="BRARA_H02309" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02309" CDS complement(join(19874044..19874259,19874340..19874716, 19874802..19875285)) /locus_tag="BRARA_H02309" /codon_start=1 /product="hypothetical protein" /protein_id="RID51661.1" /db_xref="Phytozome:Brara.H02309" /translation="MALSSPTRILCFALALSAASLSLSFAASNDFSIVGYSPEDLESH DKLIELFENWISNFEKAYETVEEKLRRFEVFKDNLKHIDETNKKVKSYWLGLNEFADL SHEEFKNKYLGLKTDIARRQEERSYQEFAYKDVDVEALPKSVDWRKKGAVSYVKNQGS CGSCWAFSTVAAVEGINKIVTGNLTTLSEQELIDCDTTYNNGCNGGLMDYAFEYIVKN GGLRKEEDYPYSMEEGTCETQKDESEMVTISGHQDVPRNDEKSLLKALAHQPLSVAID ASGREFQFYSGGVFDGRCGVDLDHGVAAVGYGSSKGSDYIIVKNSWGPTWGEKGYIRM KRNTGKPEGLCGINKMASFPTKTK" gene 19885034..19888358 /locus_tag="BRARA_H02310" /db_xref="Phytozome:Brara.H02310" mRNA join(19885034..19885225,19885423..19885513, 19885603..19886163,19886545..19887631,19887783..19888358) /locus_tag="BRARA_H02310" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02310" CDS join(19885435..19885513,19885603..19886163, 19886545..19887631,19887783..19888233) /locus_tag="BRARA_H02310" /codon_start=1 /product="hypothetical protein" /protein_id="RID51662.1" /db_xref="Phytozome:Brara.H02310" /translation="MKGATLVALAATIGNFLQGWDNATIAGAMVYINKDMNLPTSVQG LVVAMSLIGATVITTCSGPISDWLGRRPMLILSSVMYFLSGLIMWWSPSVYVLCLARL LDGFGAGLAVTLVPVYISETAPPEIRGQLNTLPQFLGSGGMFLSYCMVFTMSLSEAPS WRGMLGVLSIPSLVYLFFTVFYLPESPRWLVSKGRMDEAKKVLQQLCGREDVTDEMAL LVEGLDIGGEKTLEDLLVTLDDHETLETVNEDGQMRLLGTHENQSYIARPVPEQQSSM FLRSRHGSLANQSMILKDPLVGLFDSLHEKMVPEAAGGNTRSGIFPHFGSMFSTNADG KPPHWEKDVENQFNKDHDDYATDDNAADDDSDNDLRSPLMSRQTTSMDKDMIPHPTGG STLSMRRHSTLTGETNMGIGNGWHMGYRYDNGEYKRYYLKEDNAESRRGSIISLPGGQ DGGSYIHASALVSKSVLGPKSIHGSAMVPPEKTATTGPLWSALLEPGVKRALVVGVGI QILQQFSGINGVLYYTPQILERAGVDILLSSFGLSSISASFLISGLTTLLMLPSIVVA MRLMDVSGRRALLLWTIPVLILSLIVLVISELVHISKVVNAALSTACVILYFCFFVMG YGPIPNILCSEIFPTRVRGLCIAICAMVFWIGDIIVTYSLPVLLSSIGLVGVFIIYGA VCVISWIFVYMKVPETKGMPLEVITDYFAFGAQAQASAPSKDI" gene complement(<19891318..>19891911) /locus_tag="BRARA_H02311" /db_xref="Phytozome:Brara.H02311" mRNA complement(<19891318..>19891911) /locus_tag="BRARA_H02311" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02311" CDS complement(19891318..19891911) /locus_tag="BRARA_H02311" /codon_start=1 /product="hypothetical protein" /protein_id="RID51663.1" /db_xref="Phytozome:Brara.H02311" /translation="MARLLFRLLGESNTPSSVADTSTVTLNSDLVIILAALLCALICV LGLIAVSRCVWLRRLAAGNRSSSGGSGQSPPPQVTAANKGLKKKVLQSLPKMTFSPES PSSEKFAECAICLTEFTAGDELRVLPQCGHGFHLSCIDTWLGSHSSCPSCRQILVAAR CHKCGGLSGSSSSRPEPEPEVVIRIKQGEDDPNTSLP" gene complement(19895555..19896339) /locus_tag="BRARA_H02312" /db_xref="Phytozome:Brara.H02312" mRNA complement(join(19895555..19895744,19895813..19895899, 19895976..19896046,19896252..19896339)) /locus_tag="BRARA_H02312" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02312" CDS complement(join(19895643..19895744,19895813..19895899, 19895976..19896046,19896252..19896285)) /locus_tag="BRARA_H02312" /codon_start=1 /product="hypothetical protein" /protein_id="RID51664.1" /db_xref="Phytozome:Brara.H02312" /translation="MNIPLEEYSTSAEGLKFYDIEEGKGPVATQGSTAQVNFDCRYRS ITAISTRESKLLAGNPSIPQPYEFKVRSTPGKERKLEFVDNPNGLFSAQAAPK" gene <19898229..>19898717 /locus_tag="BRARA_H02313" /db_xref="Phytozome:Brara.H02313" mRNA <19898229..>19898717 /locus_tag="BRARA_H02313" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02313" CDS 19898229..19898717 /locus_tag="BRARA_H02313" /codon_start=1 /product="hypothetical protein" /protein_id="RID51665.1" /db_xref="Phytozome:Brara.H02313" /translation="MDSLPFHLLEEILFKLDPESLAMMQCADKSINSHVSNDPYFNSS VKGSIPKRYCDSSPEFTPALSSAMDVDEKVEVKKVAHDDKCIFNLSMIMRVVGKISSY AQKLVKKKETLLGKRLLIEDETTLKMDVSSSSYKLMNVERINKRRRFTDKYISCEEHM SM" gene <19900120..>19901250 /locus_tag="BRARA_H02314" /db_xref="Phytozome:Brara.H02314" mRNA <19900120..>19901250 /locus_tag="BRARA_H02314" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02314" CDS 19900120..19901250 /locus_tag="BRARA_H02314" /codon_start=1 /product="hypothetical protein" /protein_id="RID51666.1" /db_xref="Phytozome:Brara.H02314" /translation="MDSLPLNLQEDILLRLDPKSLVMMRCTNKSMEHITKGPSFKSLY WSRTGSSLLHTGVYGSKFSCFHPVGDVWPFCSEGPSECFILGYCSGIILIFVHECFCV ANPLTKKFRFLDYHFLETKKSIGFAVDQINETTQRFKIVCITDVAASNPGETMYGFHI YAGNSWKISKTKITCPSSDLRRDMKPVYLQGCLYWLRSDGSIITFNPETEKALLVPTK FDQQVGAKLLLGAGDNYLTLILATEEMVYLYALENIFTNPKWILTRRIKNEPVHQRML SCWCVVGFDGRHVLVRTKDKIEHVIYGYDLRANTWEVVGVVPEWSDAGLDIYQFKPSW SSVIGLQDQNNGSMLSFFTKFRYQRRVSVEGIMELIHGHFRI" gene complement(19902344..19906858) /locus_tag="BRARA_H02315" /db_xref="Phytozome:Brara.H02315" mRNA complement(join(19902344..19904065,19904509..19904818, 19905445..19905976,19906578..19906858)) /locus_tag="BRARA_H02315" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02315" CDS complement(join(19902510..19904065,19904509..19904818, 19905445..19905969)) /locus_tag="BRARA_H02315" /codon_start=1 /product="hypothetical protein" /protein_id="RID51667.1" /db_xref="Phytozome:Brara.H02315" /translation="MDGSESDDSSHFERGVDHIYEAFICPLTKEVMHDPVTLENGRTF EREAIEKWFKKCRDGNKPPSCPITSQELSSADVSPSIALRNTIEEWRWRNDAAKLDVA RQALFLGNDESDVLQALMNVRQICRSIRSNRQGVRNSQLVRMIIDVLKSNSHKVRYKA LQTLQVVVEGDEESKAILAEGDTVRTLVKFLSHEPSKGKEAAVSLLFELSKSEALCEK IGSVNGALILLVGLTSSNSVNVSIVDKAERTLENMERSEEVVRQMASYGRLQPLLGKI LEGSPETKHSMTTFLGELSLNNDTKVYIAQTVGSSLVDLMRSGDMTQREAALRALNNI SSYEGSAKLLIGIGILPPLIKDLFYVGPNNLPIRLKEVSATILANIVNIGYDFDKATL VSDNRVENLLYLISNTGPSIQCKLLEVLVGLTTCQKTVIHVVSAIKTSGAIISLVQFV EVKENEDLRLASVKLLHNLSPFMSEELADALRGTAGQLDSLVAIISEKIPISEEQAAA AGLLAELPERDLALTREMLSIGAFEKIISKVVGIRQGEIKGMRFERNFLEGLVRILSR ITFAFSNETRGITFCREYNVASLFINLIQSNGQDTIQMVSAMALENLSLESINLSHMP DLPPPSCGSIFSCMSRPPVITGLCKIHHGVCSLRETFCLVEGGAVEKLVALLDHENDK VVEASLAALSSLLEDGLDVERGVKILDEADGIRHVLNVLTENRTEKLTRRAVWLVERI LRIEDIAREVAEQPNVSASLVDAFQNGDFRTRQIAENALKHIDKIPNFSGIFPNMA" gene 19907195..19908489 /locus_tag="BRARA_H02316" /db_xref="Phytozome:Brara.H02316" mRNA join(19907195..19907404,19907497..19907614, 19907705..19907851,19907919..19907971,19908044..19908131, 19908233..19908489) /locus_tag="BRARA_H02316" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02316" CDS join(19907243..19907404,19907497..19907614, 19907705..19907851,19907919..19907971,19908044..19908131, 19908233..19908309) /locus_tag="BRARA_H02316" /codon_start=1 /product="hypothetical protein" /protein_id="RID51668.1" /db_xref="Phytozome:Brara.H02316" /translation="MAGAEEIEICEEGEEPIEAGAKTERGDEKVLLQFLDSLDGYLTL MDSLNSKLREGWFDLASARHSMGTLRINTTLLDLKDHSASSTLQVTDQEVESLGSVHC FALSKWASKGGSGKGKDFSTVADSEMGSPRSPQLRHRGVSGEKPSDKGETTVLAADEE IKKERAKSLSVFGGLVSPKLRGAQLSFETALETLVEIANTRSSMLTAFERISKK" gene complement(<19908624..>19913258) /locus_tag="BRARA_H02317" /db_xref="Phytozome:Brara.H02317" mRNA complement(join(<19908624..19909157,19909247..19909577, 19909652..19909727,19909822..19909903,19910024..19910095, 19910170..19910268,19910349..19910420,19910552..19910626, 19910737..19910942,19911024..19911242,19911324..19912554, 19913118..>19913258)) /locus_tag="BRARA_H02317" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02317" CDS complement(join(19908624..19909157,19909247..19909577, 19909652..19909727,19909822..19909903,19910024..19910095, 19910170..19910268,19910349..19910420,19910552..19910626, 19910737..19910942,19911024..19911242,19911324..19912554, 19913118..19913258)) /locus_tag="BRARA_H02317" /codon_start=1 /product="hypothetical protein" /protein_id="RID51669.1" /db_xref="Phytozome:Brara.H02317" /translation="MGGQNPNMDQFEAYFKRADLDGDGRISGAEAVGFFQGSGLPKPV LFQIWSLSDRSGSGFLGRQDFYNSLRLVTVAQSKRDLTPEIVNAALNTPAAAKIPAPR INLSAIPAPQPNPPATTARPVSSAGYQNAGFRGPGAPNANVNQNYFPPQQNQQVRPNQ GASGLTSLRPNALPGQFQPVPVGSATRPSQTIPTGAPGPGGSEYRPSALPGQFQPGPV GIATRPPQAAPTSAPGPAGSEYRPSALPGQFQPGPVGSVTRPPQAVPTSASGPGGSTL NLNNLYAGNTSGYSSGFGGGSLAEPSPGIKPESQVDPKALVISGNGGDMFSSFQQRPQ PTLSNSSMSSAIVPASTGTQPPPKPNALDSLQSTFSMLPAGNQPQQPRPAASSQGPSS GFPHGSAVGPGHPTPAGNNQPPWPKMKPSDVQKYTKVFIEVDSDRDGRITGEQARNLF LSWRLPREVLKHVWELSDQDNDTMLSLREFCISLYLMERYKEGRPLPPALPSSIMYDE TLLSLSGAPSRGYANAGWGSGQGYVQQPVMGARPVIPPTGMRPPVPHPGSGIASNEQR NQAPALDDAFASHLGNGYSASTNPQETAVDGEKVDEKKNAYMDSREKLEYYRTKMQDI VLYKSRCDNILNEISERASADKREAETLAKKYEEKYKQVAELGSKLTIEEARFREIEG RKMELSQAIVNMEQGGSADGLLQELMKALTERCKKHGLEVKSKALVDLPAGWQPGIQE GAALWDEEWDKFEDEGTIFDKSKEQKSSGEKENGTVDDGSGPPPDSPTHLDENYGPFS ETSDHHHESEDESGRSPRDSLVSRTATEVPSPDNAHEKSSEFFDDSNWASAFDTNDDV DSVWGLDASRSQDGDYFGENSGRADSPTSRSFAGQRKGPFGFDDSVPSTPLSRFGNSP PRFSDASARDNNFDSFSRFDSFNHSEAGVSSQPERLSRFDSINSSKDFGGAAFSRFDS INSSRDFGGGAEKLSRFDSINSSRDFGGPSLSRFDSMNSTKDHGYSFDDADPFGSTGP FKVSFDDKSPKKNSF" gene complement(19913768..19915451) /locus_tag="BRARA_H02318" /db_xref="Phytozome:Brara.H02318" mRNA complement(join(19913768..19914024,19914109..19914198, 19914304..19914384,19914478..19914522,19914593..19914650, 19914755..19914859,19914970..19915018,19915272..19915451)) /locus_tag="BRARA_H02318" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02318" CDS complement(join(19914007..19914024,19914109..19914198, 19914304..19914384,19914478..19914522,19914593..19914650, 19914755..19914859,19914970..19915010)) /locus_tag="BRARA_H02318" /codon_start=1 /product="hypothetical protein" /protein_id="RID51670.1" /db_xref="Phytozome:Brara.H02318" /translation="MKGGKSKTETRSSKLSVAKKPAKAAGRSKAAAKDPNKPKRPASA FFVFMEDFRQTYKKDHPNNKSVAAVGKAGGEKWKSLSDAEKAPFVAKADKRKVEYEKT MKAYNKKLEEGPKEDEEESDKSVSEVNDEDDADDGSDEEEDDD" gene 19918517..19922255 /locus_tag="BRARA_H02319" /db_xref="Phytozome:Brara.H02319" mRNA join(19918517..19919012,19919487..19919523, 19919673..19919754,19919856..19919901,19919986..19920084, 19920461..19920529,19920607..19920958,19921039..19921187, 19921274..19922255) /locus_tag="BRARA_H02319" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02319" CDS join(19918626..19919012,19919487..19919523, 19919673..19919754,19919856..19919901,19919986..19920084, 19920461..19920529,19920607..19920958,19921039..19921187, 19921274..19921927) /locus_tag="BRARA_H02319" /codon_start=1 /product="hypothetical protein" /protein_id="RID51671.1" /db_xref="Phytozome:Brara.H02319" /translation="MGEVAETMTKKKKKGRPSLLDLQKRAIKQQQQHHRNNHDDHRSG SKNPNSPNSGTRSKRRNPNPNGVSSSDSPLSEEDDDERREKKHKLLYGLNSNSNPQSR GSDLNLDETPVNRRKIGGGGGGGSGFTGEKASKATDILQGSPVESGGPTTPLPDKQLL VFILDRLQKKDTYGVYSDPVDPEELPDYHEIITNPMDFSTVRKKLDSGAYATLEQFEG DVFLICSNAMEYNSSDTVYYRQARAIQELAKKDFENLRRDSDDEEPQSQQDQQQQPKV ARRGRPPKKQPEPSSIDRTASEISADALIPGGDGSNRFSGAYNLRKTPPSHKFRQAET SVRINHNSETQSGWSVDWENEFPPSVVKAVNKYGMKHFNVDENKRDTYNHLPASTQEP SVLTTLEDELKQLIPVGLTTEYGYARSLARYAANLGPVAWKIASKRIETVLPPGIKYG PGWVEENPAGTEEDNDPQKQKCSNDLASDNHSNRILSPTASVSSAFIGNRHSSSSQGI EETAAPSRAFPPASSSRQAGPLIKPESSINGLTRGFSGFGHSPSPMIGATRQQQPNLA NETMPGPQQQGMLFPYNKQEFDRFPPDLNARLVSPNSPGANQQTGSSSSQHPDLALQL " gene 19924360..19926694 /locus_tag="BRARA_H02320" /db_xref="Phytozome:Brara.H02320" mRNA join(19924360..19924937,19925024..19925071, 19925157..19925314,19925406..19925595,19925680..19926071, 19926143..19926694) /locus_tag="BRARA_H02320" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02320" CDS join(19924853..19924937,19925024..19925071, 19925157..19925314,19925406..19925595,19925680..19926071, 19926143..19926403) /locus_tag="BRARA_H02320" /codon_start=1 /product="hypothetical protein" /protein_id="RID51672.1" /db_xref="Phytozome:Brara.H02320" /translation="MTCFSCLNPRTKDIRVDIDTARPTTDSSVHGSDTTGTGSISGIL VNGKVNSPTKPGGGAMSFTFKELAEATRNFREVNLLGEGGFGRVYKGRLDSGQVVAIK QLNPDGLQGNREFIVEVLMLSLFHHPNLVTLIGYCTSGDQRLLVYEYMPMGSLEDHLF DLDSNQEPLTWNTRMKIAVGAARGIEYLHCTANPPVIYRDLKSANILLDKEFNPKLSD FGLAKLGPVGDRTHVSTRVMGTYGYCAPEYAMSGKLTVKSDIYCFGVVLLELVTGRKA IDLSQKQGEQNLVAWSRPYLKDQKKFGHLVDPSLRGKYPRRCLNYAIAIIAMCLNEEA HYRPFIGDIVVALEYLAAQSQSHEARNVSCTSPEVRRTPRRDS" gene complement(19927084..19930664) /locus_tag="BRARA_H02321" /db_xref="Phytozome:Brara.H02321" mRNA complement(join(19927084..19927820,19927901..19928753, 19929294..19929341,19929417..19930207,19930331..19930664)) /locus_tag="BRARA_H02321" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02321" CDS complement(join(19927117..19927820,19927901..19928753, 19929294..19929341,19929417..19930166)) /locus_tag="BRARA_H02321" /codon_start=1 /product="hypothetical protein" /protein_id="RID51673.1" /db_xref="Phytozome:Brara.H02321" /translation="MEDSLVQTENAIMDTEFMDGLLLDGCWLETTDGSEFLNLTSSDQ SSFMWPPTQDTSSICTSEVVSQTYGQDCASLDEFPWNKRWWIGPGGGGSSITERLVQA VEHIRDYTTERGSLIQLWVPVNRGGKRVLTTREQPYSHDPTCQRLANYREVSVNYHFS AEKDDSKALAGLPGRVFLGKLPEWTPDVRFFRSEEYPRVHHAQDCDVRGTLAIPVFEQ GSKVCLGVIEVVMTTEMVKLAPELESICRALQAVDLRSTEVQIPPSLKGCDLSYKAAL PEIRNLLRCACETHKLPLAQTWVSCLHQSKSGCRHNDENYIHCVSTIDDACYLGDPTV GEFHEACSEHHLLKGQGVAGQAFLTNGPCFSPDVSNYKKSDYPLSHHANMFGLHGSVA IRLRCIHTGSVDFVLEFFLPKDCGDVEEQRKMLNALSTIMAHVPRSLRIVTDKELEDE SEVIVTPKIEITSEHTKDWLHQSNNPENLGLIFDVGGKASDEFGLKRGFGYTRDSNIN ESSTFSSQMADTKRTKADKTITLDVLRQHFAGSLKDAAKNIGVCPTTLKRICRQHGIQ RWPSRKIKKVGHSLQKIQRVIDSVEGVSGLLPIGSFYANFPNLASSQEPSLQGKTSLP PQPLQLSKPPVSPYSHSSSSSQCCSSETQLNSDTTTTTTDVGGGVLTLSSLENIPQST NLSLSSLDNDFLRIKVSYGEEKIRFRMGNSRRLSDLLWEIGKRFSIEDMSRCDLKYLD EDNEWVLLTCDEDVEECVSVCSTTRSHTIKLLLHVSSHCYNSWQ" gene complement(19933659..>19937066) /locus_tag="BRARA_H02322" /db_xref="Phytozome:Brara.H02322" mRNA complement(join(19933659..19933952,19934054..19934147, 19934234..19934301,19934393..19934482,19934570..19935346, 19935598..19935840,19936022..19936078, 19937052..>19937066)) /locus_tag="BRARA_H02322" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02322" mRNA complement(join(19933659..19933952,19934054..19934147, 19934234..19934301,19934393..19934482,19934570..19935346, 19935598..19935843)) /locus_tag="BRARA_H02322" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02322" CDS complement(join(19933893..19933952,19934054..19934147, 19934234..19934301,19934393..19934482,19934570..19935346, 19935598..19935840,19936022..19936078,19937052..19937066)) /locus_tag="BRARA_H02322" /codon_start=1 /product="hypothetical protein" /protein_id="RID51675.1" /db_xref="Phytozome:Brara.H02322" /translation="MDPYKYRPSSAYNAPFYTTNGGAPKVANFTRERIPERVVHARGI SAKGFFEVTHDISNLTCADFLRAPGVQTPVIVRFSTVVHERASPETMRDIRGFAVKFY TREGNFDLVGNNTPVFFIRDGIQFPDVVHALKPNPKTNIQEYWRILDYMSHLPESLLT WCWMFDDVGIPQDYRHMEGFGVHTYTLVSKSGKVLFVKFHWKPTCGIKNLTDEEAKVV GGANHSHATKDLHDAIASGNYPEWKLFIQTMDPADEDKFDFDPLDVTKIWPEDILPLQ PVGRLVLNRTIDNFFNETEQLAFNPGLVVPGIYYSDDKLLQCRIFAYGDTQRHRLGPN YLQLPVNAPKCAHHNNHHEGFMNFMHRDEEINYYPSKFDPVRCAEKVPIPTKSYTGIR TKCIIKKENNFKQPGDRYRSWAPDRQDRFVKRWVEILSEPRLTHEIRSIWISYWSQAD RSLGQKLASRLNVRPSI" CDS complement(join(19933893..19933952,19934054..19934147, 19934234..19934301,19934393..19934482,19934570..19935346, 19935598..19935642)) /locus_tag="BRARA_H02322" /codon_start=1 /product="hypothetical protein" /protein_id="RID51674.1" /db_xref="Phytozome:Brara.H02322" /translation="MRDIRGFAVKFYTREGNFDLVGNNTPVFFIRDGIQFPDVVHALK PNPKTNIQEYWRILDYMSHLPESLLTWCWMFDDVGIPQDYRHMEGFGVHTYTLVSKSG KVLFVKFHWKPTCGIKNLTDEEAKVVGGANHSHATKDLHDAIASGNYPEWKLFIQTMD PADEDKFDFDPLDVTKIWPEDILPLQPVGRLVLNRTIDNFFNETEQLAFNPGLVVPGI YYSDDKLLQCRIFAYGDTQRHRLGPNYLQLPVNAPKCAHHNNHHEGFMNFMHRDEEIN YYPSKFDPVRCAEKVPIPTKSYTGIRTKCIIKKENNFKQPGDRYRSWAPDRQDRFVKR WVEILSEPRLTHEIRSIWISYWSQADRSLGQKLASRLNVRPSI" gene 19943400..19945945 /locus_tag="BRARA_H02323" /db_xref="Phytozome:Brara.H02323" mRNA join(19943400..19943566,19943743..19943797, 19943869..19943998,19944069..19944116,19944208..19944256, 19944340..19944567,19944649..19944738,19944811..19945032, 19945122..19945220,19945293..19945471,19945556..19945945) /locus_tag="BRARA_H02323" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02323" CDS join(19943743..19943797,19943869..19943998, 19944069..19944116,19944208..19944256,19944340..19944567, 19944649..19944738,19944811..19945032,19945122..19945220, 19945293..19945471,19945556..19945688) /locus_tag="BRARA_H02323" /codon_start=1 /product="hypothetical protein" /protein_id="RID51676.1" /db_xref="Phytozome:Brara.H02323" /translation="MGRSDENSVGLIGSTNLQGGGGKIMPAAKTGPTRRALSAINKNI NEPPSYPYAVNNKRSLSERNAICNKPPPHRPVTRKFAAQLAGDKPQIKEEETKKPDSV PSEEAEDFNEPIFVQHTQAMMEEIEMEDADEDEEEEEGVINIDACDEMNPLAVVEYIN DIYDFFKKSEELSCVPPNYMDNQQDLNERMRGILIDWLIEVHYKFELMEETLYLTVSV IDRFLAVHQIVRKKLQLVGVTALLLACKYEEVSVPVVDDLILISDKAYNRREVLDMEK LMANTLQFNFSLPTPYVFMRRFLKAAQSDKKLEVLSFFIIELCLVEYEMLEYTPSKLA ASAIYTARCTLNGFEEWSKTCEFHTGYKEEELLECARKMVGFHQKAGTGKLTGVHRKY NTSKFSYAARTEPAGFLL" gene complement(19946174..>19947370) /locus_tag="BRARA_H02324" /db_xref="Phytozome:Brara.H02324" mRNA complement(join(19946174..19946357,19946496..19946549, 19946630..19946696,19946792..19946844, 19947212..>19947370)) /locus_tag="BRARA_H02324" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02324" CDS complement(join(19946298..19946357,19946496..19946549, 19946630..19946696,19946792..19946844,19947212..19947370)) /locus_tag="BRARA_H02324" /codon_start=1 /product="hypothetical protein" /protein_id="RID51677.1" /db_xref="Phytozome:Brara.H02324" /translation="MSRSLGIPVKLLHEASCHIVTVELKSEELYRGSMIECEDNWNCQ LEDITFTAKDGKVSQLEHVFIRGSKVRFMVIPDILKHAPMFKRLDARIKGKSGSLGVG RGRAAMRGKAPATGRGTGGRGAVPPVRR" gene 19948973..19950035 /locus_tag="BRARA_H02325" /db_xref="Phytozome:Brara.H02325" mRNA 19948973..19950035 /locus_tag="BRARA_H02325" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02325" CDS 19949192..19949887 /locus_tag="BRARA_H02325" /codon_start=1 /product="hypothetical protein" /protein_id="RID51678.1" /db_xref="Phytozome:Brara.H02325" /translation="MAQMDLEGLRELQDCANYLLDHCPEARESLCQEGKEKWIEQVSE ASVTMLDVCNVSKDVMALVRHSLKELQLTLRCNESNMHVKIAAYNRHRNKLKKETLKC LNTLKSIEGGGRGTLVMQRIEQNLLFVAEVLKEVRRAIVTMVESLFSLVCIPWLERKQ SKRSMSSVFTKRFCCLDDVWDEVAVQSASTRLEAAQIAVEELEIELGCIFRRLIQTRV SLLNIITAKMSPV" gene 19950624..19953032 /locus_tag="BRARA_H02326" /db_xref="Phytozome:Brara.H02326" mRNA join(19950624..19951977,19952067..19952212, 19952473..19952540,19952636..19953032) /locus_tag="BRARA_H02326" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02326" CDS join(19950753..19951977,19952067..19952212, 19952473..19952540,19952636..19952858) /locus_tag="BRARA_H02326" /codon_start=1 /product="hypothetical protein" /protein_id="RID51679.1" /db_xref="Phytozome:Brara.H02326" /translation="MASVDSRSGFCNSNSTFYSKRKPIPLPPNPSLDVTTFISSQAHL GRTAFIDASTGKNLTFAELWRAVESVGDCLSDMGIRKGHVVLLLSPNSILFPVVCLSV MSLGAVITTTNPLNTAAEIAKQIKDSNPVMAFTTAELLPKIAAASGGSKKRLPIVLMD EERVDSAGEVRWLAEMMRREPSGTRVAERVDQDDTATLLYSSGTTGMSKGVVSSHRNL IAMVQTVVNRFGSDVGSQGEQRFICTVPMFHIYGLAAFATGLLAYGSTIIVLSKFEMG EMLSAIGKYQATYLPLVPPILVAMVNGAEQINAKYDLSSLHTVLCGGAPLSKEVTEGF MDKYPTVKILQGYGLTESTGIGASTDTVEESRRYGTAGKLSASMEGRIVDPVTGRVLG PNRTGELWLKGPSIMKGYFSNEEATSSTIDSEGWLRTGDLCYIDEDGFIFVVDRLKEL IKYKGYQVPPAELEALLLTHPEIADAAVIPFPDREVGQFPMAYVVKKTGSLLSEKSVM EFVSKQVAPYKRVRKVAFVSSIPKNPSGKILRKDLIKLATSNSKL" gene 19953252..19955933 /locus_tag="BRARA_H02327" /db_xref="Phytozome:Brara.H02327" mRNA join(19953252..19953687,19953833..19955113, 19955201..19955346,19955492..19955559,19955638..19955933) /locus_tag="BRARA_H02327" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02327" CDS join(19953447..19953687,19953833..19955113, 19955201..19955346,19955492..19955559,19955638..19955860) /locus_tag="BRARA_H02327" /codon_start=1 /product="hypothetical protein" /protein_id="RID51680.1" /db_xref="Phytozome:Brara.H02327" /translation="MTLAVSMVGFGRFTITHLTRMVSSTLRSGGNAHSGRIFSIGSLP CIALPRLLPFAGLHLNPRKFSSDAFNTSSKPGLATPGLHHPFNHLNKMAVCKRSSSSS SLSPIHPRNGFCYANSTFYSKRNPLPLPANSSLDVTTFISSQAHHGTTAFIDAATGHR ISFSDLWRSVDNVADCLHRDMGIRRGDVVLILSPNSISIPLVCLSVMSLGAVVTTANP LNTASEISRQVADCNPKLAFATPDQAPKLAASGAISVVLTEEDEERVGSTLGVRVVGS LSEMMKKKPSERRVRNRVNRDETAMLLYSSGTTGRSKGVIISHGNLIAHVARYIAEPL EPNQTFLCTVPLFHTFGLLNYALATVALGSTVVILRKFDLHGMMAAVEKYRATTLVLV PPILVAMINGSDLIKARYDLTLLKTVRSGGAPLSKEVTESFLEKYPTVDIFQGYALTE SNSSGASTDSVEESKRHGAVGLLSSGVEARVVDPDTGRIMGVNQTGELWLKGPAITKG YYRNEEATKETINTEGWLKTGDLCCIDDDGFVFILDRLKELIKYKAYQVPPAELEGLL LTHPEIVDAAVIPFPDREVGQLPMAYIVRKTGSLLSEKSLMEFVAKQVAPYKRVRKVA FVSSIPKNPSGKILRKDLIKLATSNSTV" gene 19956784..19959015 /locus_tag="BRARA_H02328" /db_xref="Phytozome:Brara.H02328" mRNA join(19956784..19958039,19958115..19958260, 19958339..19958406,19958510..19958612,19958756..19959015) /locus_tag="BRARA_H02328" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02328" CDS join(19956809..19958039,19958115..19958260, 19958339..19958406,19958510..19958612,19958756..19958869) /locus_tag="BRARA_H02328" /codon_start=1 /product="hypothetical protein" /protein_id="RID51681.1" /db_xref="Phytozome:Brara.H02328" /translation="MTNSIRSSSTSSSLIDPRSGFCNANLTFYSKRKPLPLPANTSLD VTTFISSQPHRGTTAFIDAATGHHLSFSELWTAVNRVADCLHRDVGVRKGDVVLVLSP NSISIPIVCLSIMSLGAVVTTANPLNTAGEISRQMADSNPVLAFTTPELSQKLAGSGI SVVLERMGPTRGVRVVGYLSEMMNKAPSGKNRVRDRVHQDDTAMLLYSSGTTGRSKGV VSSHGNLVAHVARHLVEPMDPHEIFLCTVPMFHTFGLLSYVMATASLGSTVVILRRFE LHEMLAAVVKYRATTLVLVTPVIVAMMNGADLIKAKYDLRSLRIVRCGGAPLSKEVTE GFIEKYPTVDIFQGYALTESNGAGGSIDTVEESRRYGAVGLLSSGVEARIVDPDTGRV MGVNRTGELWLKGPSIAKGYFKNEEATKECFNLQGWLKTGDLCYIDDDGFLFTVDRLK ELIKYKGYQVPPAELEALLLNHPDILDAAVIPFPDKEAGQCPMAYISRKPESDLSHIQ VINFISEQVAPYKKIRKVAFIDSIPKTPSGKILRKDLIKLATSKL" gene 19959684..19961939 /locus_tag="BRARA_H02329" /db_xref="Phytozome:Brara.H02329" mRNA join(19959684..19961251,19961332..19961399, 19961499..19961601,19961687..19961939) /locus_tag="BRARA_H02329" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02329" CDS join(19959890..19961251,19961332..19961399, 19961499..19961601,19961687..19961800) /locus_tag="BRARA_H02329" /codon_start=1 /product="hypothetical protein" /protein_id="RID51682.1" /db_xref="Phytozome:Brara.H02329" /translation="MALDRKSGFCESNSIFYSKRDPMVLPPPNQHLDVTTFISSQPHR GKTAFVDAVTGRRLGFSELWLGVKRVAACLYSLGVRKRDVVIILSPNSILYPVVSLAV MSLGAVITTANPISTSGEIANQLHDSLPVLAFTTCQLVSKLAAAASASKLPVVLMDEN NGVEAQAEGVKIVGSLELMMESEPSESRAKQRVNQDDTAALLYSSGTTGKSKGVMITH RNLIALVQTYRLRFGLEQRTVCTIPMCHVFSFGGFATSLIALGWTTMVLPKFEISKLL SAVETHRPTHLTLVPPMVVAMVNGAKEINSNYDVSSLHTVVAGGAPLSREVIEKFVKS YPNVKVLQGYGLTETTAIVATMFTKEETERFGSSGLLSPNVEAKIVDPETGRLLGVDQ TGELWLRSPTVMKGYYKNEEATAETIDSEGWLKTGDLCYIDCEGFVFVVDRLKELIKC NGYQVAPAELEALLLAHPEIDDAAVIPIPDEKAGQYPMAYIVRKAGSNLSESEIMGFV AKQVSRYKKIRKVVFLGSIPKNPSGKILRRELKKLTTSKL" gene complement(<19961984..>19962640) /locus_tag="BRARA_H02330" /db_xref="Phytozome:Brara.H02330" mRNA complement(<19961984..>19962640) /locus_tag="BRARA_H02330" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02330" CDS complement(19961984..19962640) /locus_tag="BRARA_H02330" /codon_start=1 /product="hypothetical protein" /protein_id="RID51683.1" /db_xref="Phytozome:Brara.H02330" /translation="METPESTIELCPDLILDDVGGAFGMGIAGGSVFYMIKGIYNSPA GARLSGGAEYARMNAPRVGGSFAVWGGLVSSFACAMEYARQKEDSWNLIFASVPTGGL LSLRQGFRTSRNTALFSGALAALTVGVWNAVEKFTSAAQNEEAFMDDAASLPSCVHIC QVLGQSVPETSSASVAGSRFWFGGWFESGKKESEDKSMSTTQILESFDAPHAPTNEFN " gene complement(<19964473..>19965126) /locus_tag="BRARA_H02331" /db_xref="Phytozome:Brara.H02331" mRNA complement(<19964473..>19965126) /locus_tag="BRARA_H02331" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02331" CDS complement(19964473..19965126) /locus_tag="BRARA_H02331" /codon_start=1 /product="hypothetical protein" /protein_id="RID51684.1" /db_xref="Phytozome:Brara.H02331" /translation="MGTPDTTREPCPDRILDDMGSAFGMGITGGSVYHFIRGIYNSPA GARLSGGAQYVRMNVPKLGGSFAVWGGLFSTMDCAMVYARQKEDPWNSIIAGAATGGL LSLRQGFRASGRAALFGGAILALIQGVQLAKDKVASAAQQQQVFMGEAASLPPAQVYG QSVPVPETSSASEAGSGSWFGGLFGKGKQKGSEDKSGSKTQVLESFDAPPVPTYEFN" gene complement(<19971926..19974678) /locus_tag="BRARA_H02332" /db_xref="Phytozome:Brara.H02332" mRNA complement(join(<19971926..19972586,19974243..19974678)) /locus_tag="BRARA_H02332" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02332" CDS complement(join(19971926..19972586,19974243..19974247)) /locus_tag="BRARA_H02332" /codon_start=1 /product="hypothetical protein" /protein_id="RID51685.1" /db_xref="Phytozome:Brara.H02332" /translation="MSCKRQEKKRSKNQMGSPENTREPCPDRILDDIGDAFGMGIAGG SVFHFIRGINNSPSGARLSGGAQYVRMNAPKLGGSFAVWGGLFSTMDCAMVYARQKED PWNSIFAGAATGGLLSLRQGFRASARAVLVGGAVLTLLQGVQIALDKLANAAQQHEQA SMGDAASLPPAQVYETSSAPEAGSGSWYGGLFGSGKKEESEDKSGSKTRVLESCDAPP VST" gene <19975110..>19975607 /locus_tag="BRARA_H02333" /db_xref="Phytozome:Brara.H02333" mRNA <19975110..>19975607 /locus_tag="BRARA_H02333" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02333" CDS 19975110..19975607 /locus_tag="BRARA_H02333" /codon_start=1 /product="hypothetical protein" /protein_id="RID51686.1" /db_xref="Phytozome:Brara.H02333" /translation="MASVTSATVAIPSFTGLKSTISKPSAVVRLPTTTSRNLTVKASL KDFGVAAVAAAASIALAGNAMAIDVLLGSGDGALAFVPNEFTIAKGEKIVFKNNAGFP HNVVFDEDEIPSGVDASKISMDEQDLLNAAGETYEVALTEPGTYSFYCAPHQGAGMVG KVTVN" gene 19976802..19978830 /locus_tag="BRARA_H02334" /db_xref="Phytozome:Brara.H02334" mRNA join(19976802..19977118,19977214..19977298, 19977383..19977578,19977732..19977861,19977942..19978129, 19978234..19978322,19978426..19978830) /locus_tag="BRARA_H02334" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02334" CDS join(19976919..19977118,19977214..19977298, 19977383..19977578,19977732..19977861,19977942..19978129, 19978234..19978307) /locus_tag="BRARA_H02334" /codon_start=1 /product="hypothetical protein" /protein_id="RID51687.1" /db_xref="Phytozome:Brara.H02334" /translation="MAMKLRQSQRSQAKKWSTLMLVLLMLFMLTIVLLMLLSFGVFSL PIDTFDEYSPTDLSSFRRAATERSEGIGKRGDQWTEILSWEPRAFLYHGFLSKEECEY LISLAKPYMVKSTVVDSQTGKSKDSRVRTSSGTFLRRGRDQVIKTIEKRIADYTFIPA DHGEGLQVLHYEEGQKYEPHYDYFVDEFNTKNGGQRMATMLMYLSDVEEGGETVFPAA NMNFSSVPWYNELSECGKKGLSVKPRMGDALLFWSMRPDATLDPSSLHGGCPVIKGNK WSSTKWMHVGEYKI" gene 19980330..19982636 /locus_tag="BRARA_H02335" /db_xref="Phytozome:Brara.H02335" mRNA join(19980330..19980471,19980575..19980697, 19980839..19980919,19981009..19981097,19981177..19981251, 19981381..19981445,19981530..19981592,19981699..19982229, 19982322..19982636) /locus_tag="BRARA_H02335" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02335" CDS join(19980575..19980697,19980839..19980919, 19981009..19981097,19981177..19981251,19981381..19981445, 19981530..19981592,19981699..19982229,19982322..19982419) /locus_tag="BRARA_H02335" /codon_start=1 /product="hypothetical protein" /protein_id="RID51688.1" /db_xref="Phytozome:Brara.H02335" /translation="MDMYERVAKPKAETPIAENEIRITSMGRARNCITYAMALFQEKG SDEVVFKAMGRAISKTVNIVELIKRRIPGLHQITSIGSTDITDTWEPLEEGLLPIETT RHVSLITITLSKKELSTSSVGYQCPIPIEMVKPLAEIDHEGREGPPRGRARRGRGGGR GRGGRGDGYVNVEYDEGAMEPEQSPGRGRGGRRGRGGRGRGGYGNQPHYEAQQDGGDY GHNAPQYREYDDGGMDHERRGGRVRGGGGGGRRGGRGRGGNNGPPPYYEAQHDGGNYG YTAPPPRDHGYDDGGMNPERSSGRGRGGGRRGGRGRGGYGYGGGQPYYENQQDGGDYG YNNNAPPRGRGGRGRGGGRGRGGGRGGYNRSEGPPNNAAA" gene 19985055..19986515 /locus_tag="BRARA_H02336" /db_xref="Phytozome:Brara.H02336" mRNA join(19985055..19985225,19985298..19985619, 19986066..19986515) /locus_tag="BRARA_H02336" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02336" CDS join(19985096..19985225,19985298..19985619, 19986066..19986372) /locus_tag="BRARA_H02336" /codon_start=1 /product="hypothetical protein" /protein_id="RID51689.1" /db_xref="Phytozome:Brara.H02336" /translation="MAKSLAGVALLAALFITVDAFRPSGLTNGHATFYGGSDASGTMG GACGYGDLYSAGYGTMTAALSTALFNNGASCGECYRITCDYAADSRWCKKGASVVITA TNFCPPNFALPSNNGGWCNPPLKHFDMAQPAWEKIGIYRGGIVPVVFQRVSCYKRGGV RFRINGRDYFELVNISNVGGAGSIKSVSIKGSKTGWLAMSRNWGANWQSNAYLDGQSI SFSITTTDGATRVFLNVVPSSWSFGQTYSSRVQF" gene complement(19988576..19990224) /locus_tag="BRARA_H02337" /db_xref="Phytozome:Brara.H02337" mRNA complement(join(19988576..19989812,19990065..19990224)) /locus_tag="BRARA_H02337" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02337" CDS complement(join(19988695..19989812,19990065..19990122)) /locus_tag="BRARA_H02337" /codon_start=1 /product="hypothetical protein" /protein_id="RID51690.1" /db_xref="Phytozome:Brara.H02337" /translation="MMKMKNLLSSRLKSSSARQENSEKEYRRSLTSKLSVNEEYKEAF RTKSYLDIRTKAEDQLGITFSSKLSSSPPSASHSPSSSDLSFHSHFTDYLLDPPQETL DALMQDSSFHNLLANFFDFSSDACDVCESLLQCIQQIKINHIKIKRVIKIGKRVCNNG AKTPERALVFQELSRYALLKNPLYSIINQAQFRRVHDANSELLARLTSKRRRIRRKDR FFKFCKKLGGCSLVISHSAIVITLLIVALHSILGVLVAPAVLGLCSLGLLRKKKKKAK RNVENKSKTDPSLEKLGTQMDIAAKGMFIMINDLDTLSRLAGRLCDEIEHRKTVAAMC AKSGKIEVLKEALREFSGHEERFLEQLQELEEHLYLCFHTINRSRRLVFAQITGPSS" gene complement(19993201..19995320) /locus_tag="BRARA_H02338" /db_xref="Phytozome:Brara.H02338" mRNA complement(join(19993201..19993439,19993517..19993772, 19993861..19994094,19994185..19994315,19994867..19995320)) /locus_tag="BRARA_H02338" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02338" CDS complement(join(19993246..19993439,19993517..19993772, 19993861..19994094,19994185..19994315,19994867..19995299)) /locus_tag="BRARA_H02338" /codon_start=1 /product="hypothetical protein" /protein_id="RID51691.1" /db_xref="Phytozome:Brara.H02338" /translation="MKQYKLVRFLIFLLSIFICFVTIHSQCPPTLPAPQSMPPPPPPG PSSKPCPPQGTYKFPPAPQPVPSLAPCTCPCPSDPSPRQEPPKNVTVPALFVFGDSLV DTGNNNNVSTPLRCNFRPYGIDFLQGVPTGRYSDGKVPSDFLAEYLGIKSIVPAYMDP KLQPDDLLTGVCFASGGSGYIPMTPTYLNVIPMLHQLTYFQHYIARVKKLVGQEKGDQ IITNGLAIVFAGSNDMGITYYGPGAQWVKDDIYSFTSNMVESATSFAMQLYGYGARHI GVAGMTPLGCIPAQRTLKGGPHRKCAQDVNYAVQIFNTKLSIALDHLAKTLPDSKLVF MDIYSPFSQILENPADYGFEVINRGCCGTGLVETGPLCNQETSTVCRNISAYLYWDSF HPSQRLYEILTKILVKKYIPLLF" gene complement(19995666..19998849) /locus_tag="BRARA_H02339" /db_xref="Phytozome:Brara.H02339" mRNA complement(join(19995666..19996000,19996395..19996462, 19996555..19996588,19996700..19996792,19996958..19997068, 19997165..19997230,19997320..19997451,19997547..19997678, 19997759..19997845,19997965..19998849)) /locus_tag="BRARA_H02339" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02339" CDS complement(join(19995923..19996000,19996395..19996462, 19996555..19996588,19996700..19996792,19996958..19997068, 19997165..19997230,19997320..19997451,19997547..19997678, 19997759..19997845,19997965..19998822)) /locus_tag="BRARA_H02339" /codon_start=1 /product="hypothetical protein" /protein_id="RID51692.1" /db_xref="Phytozome:Brara.H02339" /translation="MQPGGDYNSYYHHHHQYPPHFPNPSPNPSPNPTDLAQNTYASAP PFTGGYGAGDYSQNYQPPYGGQNTEHVPPPSAPPSFTPPATNPNSYSALTQPPPIHPP APSSYGSSAPPYTSQPPMYYPPYDPPPSSAPAPNPNPLLHAPQQPYSSSYSSTPSYGD YGRSESSASDLYGKRSGSGGGGGYPAFEDSSSYGDGVYPYSGGGKVEPYGSRGTAPKS SNSTLFDDYGRPISVSDSSSSAGSKSAKIARAVPKADVQEDSSGGVQKFRVKLLAETY GQTTTDVLCQIGLDGLRMLDPSSSRTLRIYPLENITRCEKLDSSILAFWSKTPVDFEA KRIRLQSNSYTTNTLLDTVTAAMFQAKEIGGSSRPPVSAKLVEQSAEKKKGLGDWMNK IKPVNEEKDHWVPDEAVSKCTSCGSDFGAFNRRHHCRNCGDVFCDKCTQGRIALTAEE NAPQVRVCDRCMAEVSQRLSNAKESASRNMSLQSHEDLARKLQEEMQRNRKSSSGSRE GSGRRMKEVACPTCTVHLQVQVPSSGSETIECGVCQNPFLVSSH" gene complement(19999394..20000997) /locus_tag="BRARA_H02340" /db_xref="Phytozome:Brara.H02340" mRNA complement(join(19999394..19999800,19999877..19999942, 20000023..20000087,20000158..20000221,20000311..20000420, 20000523..20000610,20000709..20000997)) /locus_tag="BRARA_H02340" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02340" CDS complement(join(19999702..19999800,19999877..19999942, 20000023..20000087,20000158..20000221,20000311..20000420, 20000523..20000610,20000709..20000807)) /locus_tag="BRARA_H02340" /codon_start=1 /product="hypothetical protein" /protein_id="RID51693.1" /db_xref="Phytozome:Brara.H02340" /translation="MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFS ANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENIAKKWIP ELRHYAPGVPIILVGTKLDLRDDKQFFIDHPGAVPITTNQGEELKKLIGSAVYIECSS KTQQNVKAVFDAAIKVVLQPPKQKKKKKNKNRCAFL" gene complement(<20002150..20004881) /locus_tag="BRARA_H02341" /db_xref="Phytozome:Brara.H02341" mRNA complement(join(<20002150..20002281,20002370..20002501, 20002588..20002938,20003022..20003268,20003351..20003427, 20003544..20003618,20003704..20003805,20003882..20004000, 20004089..20004212,20004303..20004353,20004450..20004548, 20004748..20004881)) /locus_tag="BRARA_H02341" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02341" CDS complement(join(20002150..20002281,20002370..20002501, 20002588..20002938,20003022..20003268,20003351..20003427, 20003544..20003618,20003704..20003805,20003882..20004000, 20004089..20004212,20004303..20004353,20004450..20004548, 20004748..20004858)) /locus_tag="BRARA_H02341" /codon_start=1 /product="hypothetical protein" /protein_id="RID51694.1" /db_xref="Phytozome:Brara.H02341" /translation="MGIISTILGTIGFGFGTTIGILIGYYLFIYHQSTDVQDPEIKPL MELDSEAIAKMFPEIPLWVKNPDFDRIDWLNKLIGYMWPYLDKAISNMAKSIAKPIIA EQIPNYKIDSVEFEMLTLGSLPPTFQGMKVYATDDKELIMELSVKWAGNPNILVAAKA FGLKATVQVVDLQVYATPRITLKPLVPSFPCFANIFVSLMNKPQVDFGLKLLGADVMA IPGLYGFVQELIKDQVANMYLWPKTLCVQIMDPSTAMKKPVGLLNVNVVKAIKLKKKD FLGGSDPYVKLTLSGDRVPGKKTVVKHSNLNPEWNEEFDLVVKDPENQILHLIVYDWE QVGKHDKIGMNVIPLKDLTPEEPKLITLELLKSMEPNEPVSEKSRGQLVVEVEYKPFK EDNIPDNLDDPNAVEKAPEGTPSGGGLLVVIVHEAEDLEGKYHTNPYVRLLFRGEERK TKRVKKNREPRWDEDFQFPLDEPPINDKLHVEVISTSSRMGLIQSKEALGYVVINLAD VVSNRRINDKYHLIDSKNGRVQIELQWRTSS" gene 20006437..20007474 /locus_tag="BRARA_H02342" /db_xref="Phytozome:Brara.H02342" mRNA 20006437..20007474 /locus_tag="BRARA_H02342" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02342" CDS 20006718..20007290 /locus_tag="BRARA_H02342" /codon_start=1 /product="hypothetical protein" /protein_id="RID51695.1" /db_xref="Phytozome:Brara.H02342" /translation="METISMNHSPGKQVSGLRPFKNPRRRSSRGKPSRSGGSLAPPIN PTFSWGSSPAYPPPYYSEPPLQRLNSSSLSPQQVSSSQPRVRSSTIPRAQARGTMFET LQKKVEYIETVPGLARTGSVPVRSNHPRDFPKGFDGYPGPAIMLLSPPPSSLPIPRFA IKPKHRCNAEAAGKVNDVAADNIRPALQLR" gene complement(20008174..20010340) /locus_tag="BRARA_H02343" /db_xref="Phytozome:Brara.H02343" mRNA complement(join(20008174..20008426,20008521..20008755, 20008857..20008919,20009007..20009084,20009162..20009253, 20009329..20009407,20009485..20009618,20009716..20009965, 20010139..20010340)) /locus_tag="BRARA_H02343" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02343" CDS complement(join(20008359..20008426,20008521..20008755, 20008857..20008919,20009007..20009084,20009162..20009253, 20009329..20009407,20009485..20009618,20009716..20009965, 20010139..20010243)) /locus_tag="BRARA_H02343" /codon_start=1 /product="hypothetical protein" /protein_id="RID51696.1" /db_xref="Phytozome:Brara.H02343" /translation="MATALNAAVSLTSSKSSFLPATSCAIAPERIRFGKGGFSYKSNN VATSRRVFSVRAEVTTDTPPAVKKVEKESKKNEEGVITNKYRPKEPYTGKVLLNTKIT ADDAPGETWHMVFSHQGEIPYREGQSVGVIADGIDKNGKPHKVRLYSIASSALGDLGN SETVSLCVKRLVYTNDAGEVVKGVCSNFLCDLKPGSDVKLTGPVGKEMLMPKDPNATV IMLATGTGIAPFRSFLWKMFFEKHDDYKFNGLAWLFLGVPTTSSLLYTEEFDKMKAKA PENFRVDYAISREETNDKGEKMYIQTRMAQYAPELWELLKKDNTFVYMCGLKGMEKGI DDIMVSLAANDGIDWFDYKKQLKKAEQWNVEVY" gene complement(20010587..20012256) /locus_tag="BRARA_H02344" /db_xref="Phytozome:Brara.H02344" mRNA complement(join(20010587..20010929,20011015..20011497, 20011572..20011636,20011711..20011822,20011912..20012256)) /locus_tag="BRARA_H02344" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02344" CDS complement(join(20010798..20010929,20011015..20011497, 20011572..20011636,20011711..20011822,20011912..20012112)) /locus_tag="BRARA_H02344" /codon_start=1 /product="hypothetical protein" /protein_id="RID51697.1" /db_xref="Phytozome:Brara.H02344" /translation="MGKKSSGDSTDRKSWDKLFKTLVKILQTKQDEVESLLKDRKVLE DKLKSQHENWISDARNHEEQLSLMNREIETREMMQFFETAKCSLLSGFKERDHSLCNL KLEQTVDERDDFKAWFDFLTLNTNKESGSSSLEAEMRKLKLEYEKLASEKKREVSDLS RENGFVWSQLKCIESGFTDKLKKREDEIAQANSKISSLLSFQEQLQSSNQEKDEVISS LKAKVAEMETDSRKRDEEISKLSRERESLKKSRSFTPVLTRCTTRDKGNNSNTVSTKK EKLAASTPNQEEIKSAKRKRENKTTPATVSVIPKLFTSTFRLPKLKSPPSGVIG" gene 20013293..20015090 /locus_tag="BRARA_H02345" /db_xref="Phytozome:Brara.H02345" mRNA join(20013293..20013606,20013684..20013883, 20014148..20014288,20014369..20014449,20014534..20014658, 20014776..20015090) /locus_tag="BRARA_H02345" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02345" CDS join(20013384..20013606,20013684..20013883, 20014148..20014288,20014369..20014449,20014534..20014658, 20014776..20014824) /locus_tag="BRARA_H02345" /codon_start=1 /product="hypothetical protein" /protein_id="RID51698.1" /db_xref="Phytozome:Brara.H02345" /translation="MKAEKSPIQTVMSWIRRQPPKVKAFLCVVTAMTILVFLRVIVHE HDNLFIASEAVHAVGISVLIYKLTKEKTCAGISLKTQELTALFLAVRLYCSFVMEFDL HTLLDSATLATTLWVIYMIRFNLRPTYMEDKDNFAIYYVVVPCAVVSLLIHPSTRHHI INRLFWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGIARFLSCAHWILQVLD TRGRLLTALGYGLWPLMVLLSEIIQTFILADFCYYYVQSLMGGQLVLRLPSGVV" gene complement(<20015493..>20015915) /locus_tag="BRARA_H02346" /db_xref="Phytozome:Brara.H02346" mRNA complement(<20015493..>20015915) /locus_tag="BRARA_H02346" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02346" CDS complement(20015493..20015915) /locus_tag="BRARA_H02346" /codon_start=1 /product="hypothetical protein" /protein_id="RID51699.1" /db_xref="Phytozome:Brara.H02346" /translation="MQKPSQRTLPDTHSNSFTVHLNEPYNHHQHHNHNKTFIGFSSSM KLFSKFRKILMKIIFIVPSSSSSATVRRHKTTDSRSGSERLETPKISCSNSYYSSHSH YSEAISDCIDFFNKSSTDNMSHKERDDQIVHDRECFYV" gene complement(<20017733..>20017918) /locus_tag="BRARA_H02347" /db_xref="Phytozome:Brara.H02347" mRNA complement(<20017733..>20017918) /locus_tag="BRARA_H02347" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02347" CDS complement(20017733..20017918) /locus_tag="BRARA_H02347" /codon_start=1 /product="hypothetical protein" /protein_id="RID51700.1" /db_xref="Phytozome:Brara.H02347" /translation="MSDPSGQSSSTTTGAGDKSGGGNGGGGGGSGGSFASQPQRAKVR KQVWAGVLSISSASTNK" gene complement(20021589..20023961) /locus_tag="BRARA_H02348" /db_xref="Phytozome:Brara.H02348" mRNA complement(join(20021589..20022290,20022404..20022610, 20022711..20022774,20022858..20022914,20022999..20023097, 20023192..20023232,20023723..20023961)) /locus_tag="BRARA_H02348" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02348" CDS complement(join(20021823..20022290,20022404..20022610, 20022711..20022774,20022858..20022914,20022999..20023097, 20023192..20023223)) /locus_tag="BRARA_H02348" /codon_start=1 /product="hypothetical protein" /protein_id="RID51701.1" /db_xref="Phytozome:Brara.H02348" /translation="MIGSFLTRGLVMVFGYAYPAYECYKAVEKNKPEIQQLRFWCQYW ILVAALTVFERVGDTFASWVPLYSEAKLAFFIYLWFPKTRGTTYVYDSFFRPYVSKHE NEIDRNLVELRTRAGDMAVIYCRKAVCYGQTRVTDILQFVALQSTPKPKPKEKKQTPP EAEEQKQPDLKAASQAGSSPQARPQPKKPQLLTKEPISVKPIIPPRKQLQQQQQQIET KEAKPSASQTKLTPLPPPSSPSTAPKPNADPAQPSSTTEADKASDTVAALPASAIKRA SSSKETIRETIMEETLRVTRGSLRKARSTGTR" gene 20028160..20030540 /locus_tag="BRARA_H02349" /db_xref="Phytozome:Brara.H02349" mRNA join(20028160..20028811,20029288..20029954, 20030041..20030127,20030207..20030540) /locus_tag="BRARA_H02349" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02349" CDS join(20028258..20028811,20029288..20029954, 20030041..20030127,20030207..20030350) /locus_tag="BRARA_H02349" /codon_start=1 /product="hypothetical protein" /protein_id="RID51702.1" /db_xref="Phytozome:Brara.H02349" /translation="MSLLIRSSYVSQFHTRNSKPSSSSDQIPSKSLLFSSFNHNPLIN LVYKRNPRMQSLSFPSLTTVKSSLIDPDGGELVELVVPDSEIELKKKEADSMPMVKLT KIDMEWVHVISEGWASPLKGFMREDEYLQSLHFNSLRLKDGSLVNMSLPIVLAIDDQT KEQIGVSKNVALVSPQGDIVGSLRSVEIYKHNKEERIARTWGTTSPGLPYVEEHITPS GNWLIGGDLEVFQAIKYNDGLDHYRLSPKQLRKEFDNRKADAVFAFQLRNPVHNGHAL LMNDTRKRLLEMGYKNPVLLLHPLGGFTKADDVPLDVRMEQHSKVLEDGVLDPETTIV SIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVL SMAPGLEKLNILPFRVAAYDTVEKKMAFFDPTRAKEFLFISGTKMRTYARTGESPPDG FMCPSGWNVLVKYYESLQESDDSSKQQQTVVSA" gene complement(20030838..20031969) /locus_tag="BRARA_H02350" /db_xref="Phytozome:Brara.H02350" mRNA complement(join(20030838..20031174,20031373..20031658, 20031750..20031969)) /locus_tag="BRARA_H02350" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02350" CDS complement(join(20031042..20031174,20031373..20031658, 20031750..20031828)) /locus_tag="BRARA_H02350" /codon_start=1 /product="hypothetical protein" /protein_id="RID51703.1" /db_xref="Phytozome:Brara.H02350" /translation="MASGFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASM GVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLAC GLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAG QSRAE" gene <20035108..>20036751 /locus_tag="BRARA_H02351" /db_xref="Phytozome:Brara.H02351" mRNA <20035108..>20036751 /locus_tag="BRARA_H02351" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02351" CDS 20035108..20036751 /locus_tag="BRARA_H02351" /codon_start=1 /product="hypothetical protein" /protein_id="RID51704.1" /db_xref="Phytozome:Brara.H02351" /translation="MAAQAKTFYALLLASLQLLITTHVSLAAGGKWTLLLSNVGISAM HMQLLRNDRVVMFDRTNFGPSNISLPNGNCRNNPQDAVSKIDCTAHSIEYDVASNTVR PLTVQSNTWCSSGSVRPDGVLVQTGGDRDGELKARIFSPCNNNRCDWVEINNAVAKRR WYSSNHILPDGKQIVIGGQGQFNYEFYPKTTSPNVIALPFLAETNDRGQENNLYPYVF LNTDGNLFIFANNRAILLDYVKNTVVKTYPAIPGGDPRSYPSTGSAVLLPLKNLEAVK IDAEVLVCGGAPKGSYILAFRRNTFVKALDTCARIKINDENPQWTVEKMPRARVMGDM TLLPNGDVLIVNGGASGSAAWELGREPVFVPDLYHPENPVNSRFESLNPSTIPRMYHS TAILLRDGRVLVGGSNPHGFYNFTGVLFPTELSLEAFSPVYLEPEFAKLRPKIVSPKS QTTITYRKTMKLKFKVVGEVKGPVKVTMVFPSFTTHSFSMNQRLLVLDNVKFKRSKST NYEVQVRTPRSAFIAPPGYYMMFVVNDNIPSEGIWVRLR" gene 20042635..20045891 /locus_tag="BRARA_H02352" /db_xref="Phytozome:Brara.H02352" mRNA join(20042635..20042889,20043228..20043731, 20043828..20044007,20044092..20044151,20044227..20045595, 20045692..20045891) /locus_tag="BRARA_H02352" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02352" CDS join(20042797..20042889,20043228..20043731, 20043828..20044007,20044092..20044151,20044227..20045595, 20045692..20045711) /locus_tag="BRARA_H02352" /codon_start=1 /product="hypothetical protein" /protein_id="RID51705.1" /db_xref="Phytozome:Brara.H02352" /translation="MGRSPASSCLRIIACSGGDDAASEPTANALESKSFGDKRGWSFR KKSGKQRGLMITSVAVSETSPASRSRETLESALLLKSPSPDNNNIVDENQTFSVVDDE KKKKSQLPVVAYVAEPVDVNKATEVAVLVESKGTETEEDGLIGTELQSKLDGADADDA TVIEKDTTPQVEQDDVIIIRKEVDDSVVIIIQAAIRGFSARRELLRRKKVVKLQAAVR GHLVRNQAMGSLRCVQAIVKMQTMVRARHSAKDGSRVSAISDKAETNAATQKLLENKF AKHLMESTPKTKPISIKCDPTKPSSAWSWLERWMSVSKPEKTPKPDLATEETNNVKIS SQVDLVSSDSTLETEAETGYSSKVVAHRVELSETEKTSQCDSPEASAEVDPDLMIQSH PPAAKDTEAEYVDEEPKHSLKRKASNPSFAAAKSKFEELTSSSAGSKKAMTLSSKDCV LGEEGKTGIDSSEAKKDQTLEEVAPAENNGSECGTELSVTSSLDSLDKKSDFEGAESK AQAKLLENGTPKADQAELIEIDVKSKTPLATVEDSKDEVEVLVTQHESVITTPGSKKR RAEDESGPQANSLPEEAVTPMTITESQATPASQASSSVNARKEKSGKSGGSSQKRKVS KKIASSPKQETDTTTEQEGKEQRSGRRNSFGYDQEARESSSGGKNSIPRFMQPTQSAK AKVQEHNSPRSSPDVQEREVSVKKRHSLPVVANGKQVSPRIQRSASQAQPGTKDRKWQ R" gene 20046807..20049896 /locus_tag="BRARA_H02353" /db_xref="Phytozome:Brara.H02353" mRNA join(20046807..20047162,20048049..20048234, 20048561..20048685,20048777..20049896) /locus_tag="BRARA_H02353" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02353" CDS join(20047103..20047162,20048049..20048234, 20048561..20048685,20048777..20049629) /locus_tag="BRARA_H02353" /codon_start=1 /product="hypothetical protein" /protein_id="RID51706.1" /db_xref="Phytozome:Brara.H02353" /translation="MRALHKSKRVSWPPDFKLCQVRLFISEDSPSQVGSESQDHLQAK SHPSEDNLPPGFGGPLSANDSQIKLSDIPVIKWKCSIRILLDEEWRVVAGDESKEVET QNQRELRVLEAFYPGASAIPPNPSVPADVDNSEYDDQQTVVIPILPVEDDDVIDSASD LPPQSGVDVGTEPSRTDENTSVSSTLPAASEIMAALTAISNNKELGSGMIDQDLLMKI LSNPKLVENLVANNGGAGSVSSNASSPYLSEANGVVTTTPASSNGQYYPQPTVTHTPS MTYPPPASSDHPNYGAPPARDTSYYKSLIQQHGGERQEAPPPVQQHLGYRYNPQPGGG PNPEMVNSNNNNNQRPRDSKPKIMKPCMYFNSSRGCRNGANCLYQHDAAAYQPRNPNN GNEMSSAKRMRFDRD" gene complement(20049935..20054034) /locus_tag="BRARA_H02354" /db_xref="Phytozome:Brara.H02354" mRNA complement(join(20049935..20050207,20050300..20050490, 20050577..20051577,20051659..20051870,20051957..20052079, 20052153..20052408,20052488..20052572,20052640..20052795, 20052880..20052936,20053013..20053111,20053192..20053307, 20053385..20054034)) /locus_tag="BRARA_H02354" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02354" CDS complement(join(20050090..20050207,20050300..20050490, 20050577..20051577,20051659..20051870,20051957..20052079, 20052153..20052408,20052488..20052572,20052640..20052795, 20052880..20052936,20053013..20053111,20053192..20053307, 20053385..20053511)) /locus_tag="BRARA_H02354" /codon_start=1 /product="hypothetical protein" /protein_id="RID51707.1" /db_xref="Phytozome:Brara.H02354" /translation="MGSLACGEDKMKTNGLVNGGTTTSTTSQSTLLEEMKLLKDQSGT RKPVINSMLWHACAGPLVCLPQVGSLVYYFSQGHSEQVAVSTRRSATTQVPNYPNLPS QLMCQVHNVTLHADKDSDEIYAQMSLQPVHSERDVLPVPDLGLLRGSKHPSEYFCKTL TASDTSTHGGFSVPRRAAEKLFPPLDYTAQPPTQELVVRDLHENTWTFRHIYRGQPKR HLLTTGWSLFVGSKRLRARDSVLFIRDEKSQLMVGVRRANRQQTALPSSVLSADSMHI GVLAAAAHATANRTPFLIFFNPRACPAEFVIPLPKYRKAICGSQLSVGMRFGMMFETE DSGKRRYMGTIVGISDLDPLRWPGSKWRNLQVEWDEPGCNDKPTRVSPWDIETPESLF IFPSLTSGLKRQLHPSYFAGENDWGNLIKRPLPYATFPNMASEQLMKMMMRPHNNQNA VTSFMPEMQQNVLMGHGGLLGDVKMQQPMVMNQVVQVQPDNNNPSVSNTSGQEQNLSQ SMNAPTITANSSGGVNHGNEELSQKPSALSPLQADPCPEQIYPPQQSDPVNGFSFLET EEMTSQVSSFQSLAGSYKQPLMLSSNESSPIVLPDSTNSFQDMWDNQLNGLKFDQFSP LMQQEDLYGCQNMCMSNSTNSNILDPPPLSNTVLDDFCAIKETEFHSLVGNNNSFAQD VQSQITSASFADSQAFSRQDNSGGTGGTSSSNVDFDDTSLLQQNSKGSWQKLATPRVR TYTKVQKTGSVGRSIDVTSFRDYEELKTAIECMFGLEGLLTRPKTSGWKLVYVDYESD VLLVGDDPWEEFVGCVRCIRILSPTEVQQMSEEGMKLLNSACINDLKT" gene 20068481..20069534 /locus_tag="BRARA_H02355" /db_xref="Phytozome:Brara.H02355" mRNA 20068481..20069534 /locus_tag="BRARA_H02355" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02355" CDS 20068618..20069058 /locus_tag="BRARA_H02355" /codon_start=1 /product="hypothetical protein" /protein_id="RID51708.1" /db_xref="Phytozome:Brara.H02355" /translation="MAEGVGKCSKIRHIVKLRQMLRRWRNKARLSSVSRSVPSDVPSG HVAVCVGSGCRRFVVRASYLNHPILSNLLVQAEEEYGFVNQGPLVIPCEESVFEEAIR FISRSNRCTCPEDLQKCHGGVTSKLDLLIESRPLLHGVVDKAVW" gene <20073096..>20074249 /locus_tag="BRARA_H02356" /db_xref="Phytozome:Brara.H02356" mRNA join(<20073096..20073123,20074044..>20074249) /locus_tag="BRARA_H02356" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02356" CDS join(20073096..20073123,20074044..20074249) /locus_tag="BRARA_H02356" /codon_start=1 /product="hypothetical protein" /protein_id="RID51709.1" /db_xref="Phytozome:Brara.H02356" /translation="MYQHCGSATVLLRYAFQIALHSLWRERNERRHGSVPVPVAFLVR FIYRQVKNYCLSISAMGSQKHGALFQRWFASQA" gene 20085542..20088884 /locus_tag="BRARA_H02357" /db_xref="Phytozome:Brara.H02357" mRNA join(20085542..20085759,20085918..20085992, 20086065..20088289,20088368..20088884) /locus_tag="BRARA_H02357" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02357" CDS join(20085918..20085992,20086065..20088289, 20088368..20088779) /locus_tag="BRARA_H02357" /codon_start=1 /product="hypothetical protein" /protein_id="RID51710.1" /db_xref="Phytozome:Brara.H02357" /translation="MDRKSWPWKKKSSSEKPAPVTDQDQENGKKASYIQISFDQYSHL NGLKDDVHKYEAQVLNLQDHIKELDSKLSTANADITSKEALVKQHSKVAEEAVSGWEK AEAEASALKTHLETVTLAKLTVEDRAAHLDGALKECMKQVRSLKEENEQKLHDVIVTN TNQMDKIRDEFESKIREFEQELLRSGAENDALSRSLQERSNMVIRIKEEKSQAEAEIE HLKSNIESCEREINTLKYETHVITKELEIRNEEKNMSMRSAEVANKQHLEGVKKIAKL EAECQRLRTLVRKKLPGPGALAQMKMEVESLGRGDYGDHHRQRRSPVRPSSPLMSPMS QVSDFSLHKENDLLTERLLAMEEETKMLKEALAKRNSELQVSRNLCARTANKLQTLEA HRMSNPPSMASMSEDGNEDARSVAGSLMSDLSQTNKTKSANQLELMDDFLEMEKLACL PSTDSDAEIPPLKKRISTLLQSLPKDAAFEKILEEVQCAIEDAGGPNVKEIAMSSETT EETVTQELAHALSQIYHFVSYLAKEATPCQDTFSQKVQELSVTLDRVLSKEKTLVDFL FDLSRVLVEANELKINVVGFNASEVEIHSPDCIDKVALPENKALKDSSGEHYQCGCSQ SSDSEIPDDCIGYEHKLSAVACTFTSEEFEGLKLEKEKAETNLASCEADLEATKSKLQ ETERLLAGVKSDLESARMSNGMAETQLKCMVESYRSLETRSAELEVELTSLKDKIGNL EDELHEEKENHREALTKCQELEELLQRNNQTCPVTEADPKSNQDNNELAAAAEKLQEC QETILLLGKQLKSMCPQTEQFPSSPSQEHTLNSEEENDYAVTSTNPQDKTSSPPPYKE TPSMTTMRSPVGSKHKHTNSNSSSSSSGLTPEKHSKGFSRFFSSKAK" gene complement(20091249..20092998) /locus_tag="BRARA_H02358" /db_xref="Phytozome:Brara.H02358" mRNA complement(join(20091249..20092295,20092374..20092505, 20092819..20092998)) /locus_tag="BRARA_H02358" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02358" CDS complement(20091326..20091931) /locus_tag="BRARA_H02358" /codon_start=1 /product="hypothetical protein" /protein_id="RID51711.1" /db_xref="Phytozome:Brara.H02358" /translation="MAFTIQFVREFTRLGLNRSIGGVLALAFSRELSPVITSIVVAGR MGSAFAAELGTMQVSEQTDTLRVLGADPIDYLITPRVIASCLALPFQTLMCFTVGMAS SALLSDAVYGISINIIMDSAHRALRPWDIVSAMIKSQVFGAIISVISCSWGVTTKGGA KGVGESTTSAVVMSLVGIFIADFVLSSFFFQGAGDSLKNCV" gene complement(20093203..20094695) /locus_tag="BRARA_H02359" /db_xref="Phytozome:Brara.H02359" mRNA complement(join(20093203..20094444,20094529..20094695)) /locus_tag="BRARA_H02359" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02359" CDS complement(join(20093318..20094444,20094529..20094568)) /locus_tag="BRARA_H02359" /codon_start=1 /product="hypothetical protein" /protein_id="RID51712.1" /db_xref="Phytozome:Brara.H02359" /translation="MAQNQPIFQTKPQEHFVQIPINIERDSTTLINQTGNSNRKPNHW PTILLSTILVIVGQSVAKLLENFYYDQINRSEYDESRQNDGVWTQALLQTVAFPLLLL PFLILTAKNRRDQHPSDHFHYKSLTVIYICIGIVMTVQARLSAMGKLEIPFGVFTLIY TTQLFFTPVFARLVNKIKFNRWVVISLALAIATGALTLSSAFAGEPDEAEENYARGAW AALFAGVCFSLLLCNIQNVFDNYIFKRTESRRPSFAPVFEVIIFSSLAATVISVAGLL IAGEQDDLKREMNEFSKGKGAYVMAMVGQAVSWQVYWVGIVGLVFSVSSVLSNVISVV TWPIVSVLVVIFFNFMDDEFDVFKGVALITAVLSAAAYFFRLHKENRDSDVIAN" gene 20099039..20101756 /locus_tag="BRARA_H02360" /db_xref="Phytozome:Brara.H02360" mRNA join(20099039..20099109,20099205..20099408, 20099475..20099915,20099997..20100230,20100359..20100691, 20100819..20101040,20101212..20101756) /locus_tag="BRARA_H02360" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02360" CDS join(20099107..20099109,20099205..20099408, 20099475..20099915,20099997..20100230,20100359..20100691, 20100819..20101040,20101212..20101508) /locus_tag="BRARA_H02360" /codon_start=1 /product="hypothetical protein" /protein_id="RID51713.1" /db_xref="Phytozome:Brara.H02360" /translation="MNVQGKPASVGPWGGQSGHAWDDGSFTTVRQIVIAHGYSIDSIQ IEYDKNGTSVWSEKRGGKGGIKFDKVKLDYPHEYLTSVKGTYSAFDVWGNLCVRSLTF ESNRKLYGPFGVESGTYFTLPKSDSMIIGFYGKAGWYLDAIGAYLKPIPKETNPTSKM VLHSPQNVPHGVKKLEHSGNIHGSVGQKFDMVGLKQKDSNLSSHEGLVDAEITKHKLV TDTEKLQPKAGGGVKIHGPWGGIGGIMFDDGIYTGVRQINLSRSVGIVWMKVCYDFKG QAVWGSKHGGRGGFKHDKIVFDYPSEVLTHITGTYGPLVYMGPNVIKSLTFHTNKGKH GPCGEEQGPSFTHKIDEGKVVGFHGREGIFLDSIGVHVMPCKISPFKPSPHNATVPHN NTGVGVVNGHGEKFERGVVKEPTPNGFGPWGGNGGKPWDDGVFSGIKQIFVTRANDAI SSLQVEYDKNGQSVWSVEHGGHSGVATHRIILEYPNETLTCISGYYGPLNNSDKSNVV KSLSFYTSRGKYGPYGEETGTFFTSSKTEGKVLGLHGRSSSYLDAVGVHMQQRLDNKT QFNRTSCFKRY" gene 20103004..20105820 /locus_tag="BRARA_H02361" /db_xref="Phytozome:Brara.H02361" mRNA join(20103004..20103281,20103833..20104565, 20104637..20105028,20105103..20105163,20105243..20105820) /locus_tag="BRARA_H02361" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02361" CDS join(20103909..20104565,20104637..20105028, 20105103..20105163,20105243..20105614) /locus_tag="BRARA_H02361" /codon_start=1 /product="hypothetical protein" /protein_id="RID51714.1" /db_xref="Phytozome:Brara.H02361" /translation="MAVYYPSNVNCYQQEPIYLNHHHHQQQQQPSSTSSSAAAASFVG GEENVRNEMVFIPPTGLQNLNGDVPVSSSELTFRDGQGLSLSLLGTQISLPSFHYHHQ YPSISVKETPPFSKEMLLLGQSDPSSGYAGVYNSYNMSSVLRSRYLKPAQGLLDEVVS VEKEINQMRKKKKGEDFNNSAKETEGGGGIGGEISIELSTIERQELRSKKDKLLTMVD EVDKRYNQYYHQMEALASSFEVVAGFGSAKPYTSVALNRISCHFRALRDAIKEQIKMI REKLGEKGGELSLDEQQGGERIPRLRYLDQRLRQQRALHQQLGMVRPCWRPQRGLPES SVSALRAWLFEHFLHPYPKESEKMMLAKQTGLSKNQVANWFINARVRLWKPMIEEMYK EEFGDDASELLTSKSPNSINQEDSSSQQENTTNVAFSSEPKPDCTQANEDDPQLHQMN RSADYDSLVNYHGFGVDDYRYIDGNNQQESRFSNGHHLHDFVV" gene 20114144..20116834 /locus_tag="BRARA_H02362" /db_xref="Phytozome:Brara.H02362" mRNA join(20114144..20114252,20114409..20114843, 20115453..20115743,20116286..20116834) /locus_tag="BRARA_H02362" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02362" CDS join(20114178..20114252,20114409..20114843, 20115453..20115743,20116286..20116663) /locus_tag="BRARA_H02362" /codon_start=1 /product="hypothetical protein" /protein_id="RID51715.1" /db_xref="Phytozome:Brara.H02362" /translation="MEVMRILHMNKGNGETSYAKNSIVQSNIISLGRRVMDEALKKLM IRNSEILSFGIADLGCSSGPNSLLSISNIVETIQNLCPDLDRPVPELSLSLNDLPSND FNYIFASLPELYDRLKKRDNNYESLGFEHGSGGPCFVSAVPGSFYGRLFPRRSLHFVH SSSSLHWLSQVPCGEVNKKDGVVITADLDNRGKIYLSKTSPKSAHKAYALQFQTDFSV FLRSRSEELVPGGRMVLSFLGRSSPDPTTEESCYQWELLAQALMSLAKEGIIEEENID AFNAPYYAASPEELKMAIEKEGSFSIDRLEISPVDWEGGSISDDSYDIVRFKPEALAS GRRVAKTIRAVVEPMLEPTFGQKVMDELFERYAKLVGEYVYVSSPRYAIVIVSLLRMG " gene 20117939..20121138 /locus_tag="BRARA_H02363" /db_xref="Phytozome:Brara.H02363" mRNA join(20117939..20118255,20118338..20118659, 20119253..20119402,20119510..20119714,20119826..20119905, 20120065..20120151,20120231..20120309,20120433..20120539, 20120648..20120766,20120899..20121138) /locus_tag="BRARA_H02363" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02363" CDS join(20118032..20118255,20118338..20118659, 20119253..20119402,20119510..20119714,20119826..20119905, 20120065..20120151,20120231..20120309,20120433..20120539, 20120648..20120766,20120899..20120995) /locus_tag="BRARA_H02363" /codon_start=1 /product="hypothetical protein" /protein_id="RID51716.1" /db_xref="Phytozome:Brara.H02363" /translation="MVHLSLCPLVVLLLCLVILALSKIFKTVIQDQKKSTADIPPGSH GFPVVGETLQFMLSVNSGKGFYEFVRSRRIRYGSCFRTSLFGETHVFLSTTESARAVL NNESGMFTKRYIKSIAALVGDRSLLCASQHHHKILRSRFINLFSKKSTALMVRHFDEL VVDALSGWEHRGTVVLLTDLLQITFKAMCKMLISLENEEEMGSLQRDVGFVCEAMLAF PLNLPWTRFHKGIMARGRVMEVLEKIIRERRNETNSHNNNYREDFLQQLLAVDSDGSA SSSDHSTKLTDAEIKDNILTMIIAGQDTTASALTWMVKYLGENQKVLNILIEEQTQLA KQASHKPFLELDDLTEMTYASKMVKESLRMASVVPWFPRLVLQDCEMEGYKINKGWNI NIDARSIHLDPNVYSEPHKFNPSRFDEEAKANSFLAFGMGGRTCLGLNMAKAMMVVFL HRFITTYRWEVVDGDPSIEKWTLFARLKSGYPIRVSRRL" gene complement(<20126439..>20127305) /locus_tag="BRARA_H02364" /db_xref="Phytozome:Brara.H02364" mRNA complement(<20126439..>20127305) /locus_tag="BRARA_H02364" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02364" CDS complement(20126439..20127305) /locus_tag="BRARA_H02364" /codon_start=1 /product="hypothetical protein" /protein_id="RID51717.1" /db_xref="Phytozome:Brara.H02364" /translation="MEKENDEPTKGHDNTFSLQNVLFIVKVSAVLAFTGYCGYPTIIN VLDGVDLWFGWFRLVLRNVFFIFIILNALIGAIYFSFLKTTEKKKQDLYDEYIAAVPT VVRSSPETSSALMEVGDYGGEYYNKSSYYKTVPPCQAVNTVEESKCYERVVVETSSKS YRKTTSLEKKKKRSTVEYRRTESERVLMKTASWRSHAMDELSSEEFRMKVETFITGYK KKMNCQNDVADHWRNGVTRFQNGGVDQWQNCVPQLQDQPYYDGTDRRRHRRLVGGSGS TGSGGPYLAISN" gene 20133197..20133758 /locus_tag="BRARA_H02365" /db_xref="Phytozome:Brara.H02365" mRNA join(20133197..20133266,20133351..20133758) /locus_tag="BRARA_H02365" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02365" CDS join(20133206..20133266,20133351..20133523) /locus_tag="BRARA_H02365" /codon_start=1 /product="hypothetical protein" /protein_id="RID51718.1" /db_xref="Phytozome:Brara.H02365" /translation="MASYTRLLLLCLSIFLIASTEVMMVEGRVCQRRSKTWTGFCGNT RGCDSQCKRWERASHGACHAQFPGFACFCYFNC" gene complement(20134304..20136609) /locus_tag="BRARA_H02366" /db_xref="Phytozome:Brara.H02366" mRNA complement(join(20134304..20134827,20135235..20135386, 20135485..20135610,20136098..20136241,20136441..20136609)) /locus_tag="BRARA_H02366" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02366" CDS complement(join(20134555..20134827,20135235..20135386, 20135485..20135610,20136098..20136241,20136441..20136555)) /locus_tag="BRARA_H02366" /codon_start=1 /product="hypothetical protein" /protein_id="RID51719.1" /db_xref="Phytozome:Brara.H02366" /translation="MGTLGRAFYSVGFWIRETGQALDRLGCRLQGKNCFREQLSRHRT LMNVFDKAPIVDKEAFVAPSASVIGNVQIGRGSSIWYGCVLRGDVNTVSVGSGTNIQD NSLVHVAKSNLSGKVPPTIIGDNVTIGHSAVLHGCTVEDEAFIGMGATLLDGVVVEKH ALVAAGALVRQNTRIPSGEVWGGNPAKFLRKLKSKEIDFIPVSAENYSNLAKAHAAEN AKPLNAIEFEKVLRKRYAAKDEEYDSMLGIVRETPPELKLPNNNESKPNVL" gene 20137268..20138475 /locus_tag="BRARA_H02367" /db_xref="Phytozome:Brara.H02367" mRNA join(20137268..20137415,20137495..20137585, 20137807..20138475) /locus_tag="BRARA_H02367" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02367" CDS join(20137358..20137415,20137495..20137585, 20137807..20138290) /locus_tag="BRARA_H02367" /codon_start=1 /product="hypothetical protein" /protein_id="RID51720.1" /db_xref="Phytozome:Brara.H02367" /translation="MALEVCVKAAVGAPDVLGDCPFSQRVLLTLEEKSLPYKMHLINL SDKPKWFLDINPGGKVPVLKIDGKWVPDSDVIVSLLEKKYPEPSLKTPPKFASVGSKI MSTFVAFLTTKDSSDGPLLHELEALENHLKSHDGPFIAGEKVSAVDLSLAPKLYHLEV ALGHFKSWSVPGSLTHVHNYMHAVFSLHSFEKTKAEEKYVIAGWAPKVHY" gene 20139040..20139804 /locus_tag="BRARA_H02368" /db_xref="Phytozome:Brara.H02368" mRNA join(20139040..20139304,20139534..20139804) /locus_tag="BRARA_H02368" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02368" CDS join(20139081..20139304,20139534..20139555) /locus_tag="BRARA_H02368" /codon_start=1 /product="hypothetical protein" /protein_id="RID51721.1" /db_xref="Phytozome:Brara.H02368" /translation="MAKTWVAVVLSVMLLVSINSVTILAEEEQPTIGSRIDSAVTGVT NAFNEHGGPDAVETVSSTAKSVYGWFGDKAKEMGIHF" gene complement(20139869..20144693) /locus_tag="BRARA_H02369" /db_xref="Phytozome:Brara.H02369" mRNA complement(join(20139869..20140376,20140447..20140678, 20140756..20140802,20140901..20141073,20141161..20141238, 20141361..20141426,20141558..20141630,20141738..20141777, 20141918..20141990,20142132..20142263,20142408..20143162, 20143252..20143345,20143674..20143717,20143850..20143979, 20144265..20144485,20144625..20144693)) /locus_tag="BRARA_H02369" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02369" mRNA complement(join(20139869..20140376,20140447..20140678, 20140756..20140802,20140901..20141073,20141161..20141238, 20141361..20141426,20141558..20141630,20141738..20141777, 20141918..20141990,20142132..20142263,20142408..20143162, 20143257..20143345,20143674..20143717,20143850..20143979, 20144265..20144619)) /locus_tag="BRARA_H02369" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02369" mRNA complement(join(20139869..20140376,20140447..20140678, 20140756..20140802,20140901..20141073,20141161..20141238, 20141361..20141426,20141558..20141630,20141738..20141777, 20141918..20141990,20142132..20142263,20142408..20143162, 20143252..20143345,20143674..20143717,20143850..20143979, 20144265..20144619)) /locus_tag="BRARA_H02369" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02369" CDS complement(join(20140000..20140376,20140447..20140678, 20140756..20140802,20140901..20141073,20141161..20141238, 20141361..20141426,20141558..20141630,20141738..20141777, 20141918..20141990,20142132..20142263,20142408..20143162, 20143252..20143345,20143674..20143717,20143850..20143979, 20144265..20144443)) /locus_tag="BRARA_H02369" /codon_start=1 /product="hypothetical protein" /protein_id="RID51723.1" /db_xref="Phytozome:Brara.H02369" /translation="MNEEEPSGSGEEGCSISTFDYSVENHLKAVDSISYLCGEAGTVI DKADINRLSSSVTFLREWRHLSYEPKRFGFCDDEAGKSCEPKDVKSQTLPQFSSARAP KVKIDDNESSSLGEPSKDFVMHVGGSVWALEWCPRVHGNPDARAKCEFLAVATHPPDS YSHKIGVRLSGRGIIQIWCIINATCENDSTHISGKKQKLSGKYQKKPSDESNRNTEPK KPRGRPRKHPIETATEPKKPKGRPRKKTTAELPIELDGDVLYVEALSVRYPEEAVVPA TPLRVLRKPSVTKAKTNNESSGQVLSSENANIKLPVRRKRQKHQPTEETCEPVVSEDS EAVGNVPGEQSSDISEDIALPRVVLCLAHNGKVAWDMKWRPPSADDSLNKHRMGYLAV LLGNGSLEVWDVPMPQAVSAVYLSSKKDATDPRFVKLAPVFKCSNLKCGYTQSIPLTV EWSTLGNPDFLLAGCHDGTVALWKFSTTKSSQDTRPLLFFSADTAPIRAVAWAPSESD QESKNVVATAGHGGLKFWDLRDPFRPLWDLHPVPRFIYSIDWLQDPKCVLLSFDDGTM RILSLVKIAYDVPSTGRPYPNTKQQGLSVYNCSSFPIWSIQVSRLTGMAAYCTADGSV FHFQLTTKAVEKDSRNRTPHFLCGRLTMNDSTFTVHSPVPNVPIFLKKPVSETGEKQR CLRSLLNESPNRHAPPVSDAQPLAFAHDEDPGLESETEGTSNKGSKSKAKKGKNNTIE EEEEDNRGALVCVKEDGDAEEGRRKEASTSSSSVKAERFPPKMVAMHRVRWNMNKGSE RWLCYGGAAGIVRCQEIASSGLVGKQNWRHQR" CDS complement(join(20140000..20140376,20140447..20140678, 20140756..20140802,20140901..20141073,20141161..20141238, 20141361..20141426,20141558..20141630,20141738..20141777, 20141918..20141990,20142132..20142263,20142408..20143162, 20143252..20143345,20143674..20143717,20143850..20143979, 20144265..20144443)) /locus_tag="BRARA_H02369" /codon_start=1 /product="hypothetical protein" /protein_id="RID51724.1" /db_xref="Phytozome:Brara.H02369" /translation="MNEEEPSGSGEEGCSISTFDYSVENHLKAVDSISYLCGEAGTVI DKADINRLSSSVTFLREWRHLSYEPKRFGFCDDEAGKSCEPKDVKSQTLPQFSSARAP KVKIDDNESSSLGEPSKDFVMHVGGSVWALEWCPRVHGNPDARAKCEFLAVATHPPDS YSHKIGVRLSGRGIIQIWCIINATCENDSTHISGKKQKLSGKYQKKPSDESNRNTEPK KPRGRPRKHPIETATEPKKPKGRPRKKTTAELPIELDGDVLYVEALSVRYPEEAVVPA TPLRVLRKPSVTKAKTNNESSGQVLSSENANIKLPVRRKRQKHQPTEETCEPVVSEDS EAVGNVPGEQSSDISEDIALPRVVLCLAHNGKVAWDMKWRPPSADDSLNKHRMGYLAV LLGNGSLEVWDVPMPQAVSAVYLSSKKDATDPRFVKLAPVFKCSNLKCGYTQSIPLTV EWSTLGNPDFLLAGCHDGTVALWKFSTTKSSQDTRPLLFFSADTAPIRAVAWAPSESD QESKNVVATAGHGGLKFWDLRDPFRPLWDLHPVPRFIYSIDWLQDPKCVLLSFDDGTM RILSLVKIAYDVPSTGRPYPNTKQQGLSVYNCSSFPIWSIQVSRLTGMAAYCTADGSV FHFQLTTKAVEKDSRNRTPHFLCGRLTMNDSTFTVHSPVPNVPIFLKKPVSETGEKQR CLRSLLNESPNRHAPPVSDAQPLAFAHDEDPGLESETEGTSNKGSKSKAKKGKNNTIE EEEEDNRGALVCVKEDGDAEEGRRKEASTSSSSVKAERFPPKMVAMHRVRWNMNKGSE RWLCYGGAAGIVRCQEIASSGLVGKQNWRHQR" CDS complement(join(20140000..20140376,20140447..20140678, 20140756..20140802,20140901..20141073,20141161..20141238, 20141361..20141426,20141558..20141630,20141738..20141777, 20141918..20141990,20142132..20142263,20142408..20143162, 20143257..20143345,20143674..20143707)) /locus_tag="BRARA_H02369" /codon_start=1 /product="hypothetical protein" /protein_id="RID51722.1" /db_xref="Phytozome:Brara.H02369" /translation="MTMNHHHLANLARILLCMLEVLFGHWSGVPEFMETQMLGQNFLA VATHPPDSYSHKIGVRLSGRGIIQIWCIINATCENDSTHISGKKQKLSGKYQKKPSDE SNRNTEPKKPRGRPRKHPIETATEPKKPKGRPRKKTTAELPIELDGDVLYVEALSVRY PEEAVVPATPLRVLRKPSVTKAKTNNESSGQVLSSENANIKLPVRRKRQKHQPTEETC EPVVSEDSEAVGNVPGEQSSDISEDIALPRVVLCLAHNGKVAWDMKWRPPSADDSLNK HRMGYLAVLLGNGSLEVWDVPMPQAVSAVYLSSKKDATDPRFVKLAPVFKCSNLKCGY TQSIPLTVEWSTLGNPDFLLAGCHDGTVALWKFSTTKSSQDTRPLLFFSADTAPIRAV AWAPSESDQESKNVVATAGHGGLKFWDLRDPFRPLWDLHPVPRFIYSIDWLQDPKCVL LSFDDGTMRILSLVKIAYDVPSTGRPYPNTKQQGLSVYNCSSFPIWSIQVSRLTGMAA YCTADGSVFHFQLTTKAVEKDSRNRTPHFLCGRLTMNDSTFTVHSPVPNVPIFLKKPV SETGEKQRCLRSLLNESPNRHAPPVSDAQPLAFAHDEDPGLESETEGTSNKGSKSKAK KGKNNTIEEEEEDNRGALVCVKEDGDAEEGRRKEASTSSSSVKAERFPPKMVAMHRVR WNMNKGSERWLCYGGAAGIVRCQEIASSGLVGKQNWRHQR" gene complement(20150660..20151499) /locus_tag="BRARA_H02370" /db_xref="Phytozome:Brara.H02370" mRNA complement(join(20150660..20151090,20151181..20151499)) /locus_tag="BRARA_H02370" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02370" CDS complement(join(20150863..20151090,20151181..20151402)) /locus_tag="BRARA_H02370" /codon_start=1 /product="hypothetical protein" /protein_id="RID51725.1" /db_xref="Phytozome:Brara.H02370" /translation="MKFEGSQTLLPVRKPANGGSDRKRAGYKLWVLVAVLLLALGSML TGSVSLKGLGLFHSVDGKFGFHVSDDLDVLEIEEREKVVRHMWDVYGRSGGVRVPQFW REAFEAAYEFLVSDSAAVRSGAISDIAKLSLVRSLKPDSSLAQPNRR" gene 20153023..20155099 /locus_tag="BRARA_H02371" /db_xref="Phytozome:Brara.H02371" mRNA join(20153023..20153750,20153816..20155099) /locus_tag="BRARA_H02371" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02371" CDS join(20153595..20153750,20153816..20154922) /locus_tag="BRARA_H02371" /codon_start=1 /product="hypothetical protein" /protein_id="RID51726.1" /db_xref="Phytozome:Brara.H02371" /translation="MAGRRDRTQQLRGSRIAIAILIGIIIGCVCALIFPNGFFNSNSS LTVNERVQVGSSSCESSKTLKSDFASLSEKNNELKKQVRELTEKLRLAEQGSDNARKQ VLSLGPQIKAGPFGTVKSLRTNPTILSDESVNPRLAKILKSIAVDKEVIVALANANVK AMLEVQIASVKRLAIKNYLVVALDDYIESFCKQNDVAYYKRDPDKELDAVGKTGGNHA VSGLKFRVLREFLQIGYGVLLSDVDIVFLKNPFSHLYRDSDVESMSDGHDNMTAYGFN DVFDEPTMGWARYAHTMRIWVFNSGFFYLRPTVASIELLDRVAERLSKAKLWDQAVFN EELFYPSRPEYVGLHASKRVMDMYEFMNSKVLFKTVRKNEEMKKKVRPVIVHVNYHPD KLNRMRAVVEFYVNGKQDALDSFPDGSE" gene complement(20155171..20156847) /locus_tag="BRARA_H02372" /db_xref="Phytozome:Brara.H02372" mRNA complement(join(20155171..20156135,20156269..20156573, 20156654..20156847)) /locus_tag="BRARA_H02372" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02372" CDS complement(join(20155392..20156135,20156269..20156526)) /locus_tag="BRARA_H02372" /codon_start=1 /product="hypothetical protein" /protein_id="RID51727.1" /db_xref="Phytozome:Brara.H02372" /translation="MTSDGATSTSAAAAMAATRRKPSWRERENNRRRERRRRAVAAKI YTGLRAQGNYNLPKHCDNNEVLKALCSEAGWVVEEDGTTYRKGHKPPSLPGDVAGSSS RATPYSSYNQSPFESPILSYQVSPSSSSFPSPSRGGGDTHNNISTIFPFLRNGGIPSS LPPLRISNSAPVTPPVSSPSSKHPKALSTWGECFTNQSAKQSMSSFNYPFYAVSAPAS PTHHRQFNAPPATIPECDESDASTVDSGHWISFQKFSQQQPFLGVSAVPASPTFNLVR PPVPKQLSPNTGATQEIGQSSEFKFENSQVKPWEGERIHDVAMEDLELTLGNAKGRM" gene 20165324..20168122 /locus_tag="BRARA_H02373" /db_xref="Phytozome:Brara.H02373" mRNA join(20165324..20165702,20166948..20167074, 20167243..20167397,20167496..20167558,20167635..20167703, 20167776..20168122) /locus_tag="BRARA_H02373" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02373" CDS join(20165490..20165702,20166948..20167074, 20167243..20167397,20167496..20167558,20167635..20167703, 20167776..20167892) /locus_tag="BRARA_H02373" /codon_start=1 /product="hypothetical protein" /protein_id="RID51728.1" /db_xref="Phytozome:Brara.H02373" /translation="MLETVDSSGVINGGFRQVQSFCGVSSSEEELSVLPRHTKVVVTG NNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVLEAPTGNEDDDDLD FDHTHMNSSDMIFSFPASEDTLKPHKVKQRGQRTSRSSHKSLSRCLSSDSQSKNSCFT PPENMKVDLSKLEMPALLKYWRHFNLVDAIPNPSKEQLVDIVRRHFISQQMDELQVIV GFVQAAKRMKKACKFQSEEARNTDLNCIS" gene complement(20173287..20174425) /locus_tag="BRARA_H02374" /db_xref="Phytozome:Brara.H02374" mRNA complement(join(20173287..20174061,20174235..20174425)) /locus_tag="BRARA_H02374" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02374" CDS complement(join(20173373..20174061,20174235..20174289)) /locus_tag="BRARA_H02374" /codon_start=1 /product="hypothetical protein" /protein_id="RID51729.1" /db_xref="Phytozome:Brara.H02374" /translation="MASFSGLHILFFSFIIATGAISVVSGTVFTIVNRCNFPVWPGIL TGDNGVQLNGGGFALKTGASVDVAAPAGWSGRIWGRTGCNFDAFGTGSCLTGNCGNKV QCSGAGGAPPATLAEFTIGHGGAMDFYDVSLVDGYNVQMEIKTQGGSGDCQNVGCVSD LNKICPTELSVLSGGSVVACKSACEAFGSPQYCCTGAFNKPETCPPTDYSRIFKAACP KAYSYAYDDATSTFTCANANYSIIFCPTI" gene <20177716..>20180491 /locus_tag="BRARA_H02375" /db_xref="Phytozome:Brara.H02375" mRNA join(<20177716..20177722,20179950..>20180491) /locus_tag="BRARA_H02375" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02375" CDS join(20177716..20177722,20179950..20180491) /locus_tag="BRARA_H02375" /codon_start=1 /product="hypothetical protein" /protein_id="RID51730.1" /db_xref="Phytozome:Brara.H02375" /translation="MGNSDLILVDDIAKLAATDLCRDSVLAAPEYCNANFTSYFTSAF WSNPTLSLTFADRKACYFNTGVMVIDLSRWREGAYTARIEEWMAMQKRIRIYQLGSLP PFLLVFAGLIKPVNHRWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWARLDAGR PCPLDALWSPYDLLQTPFALDS" gene complement(<20182882..>20185539) /locus_tag="BRARA_H02376" /db_xref="Phytozome:Brara.H02376" mRNA complement(<20182882..>20185539) /locus_tag="BRARA_H02376" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02376" CDS complement(20182882..20185539) /locus_tag="BRARA_H02376" /codon_start=1 /product="hypothetical protein" /protein_id="RID51731.1" /db_xref="Phytozome:Brara.H02376" /translation="MLLRQSPARLAAFLPLLLNPRRFSDASRALRRELRAGHGPIKPE LIERVSRLLVLGRYDALHDLSLDFSDQLLNSLLRTSRLHPQACLEVFNLASKQQTFRP DYKSYCKMVHILAKARMYDQTRAYLSELVGLNHSCFVVWDELVRVYKSFHFSPTVFDM VLRVYAEKGLVKEALHVFDNIGSVGRVPSLLSCNSLLSSLVKKGEYFVALLVYDQMVS LGVSPDVFTCGIVVNAYCRNGEVEKGVEFAKEVESEFGLEMNVVVYNSLVNGYAMIGD VEGVRRVLGLMSERGVIKNVVTYTSVIKCYCKKGLMEEAEEVFESVEEADQRVYGVLI DGYCRSGRVSDAVRVHDDMLRKGVRTNATICNSLINGYCKSGELVEAERMFMRMKDPD HYTYNTLVDGYCRAGRVNEALNLCDRMCEKDVVPTVMTYNILLKGFTRVGAYHDVVSL WKTMVKRGVSGNEISCSTLLEALFKLGDFDEAMKLWENVLARGLLTDTVTLNVMISGL CKMEKVNKAKEILDSVKTFRCKPDVQTYQALSHGYYKVGSFKEAFGVKDSMERKGMFP TIEMYNTLISAAFKCRHLIKVSDLVTELRARGLTPTVATYGALITGWCNIGAMDKAYA TCFEMIEKGIDVNVNICSKIATSLFRLDKIDEACLLLHKLVDFDLLLPGYQSFKEFLE PGATTCVKTQRIADSLTKKLLVPNNIVYNVALAGLCKAGKVKEARKLFSELILREGFT PDEYTYTILIHGCAVDGEINEAFKLRDEMSVKGITPNVVTYNALIKGLCKSGNLDRAQ RLLRKLPQKGITPNAITYNTLVDGLMKSGRLDEAMRLKDKMIEKGLVRGGSCNKLNEV LDPVSGSRFTGEMEMDSDELYDVRIVSGV" gene complement(20186485..20189501) /locus_tag="BRARA_H02377" /db_xref="Phytozome:Brara.H02377" mRNA complement(join(20186485..20186976,20187066..20187297, 20187384..20187716,20187793..20187876,20187965..20188085, 20188172..20188361,20188474..20188576,20188742..20188959, 20189045..20189501)) /locus_tag="BRARA_H02377" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02377" mRNA complement(join(20186485..20186976,20187066..20187297, 20187384..20187716,20187793..20187876,20187965..20188085, 20188172..20188364,20188474..20188576,20188742..20188959, 20189045..20189501)) /locus_tag="BRARA_H02377" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02377" CDS complement(join(20186660..20186976,20187066..20187297, 20187384..20187716,20187793..20187876,20187965..20188085, 20188172..20188361,20188474..20188576,20188742..20188897)) /locus_tag="BRARA_H02377" /codon_start=1 /product="hypothetical protein" /protein_id="RID51733.1" /db_xref="Phytozome:Brara.H02377" /translation="MGWLNKIFKGSNQRHPMGNEHYHHNGGYYDNYPHEHSEPTDADH TQEPSTSEEETWNGKENEEVDRVIALSILEEENQRPETNTGAWKHAMMDDDEQLARAI QESMIARNGTTYDFGNAYGNGHMHGGGNVYDNGDIYYPRPIAFSMDFRICAGCNMEIG HGRYLNCLNALWHPQCFRCYGCSHPISEYEFSTSGNYPFHKACYRERFHPKCDVCSLF ISTNHAGLIEYRAHPFWVQKYCPSHEHDATPRCCSCERMEPRNTGYFELNDGRKLCLE CLDSSVMDTFQCQPLYLQIQEFYEGLNMTVEQEVPLLLVERQALNEAREGERNGHYHM PETRGLCLSEEQTVRTVRKRSKGNWSGNMITEQFKLTRRCEVTAILILFGLPRLLTGS ILAHEMMHAWMRLKGFRPLSQDVEEGICQVMAHKWLEAELAAGSRNSNAASSSSSSYG GVKKGPRSQYERKLGEFFKHQIEADASPVYGDGFRAGRLAVNKYGLRRTLEHIQMTGR FPV" CDS complement(join(20186660..20186976,20187066..20187297, 20187384..20187716,20187793..20187876,20187965..20188085, 20188172..20188364,20188474..20188576,20188742..20188897)) /locus_tag="BRARA_H02377" /codon_start=1 /product="hypothetical protein" /protein_id="RID51732.1" /db_xref="Phytozome:Brara.H02377" /translation="MGWLNKIFKGSNQRHPMGNEHYHHNGGYYDNYPHEHSEPTDADH TQEPSTSEEETWNGKENEEVDRVIALSILEEENQRPETNTGASGKHAMMDDDEQLARA IQESMIARNGTTYDFGNAYGNGHMHGGGNVYDNGDIYYPRPIAFSMDFRICAGCNMEI GHGRYLNCLNALWHPQCFRCYGCSHPISEYEFSTSGNYPFHKACYRERFHPKCDVCSL FISTNHAGLIEYRAHPFWVQKYCPSHEHDATPRCCSCERMEPRNTGYFELNDGRKLCL ECLDSSVMDTFQCQPLYLQIQEFYEGLNMTVEQEVPLLLVERQALNEAREGERNGHYH MPETRGLCLSEEQTVRTVRKRSKGNWSGNMITEQFKLTRRCEVTAILILFGLPRLLTG SILAHEMMHAWMRLKGFRPLSQDVEEGICQVMAHKWLEAELAAGSRNSNAASSSSSSY GGVKKGPRSQYERKLGEFFKHQIEADASPVYGDGFRAGRLAVNKYGLRRTLEHIQMTG RFPV" gene <20193326..20196174 /locus_tag="BRARA_H02378" /db_xref="Phytozome:Brara.H02378" mRNA join(<20193326..20193775,20194255..20194495, 20194818..20194996,20195089..20195438,20195587..20196174) /locus_tag="BRARA_H02378" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02378" CDS join(20193326..20193775,20194255..20194495, 20194818..20194996,20195089..20195438,20195587..20195941) /locus_tag="BRARA_H02378" /codon_start=1 /product="hypothetical protein" /protein_id="RID51734.1" /db_xref="Phytozome:Brara.H02378" /translation="MASNDKLTSSRVAIIGAGVSGLAAAKHLAHHNPIVFEASDSIGG VWNSCTYETTKLQSTRVDYEFSDFPWPNRDDTTFPSYVEILDYLESYAKHFDLLKFMK FGSKVIEVRYTGDGGTPQMADLGAYSNSFPEKPVWEVAVQNGDAGEIQWYAFEFVVVC TGKYGDVPRIPTFPEKKGPEIFKGKVMHSMDYCKLEKEEAYRLLRGKKVAVIGFKKSA IDLALESALANQGEGGQACTMVVRTTHWVVPHYWVWGLPFFLFYSTRASQFLHDRPNQ SFLKTFFCLLFSLLRAVVSKFIESYVTWKLPLEKYGLKPDHSFEEDYASCQMAIMPEN FFEEADKGMIRFKKTSKWCFYDQGIEFEDGTMLEADVVILATGYDGKKKLKAIVPEPF RSWLEFPCGVMPLYRGTIHPLIPNMGFVGYVQSNSNLHTSELRSLWLSRLVDGKFKLP SKEKMLDQFSKEMDVMRKSSRFYKRHCISTFSIQHADDLCNDMGLDPRRKSNLFLEAF SPYGSQDYRLNQKETN" gene 20204706..20209324 /locus_tag="BRARA_H02379" /db_xref="Phytozome:Brara.H02379" mRNA join(20204706..20204976,20205271..20205383, 20205482..20205577,20205671..20205727,20205832..20205987, 20206076..20206160,20206227..20206317,20206382..20206881, 20207050..20208593,20208667..20208857,20208939..20209324) /locus_tag="BRARA_H02379" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02379" CDS join(20204934..20204976,20205271..20205383, 20205482..20205577,20205671..20205727,20205832..20205987, 20206076..20206160,20206227..20206317,20206382..20206881, 20207050..20208593,20208667..20208857,20208939..20209122) /locus_tag="BRARA_H02379" /codon_start=1 /product="hypothetical protein" /protein_id="RID51735.1" /db_xref="Phytozome:Brara.H02379" /translation="MKAPSNGFHPSSAEGEKKPINSQLWHACAGPLVSLPPVGSLVVY FPQGHSEQVAASMQKQTDFIPNYPNLPSKLICLLHSVTLHADTETDEVYAQMTLQPVN KYDREALLASDMGLKLNRQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQ PPAQEIVAKDLHDTTWTFRHIYRGQPKRHLLTTGWSVFVSTKRLIAGDSVLFVRDEKS QLMLGIRRANRQTPTLSSSVISSDSMHIGILAAAAHANANNSPFTIFFNPRASPSEFV VPLAKYNKALYAQVSLGMRFRMMFETEDCGVRRYMGTVTGVSDLDPVRWKGSQWRNLQ VGWDESTAGDRPSRVSIWEIEPVITPFYICPPPFFRPKYPRQPGMPDDELDMENAFKR AMPWMGEEFGMKDAQSSMFPGLSLVQWMSMQQNNTLSAGAATTQLPSAYNLPNNFAPN DPSKLLNFQSPNLSPVNSQFNKPNMVSSHISQQMQVQPAMVKSQQQVQISHQQLQQGV YNAGSVNNGVSVVSCQNQSTGFSQSQLQQQSMLSNGSAKMNHQSIVGNKSSSQMTSQE LQFQQQMELHNSSQLLRTQQEQSSMHSLQQTPQQLQMQQQSSTPSPSQQLQLQLLQKL QQQQQSTPPVSSSLQPQLSAALQQTQSHQLQQLLSSQNQQPFSAPTFMQPPQVQVSHH QQQGHMNNKPLVAAGGSHSGHTDGEVPTCSTSPSANNTRHDNVSPTNFLSRSQQQGQA ASVPAPDPVQSRNNQGMVNLRSAADQINVSTAGTTYCPDAVGTAQQQQTFPLQSFGNC QQRNNLAFAGNLEAVTTPDALYSQKDFQNLVPNYGNAPRDIETELSSAAISSQSFGIP SIPFKSGGSNEIGGVNDSGIMNGGGLWPNQAQRMRTYTKVQKRGSVGRSIDVTRYSGY DELRNDLARMFGIEGQLEDPRPSDWKLVYTDHENDILLVGDDPWEEFVNCVQNIKILS SVEVQQMSLDGDLAAIPATNQVCSETDSGNAWKVHYEDTSAAASFNR" gene <20209837..>20210300 /locus_tag="BRARA_H02380" /db_xref="Phytozome:Brara.H02380" mRNA join(<20209837..20210122,20210221..>20210300) /locus_tag="BRARA_H02380" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02380" CDS join(20209837..20210122,20210221..20210300) /locus_tag="BRARA_H02380" /codon_start=1 /product="hypothetical protein" /protein_id="RID51736.1" /db_xref="Phytozome:Brara.H02380" /translation="MEGPSSSSKYKGVRKRKWGKWVSEIRLPNSRERIWLGSYDSPEK AARAFDAALYCLRGSGAKFNFPDNPPAISGGRNMSRSEIREAAARFANSEEKQVSPTW NGYQGNESYDGGSVSLWNF" gene complement(<20211138..>20212115) /locus_tag="BRARA_H02381" /db_xref="Phytozome:Brara.H02381" mRNA complement(<20211138..>20212115) /locus_tag="BRARA_H02381" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02381" CDS complement(20211138..20212115) /locus_tag="BRARA_H02381" /codon_start=1 /product="hypothetical protein" /protein_id="RID51737.1" /db_xref="Phytozome:Brara.H02381" /translation="MDSDQELAYDYSPRFRVYKNGQIQRLVTETFVPSSLTPQNGVVS KDAVYSPEKNLSLRIYLPHQTLETSEANKKKKKLPLLIYFHGGAFIMETAFSPAYNTF LTSTVSAAGCIAFSVDYRRAPEHPIPIPYEDSWDAVKWIFTHVAGSGPEDLVNDHADF RRVFVAGDSAGANIAHHMAIRAGEENGSIKFSGMTLFHPFFFSKAILEEQEDGVRRYM EGIWEIVCPNSKKGVEDPWINVVGSDLSGLECGRVLVMVAGKDLLAREGRVYAEKLEE CGWGGRVEVVETEDEDHVFHIRNPDSDNARLLVQRFAEFLRQVCSDDKE" gene complement(20212875..20214406) /locus_tag="BRARA_H02382" /db_xref="Phytozome:Brara.H02382" mRNA complement(join(20212875..20213331,20213423..20213703, 20213806..20213971,20214126..20214406)) /locus_tag="BRARA_H02382" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02382" CDS complement(join(20213162..20213331,20213423..20213703, 20213806..20213971,20214126..20214288)) /locus_tag="BRARA_H02382" /codon_start=1 /product="hypothetical protein" /protein_id="RID51738.1" /db_xref="Phytozome:Brara.H02382" /translation="MMSSSMECSDSAAARRFSKKPSFSLTCSRLSQYLKENGSFGDLS LGMSCKPEVNGISRQPTTTMSLFPCEAAQDVKPKNLFPRQPSFSSSSSSLPKKEEVLK MTQTTTTRSVRPEPQTAPLTIFYNGEVIVFNDFSAEKAKEVMDLASKGTANSFTGFTS TVNLPKYQTEVRTNIAPTSNQVPHLMKPAAQEPILSSSAAMACELPIARRASLHRFLA KRKDRVTSKAPYQLSDTAKASTTPQTGDNNTTSWLGLAAQI" gene complement(20217275..20219914) /locus_tag="BRARA_H02383" /db_xref="Phytozome:Brara.H02383" mRNA complement(join(20217275..20217885,20218035..20218188, 20218267..20218515,20218596..20218716,20219329..20219914)) /locus_tag="BRARA_H02383" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02383" CDS complement(join(20217360..20217885,20218035..20218188, 20218267..20218515,20218596..20218716,20219329..20219802)) /locus_tag="BRARA_H02383" /codon_start=1 /product="hypothetical protein" /protein_id="RID51739.1" /db_xref="Phytozome:Brara.H02383" /translation="MVETLLPSRFQSHLQKLDPKRRLLASTIASHKTLLFAFLWIAAF ASVFLWQRSSYIGGYVVGPVAGGRFTVFGKAKPLRPVPRLRPAVFDLKEFGGVGDGVT VNTEAFERAVVEISKLGGGGGGQLNVPPGRWLTAPFNLTSHMTLFLAEDCEILGVEDE KFWTLMPPLPSYGYGRERPGPRYGSLIHGQNLKDVVITGHNGTINGQGQSWWKKHQRR LLNNTRGPLVQIMWSSDIVIANVTLRDSPFWTLHPYDCKNVTIRNVTILAPVTGAPNT DGIDPDSCEDMVIEDCYISTGDDAIAIKSGWDQFGIAYGRPSTNILIRNLIVRSVISA GVSIGSEMSGGISNVTIENLLIWNSRRGVRIKTAQGRGGYIKNITYKNVTLDNVRVGI VIKTDYNEHADDNYDRKAYPTLSGFSFSGIHGQGVRVPVRIHGSEQIPVRNVTFRDMS VGLTYKKKHIFQCSFVKGRVIGSIFPRPCENFDVYDEEGRLVKPATESTVPDIDYDI" gene complement(20220808..20221963) /locus_tag="BRARA_H02384" /db_xref="Phytozome:Brara.H02384" mRNA complement(join(20220808..20220979,20221060..20221577, 20221652..20221963)) /locus_tag="BRARA_H02384" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02384" CDS complement(join(20220962..20220979,20221060..20221577, 20221652..20221916)) /locus_tag="BRARA_H02384" /codon_start=1 /product="hypothetical protein" /protein_id="RID51740.1" /db_xref="Phytozome:Brara.H02384" /translation="MALAIASALTSTTLALSTSRVENGRGAFSSRVDGRTSQRLVVVR AGKEVSSVCEPLPPDRPMWFPGTSPPKWLDGSLPGDFGFDPLGLGSDPETLRWFAQAE LIHSRWAMLAVTGILIPECLERLGFIENYSWYDAGSREYFADSTTLFVVQLVLMGWAE GRRWADFIKPGSVDIEPQYPHKVNPKPDVGYPGGLWFDPMMWGRGSPDPVMVMRTKEI KNGRLAMLAFVGFCFQANYSASQDPIENLMAHLADPGHCNVFSAFTSQ" gene 20222311..20223833 /locus_tag="BRARA_H02385" /db_xref="Phytozome:Brara.H02385" mRNA join(20222311..20222633,20222697..20222804, 20222891..20223052,20223121..20223292,20223393..20223466, 20223569..20223833) /locus_tag="BRARA_H02385" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02385" CDS join(20222397..20222633,20222697..20222804, 20222891..20223052,20223121..20223292,20223393..20223466, 20223569..20223685) /locus_tag="BRARA_H02385" /codon_start=1 /product="hypothetical protein" /protein_id="RID51741.1" /db_xref="Phytozome:Brara.H02385" /translation="MYRTAAKRLLGAGLTTSRLLRSTIIPSSYTSNLCTSSMGHTEFS NQSGPTAAGEGPRRKPRPEFEEEQARVLSASLRHVARLGWTEEAMMAGSRDVGVSPSI VGSFSRKEAALVEYFMDECLQLLVDRVDSGLDLQNLIPSERISKLVRVRLEMQVPYIS KWPQALSIQAHPVNVPTSFKQRAMLVDEMWHSVGDGASDLDWYVKRTVLGGVYSTTEI YMLTDDSPEYRETWEFLDDRVKDAFDLKKSIQEAKYFAQDIGAGVGKSFQGLMNGVMQ TMSTRGGRSSSSF" gene 20224074..20225242 /locus_tag="BRARA_H02386" /db_xref="Phytozome:Brara.H02386" mRNA join(20224074..20224246,20224520..20224641, 20224733..20225242) /locus_tag="BRARA_H02386" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02386" CDS join(20224123..20224246,20224520..20224641, 20224733..20225053) /locus_tag="BRARA_H02386" /codon_start=1 /product="hypothetical protein" /protein_id="RID51742.1" /db_xref="Phytozome:Brara.H02386" /translation="MVKSSEIVKKLNLRSHQEGGFFYETFRDSSVLLSTSHLPPTFKV DRAVSTSIYFLLPSGNVSRLHRIPMAETWHFYLGEPLTVVEIHDDGKLKFTCLGPDLI EGDQKPQYTVPPNIWFGSFPTKDFHFPHDGTLLRAEPRDSENHFSLVGCTCAPGFQFE DFELAKRSHLLSLFPQHESLITMLSYPE" gene complement(20226097..20229608) /locus_tag="BRARA_H02387" /db_xref="Phytozome:Brara.H02387" mRNA complement(join(20226097..20226598,20226668..20226778, 20226854..20226928,20227009..20227179,20227264..20227364, 20227444..20227521,20227599..20227755,20227835..20228104, 20228178..20228294,20228374..20228463,20228622..20228834, 20228911..20229608)) /locus_tag="BRARA_H02387" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02387" CDS complement(join(20226260..20226598,20226668..20226778, 20226854..20226928,20227009..20227179,20227264..20227364, 20227444..20227521,20227599..20227755,20227835..20228104, 20228178..20228294,20228374..20228463,20228622..20228834, 20228911..20229462)) /locus_tag="BRARA_H02387" /codon_start=1 /product="hypothetical protein" /protein_id="RID51743.1" /db_xref="Phytozome:Brara.H02387" /translation="MAEDFARAVEDGLKLAKRIYLGNDRAVAAPRLAAPMERTSTAQA YLPSSPMVYAVIPDPGIVDNPDLPSYQPHVHGRCDPPALIPLQMNAIELDVDCYLDTA LVTVTGTWRVHCVMGSKRCDCRIAVPMGEEHGSILGVEVEITRKSYATQLIAAQDGNE LEKTTQTQSGGFLKPNIFTLTVPQVDGGTNLSIKMSWSQKLTYKEGEFFLDIPFNFPE YVTPAVKKISKREKIYLSVDAGTGTEVISKGCSHQLKEKVRNAGTLRFSYEADVLKWS NTDFSFSCTASSSNIVGGLFLQSAPVHDVDQRDVFSFYLFPGKQQKIKAFKREVVFVV DVSKSMAGKPLEDVNNAISKALSKLRPGDSFNIITFSDDTSLFSTSMELVTPDSVERG IEWMNKNFAVSDGTNMLPPLEKAVEMLSNTRGSVPMIFFVTDGSVEDERHICNVMKKR LASGGSVSPRIHTFGLGVFCNHYFLQTLANLSRGQHESVYNTDHIEERIDKLFTRALS TVLLNITIEPLQDLDEVEVYPSNVPDLTSSSPLMIYGRYRGKFPENVKANGLLGDFSS FSVKLTVQSAKDMPLDKVFAKNVIDLLTAEAWLSEDKQLIEKVTKLSIQTGVPSEYTR MIQLENTEEASKQSDTGGKKKTASNSEKQKLISRTIPLQKFSIGFGDITATRENVPPG YGEQRAPDAAEKFVKAASSCCASLCNKCCCMCCVQCCTKINDQCVLVFTQLFTALACI ACFECCTNVCCACGGED" gene 20233804..20235320 /locus_tag="BRARA_H02388" /db_xref="Phytozome:Brara.H02388" mRNA join(20233804..20234117,20234199..20234275, 20234467..20234544,20234643..20234713,20234810..20235320) /locus_tag="BRARA_H02388" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02388" CDS join(20233970..20234117,20234199..20234275, 20234467..20234544,20234643..20234713,20234810..20235074) /locus_tag="BRARA_H02388" /codon_start=1 /product="hypothetical protein" /protein_id="RID51744.1" /db_xref="Phytozome:Brara.H02388" /translation="MAVGEVMRMEVPTGGDLTVSSPDLHVLAVDDSIVDRKVIERLLR ISSCKVTTVESGTRALQYLGLDGNTGASDLKDLKVNLIVTDYSMPGLTGYDLLKKIKE SSTFREIPVVIMSSENILTRIEQCLKEGAEDFLLKPVKLADVKRIKQLIMRNEAEDHK TLSHSNKRKLGEDVDTSPSSSSSSSSHDDSSVKDFPSSKRMKSESDIFSPFI" gene <20236469..>20237044 /locus_tag="BRARA_H02389" /db_xref="Phytozome:Brara.H02389" mRNA <20236469..>20237044 /locus_tag="BRARA_H02389" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02389" CDS 20236469..20237044 /locus_tag="BRARA_H02389" /codon_start=1 /product="hypothetical protein" /protein_id="RID51745.1" /db_xref="Phytozome:Brara.H02389" /translation="MVTWHEDTEDSETTFSFTLSADDVIERQGLANKIQELDELFMEA AFPQEDNLLLLTQEAYHCFIEVISSNDYSRDCAVSLWFTFRVWRSPPPLLPSVDEFED DDDDESTNSNIPIRAASKLAVKCLTKKIYNKCDSLAIDSCTICLEEFKSGVNVVELPC GHEFDDACIGHWFETNHICPLCRFELPREHH" gene complement(<20237791..>20238012) /locus_tag="BRARA_H02390" /db_xref="Phytozome:Brara.H02390" mRNA complement(<20237791..>20238012) /locus_tag="BRARA_H02390" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02390" CDS complement(20237791..20238012) /locus_tag="BRARA_H02390" /codon_start=1 /product="hypothetical protein" /protein_id="RID51746.1" /db_xref="Phytozome:Brara.H02390" /translation="MWADQWDNSGTAVVRSGGGGTASNSYTAKYKEKFGHGLDKTKAV ASSGFKKLKTGSAVGFRWVKDKYHKTTNK" gene complement(20240813..20242622) /locus_tag="BRARA_H02391" /db_xref="Phytozome:Brara.H02391" mRNA complement(join(20240813..20241050,20241133..20241789, 20242015..20242191,20242420..20242622)) /locus_tag="BRARA_H02391" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02391" CDS complement(join(20240991..20241050,20241133..20241789, 20242015..20242191,20242420..20242434)) /locus_tag="BRARA_H02391" /codon_start=1 /product="hypothetical protein" /protein_id="RID51747.1" /db_xref="Phytozome:Brara.H02391" /translation="MTDPERLTALKKAYADTILNTAKEAAARVMVSERRARGHQQELV AVRDEALRTCLRLKQMYDSKVKEAEMMSLSKQQKIEELEAQLGEAEDIVGELRMELRE SRYQLERLTSIRQSNEEEDKPINEVVSLACEDSSNHERTVVVASGIIKPHSSDRDMSI NRCSYKENKDPCHHTLPSILTRRREADALEKGDCPNAEEMELLTTSVSPSEKEYVQFT VKRKRKKDERSGSISPEGGSSSSQEDDESRNRRQKTGEKDNVYLNSFNTESSSRDSRR VAQVARQLLPFTEKILSQENQSDDVS" gene 20246941..20249685 /locus_tag="BRARA_H02392" /db_xref="Phytozome:Brara.H02392" mRNA join(20246941..20247310,20247430..20247638, 20248045..20248389,20248536..20249685) /locus_tag="BRARA_H02392" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02392" CDS join(20247058..20247310,20247430..20247638, 20248045..20248374) /locus_tag="BRARA_H02392" /codon_start=1 /product="hypothetical protein" /protein_id="RID51748.1" /db_xref="Phytozome:Brara.H02392" /translation="MAAVSSSSETGGGEKGEIMLFGVRVVVDPMRKCVSLNNLSDYEK SSPPEEEIPKIGDTDAAGYASANETLQIPSSSGGNRERKRGIAWTEEEHKRFLLGLQK VGKGDWKGISRNFVKSRTPTQVASHAQKYFLRRTNLNRRRRRSSLFDITTETVTGMVH MEQDHHAQDNLLLPETNISSGHQVFPEVAVPTRTEKAPRTVPVTFQASPAFNLNTDAA VPAPLSLNLSLSFNLNEQSNSRHSAFTMMPSFSDGDSNSSIIRVA" gene complement(20249971..20251370) /locus_tag="BRARA_H02393" /db_xref="Phytozome:Brara.H02393" mRNA complement(join(20249971..20250683,20250762..20250888, 20250960..20251370)) /locus_tag="BRARA_H02393" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02393" CDS complement(join(20250002..20250683,20250762..20250888, 20250960..20251083)) /locus_tag="BRARA_H02393" /codon_start=1 /product="hypothetical protein" /protein_id="RID51749.1" /db_xref="Phytozome:Brara.H02393" /translation="MVRSCSSKSKNPWTDEDNTSQKFAFASASASSKNGSTPKKIGLR RCGKSCRVRKTDNAGAAKHESFTPEEEDLIIKMHAAMGSRWPLIAQHLPGKTEEEVKM VWNSKLKKKLSQMGIDHVTHRPFSHVLAEYGNINGGGGGTLNPNPMNQTGSLGPNPSL NEDSHQQQQQQSNDSGDLMFHLQAIKLMTESSNQVYASSSSSNSSPPLFSSTCSTIAQ ENSEVNFTWSDFLLDQETFNENQQNFPDQELDNLFGNEFSEAEAVATMANTSAPDAQM EEESLSNGFVESIIAKEKELFLGFPSYLDQPFHF" gene complement(20251659..20256249) /locus_tag="BRARA_H02394" /db_xref="Phytozome:Brara.H02394" mRNA complement(join(20251659..20252880,20252977..20253168, 20253281..20253323,20253418..20253541,20253680..20253772, 20254076..20254232,20254313..20254419,20254583..20254664, 20254742..20254779,20254871..20254957,20255428..20255538, 20255638..20256249)) /locus_tag="BRARA_H02394" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02394" CDS complement(join(20252064..20252880,20252977..20253168, 20253281..20253323,20253418..20253541,20253680..20253772, 20254076..20254232,20254313..20254419,20254583..20254664, 20254742..20254779,20254871..20254957,20255428..20255538, 20255638..20256078)) /locus_tag="BRARA_H02394" /codon_start=1 /product="hypothetical protein" /protein_id="RID51750.1" /db_xref="Phytozome:Brara.H02394" /translation="MGSSSDAVPDHDVSGTHHPPADDTSPVTDTKPPTEETTTPLRRT RPSRACTLRTQQRLREQQAAERKLKQPKKECKRKEEVEDGDDQEEEEEDEEEDDGESQ MQCVGGSLGRSKIVTSLVSPPEASQMPRWNLRSMWELASVLNFLHVFRPLLKINVEFS AEEFETALLNPNDTLSDIHIPLLKAIPPVTRMALTRDTWVTVLCRKIRDCWHWVAEGD LPIVASQGRETEVYKSFDPAIRVVILKALCDIRVEQEDIRSYIDNSLKTGVHLSAFRK DRVGGDSHGVNFWYEDDPLVGHRLYREIRKAEVVKAKTKGSKILPNVTYQWEAVATNF DEFQDVSEKLNSSSSRIEVSLGKKLTRDMLPEIEKEHKRKEKLLKKQHRQALLLDNCL VVDGHGAGRSLRDRKPVRYTFDDYDQSINEAIKITKMKHPSPESFLHRRESARLEAPE NGRSTSSTHPTEPVNDTAYAKSSDSADYDEFDEQRDESLDRRNRRRQRSQRYSATDFV ETVSDNDVEYESDDDIVGEAVYDEEYMRKRKQKKFSSGSEGEEVGDKGDEEYKWDEDN AEYEEEEEEEEEEEEEDSLSDSEEDSDEPRRAKKMPRRETKSRSRSKDLRPGLRRSKR ATRIDYQQYELSESDKETIGSAKRKRLVEPDEHSDETGNGDFTMGSEDSEENANDPET KSSEEEVREEEKPREVTNDYAETTNGAENNQLSKSNGTDQEEAEGVVGKRRFLDLNEL APVSGFDDGPSTVLKEDDKAGDS" gene complement(20257527..20260622) /locus_tag="BRARA_H02395" /db_xref="Phytozome:Brara.H02395" mRNA complement(join(20257527..20260024,20260388..20260622)) /locus_tag="BRARA_H02395" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02395" mRNA complement(join(20257527..20260042,20260388..20260622)) /locus_tag="BRARA_H02395" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02395" CDS complement(20257705..20260020) /locus_tag="BRARA_H02395" /codon_start=1 /product="hypothetical protein" /protein_id="RID51751.1" /db_xref="Phytozome:Brara.H02395" /translation="MTPAKQISKLSSSARSFILNGSRLSAASGNSRAHTDDEPFVSRR QQLRNQAAHTGKTQSSIVLNPSVVASILPGETSKKKVDDSARSSQSSSHSVSYASAVI KEEEEASSAPFGDQILRAGVKAVNILSDLANCKLPSFDRESEVSGLLPKAFMVDPTRP ITSVKSSNVKAKAYPGSSSKESKTRNPCRSFQGSKEAARGQHCNTRHVVENVCSVLKS FRWGPAAEEALEKLRTEKRIVMSPRQANQVLMQMNDYGKALGFFYWLKRQPDFKHDDY TYTTMLGSLGRAKQFGAINKLLNEMVREGCQPTTVTYNRLIHSYGRANYLKEAMNVFN QMQKSGCEPDRVTYCTLIDIHAKAGFLDIAMDIHQRMNAAGIETDTFTYSLIINCLGK AGRLPAAHKLFCEMVDKGCAPNLVTYNIMIDLHAKARNYQSALKLYRDMQSAGFKPDK VTYSIVMVVLGHCGYLEEAEGVFKKMEEENWVPDEPVYGLLVDLWGKAGNVEKAWRWY QEMLRAGVLPNVPTFNSLLSSFLRVNKVTEASELLQNMLALGLRPSLQTYTLLLSCCT DGRSKLDMGFCGQLMARTGHPAHTFLLKMPSAGPDGQNVRNHAKSFLDLMHSEDRESK RGLVDAVVDFLHKSGLKEEAGSVWEVAAQKNVFPDALREKSRSYWLINLHVMSEGTAV TALARTLAWFRKQMLVSGCGPARIDIVTGWGRRSRVTGSSMVRQAVEELLSIFGSPFY TESGNSGCFVGCGEALNRWLLQSYVERMHLL" CDS complement(20257705..20260020) /locus_tag="BRARA_H02395" /codon_start=1 /product="hypothetical protein" /protein_id="RID51752.1" /db_xref="Phytozome:Brara.H02395" /translation="MTPAKQISKLSSSARSFILNGSRLSAASGNSRAHTDDEPFVSRR QQLRNQAAHTGKTQSSIVLNPSVVASILPGETSKKKVDDSARSSQSSSHSVSYASAVI KEEEEASSAPFGDQILRAGVKAVNILSDLANCKLPSFDRESEVSGLLPKAFMVDPTRP ITSVKSSNVKAKAYPGSSSKESKTRNPCRSFQGSKEAARGQHCNTRHVVENVCSVLKS FRWGPAAEEALEKLRTEKRIVMSPRQANQVLMQMNDYGKALGFFYWLKRQPDFKHDDY TYTTMLGSLGRAKQFGAINKLLNEMVREGCQPTTVTYNRLIHSYGRANYLKEAMNVFN QMQKSGCEPDRVTYCTLIDIHAKAGFLDIAMDIHQRMNAAGIETDTFTYSLIINCLGK AGRLPAAHKLFCEMVDKGCAPNLVTYNIMIDLHAKARNYQSALKLYRDMQSAGFKPDK VTYSIVMVVLGHCGYLEEAEGVFKKMEEENWVPDEPVYGLLVDLWGKAGNVEKAWRWY QEMLRAGVLPNVPTFNSLLSSFLRVNKVTEASELLQNMLALGLRPSLQTYTLLLSCCT DGRSKLDMGFCGQLMARTGHPAHTFLLKMPSAGPDGQNVRNHAKSFLDLMHSEDRESK RGLVDAVVDFLHKSGLKEEAGSVWEVAAQKNVFPDALREKSRSYWLINLHVMSEGTAV TALARTLAWFRKQMLVSGCGPARIDIVTGWGRRSRVTGSSMVRQAVEELLSIFGSPFY TESGNSGCFVGCGEALNRWLLQSYVERMHLL" gene complement(20261052..20263394) /locus_tag="BRARA_H02396" /db_xref="Phytozome:Brara.H02396" mRNA complement(join(20261052..20261976,20262249..20262808, 20262914..20263131,20263273..20263394)) /locus_tag="BRARA_H02396" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02396" CDS complement(join(20261076..20261976,20262249..20262808, 20262914..20263131,20263273..20263369)) /locus_tag="BRARA_H02396" /codon_start=1 /product="hypothetical protein" /protein_id="RID51753.1" /db_xref="Phytozome:Brara.H02396" /translation="MEVEKTQENTTGGEDDESKIIYRGWKVMPFIIGNETFEKLGIVG SSSNLVIYLTTVFNMKSITAATVVNIYGGTSNFGTIVAAFLCDSYFGRYKTLSFAMLA CFLGSVAMDLTAVINQLHPAKCAKEIGSVCKGPSIGQIMFLAGAMVLLVIGAGGIRPC NLPFGADQFDPKTKEGKRGIESFFNWYFFTFTFAQMVSLTLIVYVQSNVSWSIGLAIP AILMLLGCIIFFAGSKLYVKVKASGSPIHSITRVIVVAIKKRRLKLVGSSSDGLYNYI ANDFKNSKLSPTKQFRCLDKAAIQTPEDKLNIDGSPANPWNLCSVQQVEEVKCVIRVL PVWLSAALFYLAYIQQTTYTIFQSLQSDRRLGSGSFQIPAATYTVFLMLGMTIFIPIY DRVLVPFLRKYTGRDGGITQLQRVGAGLFLCIASMMVSAAVEQHRRNVALTRPPLGFA PRKGAISSMSGMWLIPQLVLMGIADALAGVGQMEFYYKQFPENMRSFAGSLYYCGIGL ASYLSSFLLSAVHDVTEGSSGGNWLPEDLNKGKLEYFYYFVAGMMTLNFAYFLLVSHW YRYKDVVVKDKDMDKSCNEFDKVSV" gene complement(20265193..20268883) /locus_tag="BRARA_H02397" /db_xref="Phytozome:Brara.H02397" mRNA complement(join(20265193..20265278,20265364..20265456, 20265536..20265633,20265743..20265827,20265988..20266098, 20266243..20266300,20266407..20266525,20266625..20266693, 20266774..20266848,20266915..20267178,20267256..20267373, 20267614..20267855,20268395..20268883)) /locus_tag="BRARA_H02397" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02397" CDS complement(join(20265231..20265278,20265364..20265456, 20265536..20265633,20265743..20265827,20265988..20266098, 20266243..20266300,20266407..20266525,20266625..20266693, 20266774..20266848,20266915..20267178,20267256..20267373, 20267614..20267855,20268395..20268559)) /locus_tag="BRARA_H02397" /codon_start=1 /product="hypothetical protein" /protein_id="RID51754.1" /db_xref="Phytozome:Brara.H02397" /translation="MNGCEASHKAPLGTVETRSLSTVTSLAAATEGLISAVSNLKSEP PPFSSGIVRLQVPVDQQIGALDWLHAQNDALPRSFFSRRSDSGRPELLQDLASENMNG ICDANPVSVAGLGSAVFFRDHDPFSHDHWRSIRRFLSPNSPLIRAYGGLRFDPNGKAG VEWEQFGSFYFTVPQVEFVEFEGNSMLAATFAWEDELSWTLQNAIEALQKTLLEVSSV IVRLRRESLGVSVVSKNHVPSKGDYYPSVNSALEIIKEKYSPLSKVVLARSSRIITDT DIDPIAWLARLQCEGKDAYQFCLQPPGAPAFIGNTPERLFYRKHLGVWSEALAATRPR GDSEVSDLEIERDLLTSPKDDLEFSIVRENIREKLSAICDRVIVKPQKTVRKLARIQH LYSQLAGQLRREDDEFDILNALHPTPAVCGCPVDEARLLIKQIESFDRGMYAGPVGFF GGGESEFSVGIRSALVQKGLGALVYAGTGIVSGSDPSSEWNELELKISQFTKTLEHEP ALQPIN" gene complement(20269447..20272321) /locus_tag="BRARA_H02398" /db_xref="Phytozome:Brara.H02398" mRNA complement(join(20269447..20270427,20270531..20270644, 20271016..20271600,20272188..20272321)) /locus_tag="BRARA_H02398" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02398" CDS complement(join(20269681..20270427,20270531..20270644, 20271016..20271600,20272188..20272295)) /locus_tag="BRARA_H02398" /codon_start=1 /product="hypothetical protein" /protein_id="RID51755.1" /db_xref="Phytozome:Brara.H02398" /translation="MEKEEFLGSGHGREERNEEMRKLDSDQEHDHIIRSKLDSIKVEM EEAKEENRRLKSSLSRTKKEFEILQTQYNQLMVIIHEDPNKFSPKGYNQHKEEDEEDK ERTSDREDLVLLSLGRRLKSPVPSGSMTNKEEKMKDFMKETDDDKRINEQGLSMGFEY KDLSNPSEKLEVDHNQEKTSLEVSNSNKIQSENSFGFKNDGDEHEDKEEPLPQNIAKK TRVSVRARCETPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTIAPSCPVRKQVQRCSED LSILISTYEGTHSHPLPMSATAMASATSAAASMLLSGASSSSSAADLHGINFSISSNS ITPKPLLQTSSGHPTVTLDLTTTSSSQQPFLSMLNRFSVPPSNVPRSNSYPSTNLSFS NNTNTLMNWGGGSNRNEQYRAPYGNISTHQQSPFQHMIQTRAAGLSFDTFGRSSSSSP HPTQNSLDNVNFKNITHDQVQSLPAETIKAITTDPNFQSALATALSSIIGGDLKIDNV TRSEAEKSP" gene 20274539..20278551 /locus_tag="BRARA_H02399" /db_xref="Phytozome:Brara.H02399" mRNA join(20274539..20274735,20274814..20275595, 20275790..20275830,20276118..20276231,20276364..20276663, 20276737..20276925,20277003..20277089,20277155..20278136, 20278249..20278551) /locus_tag="BRARA_H02399" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02399" CDS join(20276151..20276231,20276364..20276663, 20276737..20276925,20277003..20277089,20277155..20278136, 20278249..20278280) /locus_tag="BRARA_H02399" /codon_start=1 /product="hypothetical protein" /protein_id="RID51756.1" /db_xref="Phytozome:Brara.H02399" /translation="MGKPARWLKSVLLGKKSSKSSASKDKERVVNGKEVVVVSKIEES DVVSDLPSFGNATVSTSAVVETQKTEHEVVSDDEIQLPESQVQPTDSPTAASVVVPDD LLSDSDKIQQEVAATTLQAAFRGYLARRAFWALKGIIRLQALIRGHMVRRQAVATLCC VMGIVRLQALARGKEIRRSDIGVEVHRRCLGNKLPEDSVVETHTYLGIKKLTANAFAQ KLLASSPKVMPVHLDNDSSNSIWLENWSASCFWKPVPQPKKTSVRKTQKKFEGDFTKP KKSVRKVPVSNQDSSSAGAQTAFEFEKPKRSSFRKFSTSQSVELPPLEEPPQVDLEKV KRGLRKVHNPVVENSIQPQPSPEKEIEKPTLALKEPVTVSAFDEEEKETVVEILHTHG PLETNEAAPDSPLVNKIEESQENVMAEEKEDVKEERTPKQKKSAGKENKKSVKKDSPV SATTTTQAAECQESSNGNQSSTPGLPSYMQATKSAKAKLRLQQGSSSPEKVTRRYSLP SSGNNARVTSDSPKTTRVSNSGGKTGKKTEKPLGREGNGKTTQVEWKR" gene 20279294..20280038 /locus_tag="BRARA_H02400" /db_xref="Phytozome:Brara.H02400" mRNA 20279294..20280038 /locus_tag="BRARA_H02400" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02400" CDS 20279535..20279822 /locus_tag="BRARA_H02400" /codon_start=1 /product="hypothetical protein" /protein_id="RID51757.1" /db_xref="Phytozome:Brara.H02400" /translation="MGVSVLHRGMVLLLVISVVVLLQTDKVSSLRWERDMRLQLLSVH PLRVLEESSSSKEGNIDTNPDLAPSPSVMSVPNQSVKRMIGRGSDPIHNRC" gene 20283330..20283737 /locus_tag="BRARA_H02401" /db_xref="Phytozome:Brara.H02401" mRNA 20283330..20283737 /locus_tag="BRARA_H02401" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02401" CDS 20283403..20283669 /locus_tag="BRARA_H02401" /codon_start=1 /product="hypothetical protein" /protein_id="RID51758.1" /db_xref="Phytozome:Brara.H02401" /translation="MKKRQVVIKQRKNSYTTTSSSSNVRYIECQKNHAANIGGYAVDG CREFIASGGEGTDDALTCAACRCHRNFHRREVETEVVCEYSPPN" gene complement(20286060..>20290802) /locus_tag="BRARA_H02402" /db_xref="Phytozome:Brara.H02402" mRNA complement(join(20286060..20286257,20286351..20286574, 20286738..20286918,20286992..20287102,20287222..20287488, 20287571..20287756,20287856..20287948,20288019..20288376, 20288450..20288577,20288656..20288808,20289003..20289122, 20289212..20289309,20289431..20289488,20289576..20289675, 20289818..20289854,20289937..20290033,20290126..20290242, 20290329..20290383,20290486..>20290802)) /locus_tag="BRARA_H02402" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02402" CDS complement(join(20286168..20286257,20286351..20286574, 20286738..20286918,20286992..20287102,20287222..20287488, 20287571..20287756,20287856..20287948,20288019..20288376, 20288450..20288577,20288656..20288808,20289003..20289122, 20289212..20289309,20289431..20289488,20289576..20289675, 20289818..20289854,20289937..20290033,20290126..20290242, 20290329..20290383,20290486..20290802)) /locus_tag="BRARA_H02402" /codon_start=1 /product="hypothetical protein" /protein_id="RID51759.1" /db_xref="Phytozome:Brara.H02402" /translation="MSCIKRVDRSAYVAMAPEAPFMAAGTMAGAVDLSFSSSSNLDIF ELDFNSEDHEMKLLGQCSSSERFTRLAWGSYGSGSEESPYGLIAGGLVDGNIGLWNPI TLIRSESNESAHVRYLSKHKGPVRALEFNVISPNQLASGDDDGTVCIWDLANTLEPSH VLMGSGSYTQGEISSVSWNREFKHVLASTSYNGTTVIWDVNNKKVITGFKGLISCSVL QWDPDNCNQIMIASDEDSSPSVKLLDIRYPQSPVRTFVGHHRGVIAMEWCPSDSLYLL TCARDNRTICWNTKTGQIVAELPTTNNSNFDVHWSPKIPGVVSASSADGNISIYNLEG CSRNGSGENNLIDGAPFLTAPKWWKRPVSASFGFGGKLVSSNSKLPEASVVFLHSLAT EQSLVNRITKFETEIENGEKTSLMSLCKKKSEETESEEEKETWSLLKIMLEEDGNAKS KLRTHLGFSLPSEDQKDQEHHATYSSENVEEEISDPLFDDAIQRSLIVGDYKEAVAHC LSANKMADALVIAHVGGTTLWESTLDKYLKMSKAPYMKVVSAMVNNDLMSLVHARPAK LWKETLALICTFAEGDEWTRLCDALASHLLSSGFTLAATLCYICAGNVDKTVDIWLRS LEKDNAGESYSERVQDLMEKTIVLALATENKRFSASLHKLFESYAEILASQGLLATAI KFLKLLEPGDFSSELSILRERFSQSVYQEPTQTQPNPYNQAQPALQQSFTPAPVTNAE PSRTTLVSLNPPPHQQRTMDFHSSTRYTNATYNPPPGLHLNSKIHQTVVQQVELMVPA QRVAVQPPPAPVAPPPTVQTVDTSNVPAHQKHIVATLTRLFKETSDTLGPAKKRDIEG ISRKVGSLFAKLNNEDISKHAAEKLSLLCQALDKHDFVAALQIQAHLASTEWDECNFW LLPLKQMIKGRQNVR" gene 20294220..20295619 /locus_tag="BRARA_H02403" /db_xref="Phytozome:Brara.H02403" mRNA 20294220..20295619 /locus_tag="BRARA_H02403" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02403" CDS 20294372..20295457 /locus_tag="BRARA_H02403" /codon_start=1 /product="hypothetical protein" /protein_id="RID51760.1" /db_xref="Phytozome:Brara.H02403" /translation="MDAEKKSAHFHQISTHKPQLLVLSSIQEKLSSKTSDKPTIKASV ADVDSEIGVFGAEKYFSMKLDHVDSTVDITKQHEKENKEYHPHTHTQLTKTTSSRSRT SRHGTPSVRSESSYNSQTFLMRMNNNNDNKQRKTNDVSVSFGGFRCNGPCSGVKTINT DRKIAGKGRNYDRDFVAYDARKHIDKARPMIPIPIQRSDIAMNLERKLSMLTWDAIPN RKNNIHNNGNNSSMSCKTQEEETASEASSDLFEIENITSSVYEPSEVSIGWSVVTGSM ADQSVISDFDIMKRGTRSGSVVKTKPVIAEKVRSGGFLSGCKSYKAVSVVDSAKNVKE AAKVDHHEMSQHKKLKTEIRIQDLSFL" gene 20297563..>20298773 /locus_tag="BRARA_H02404" /db_xref="Phytozome:Brara.H02404" mRNA join(20297563..20297752,20298023..20298145, 20298233..20298283,20298362..20298433,20298555..20298668, 20298744..>20298773) /locus_tag="BRARA_H02404" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02404" CDS join(20297636..20297752,20298023..20298145, 20298233..20298283,20298362..20298433,20298555..20298668, 20298744..>20298773) /locus_tag="BRARA_H02404" /codon_start=1 /product="hypothetical protein" /protein_id="RID51761.1" /db_xref="Phytozome:Brara.H02404" /translation="MVGDKSKKAKTDEENVEQIDGELVLSIEKLQAIQDDLEKINEKA SDEVLEVEQKYNGIRKPVYDKRGDIIKAIPDFWLTAFLSHPALGELLTEEDQKIFKYL SSLEVEDAKDVKSGYSITFNFNPNPYFEDGKLTKTFTFLEEGTTKITATPIKWKEGKG LSNGVDHEK" gene complement(<20308209..20310605) /locus_tag="BRARA_H02405" /db_xref="Phytozome:Brara.H02405" mRNA complement(join(<20308209..20308620,20308703..20308839, 20308911..20308961,20309083..20309179,20309276..20309337, 20309437..20309452,20309554..20309618,20309722..20309740, 20309842..20309901,20310081..20310266,20310351..20310605)) /locus_tag="BRARA_H02405" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02405" CDS complement(join(<20308209..20308620,20308703..20308839, 20308911..20308961,20309083..20309179,20309276..20309337, 20309437..20309452,20309554..20309618,20309722..20309740, 20309842..20309901,20310081..20310265)) /locus_tag="BRARA_H02405" /codon_start=1 /product="hypothetical protein" /protein_id="RID51762.1" /db_xref="Phytozome:Brara.H02405" /translation="MGRVKLKIKRLESTGNRQVTYSKRKSGILKKAKELSILCDIDIV LLMFSPTGKPTVFHGEHSCIEDVISKFAQLTPQERTKRKLESLEALKKTFKKLDHDVN IHEFLGARNQTIEGLSNQVAISQAQLMECHRRLSCWTNIDRIENTEHLNLLEESLRKS IERIQFHKEHYTKNQLLPLDCTTTQFHSGIQLPLAMEGNNSMQEAHSMSWLPNNDNQE TILPGESSFIPHREMDGLNPVYSNGFFESVKQEDQMCSNQAQQFEQLEQQQGHGCLGL QQIGEEFSYPTPFGTTLGMDEDQEKKIKSEMELNNLQQQQQQQQQQDPSSMYAPTANN GGCFQNPHDQSMFSTDHHHHYQHYQHHQNWVPGS" gene 20312946..20314553 /locus_tag="BRARA_H02406" /db_xref="Phytozome:Brara.H02406" mRNA join(20312946..20313618,20313946..20314008, 20314108..20314179,20314284..20314553) /locus_tag="BRARA_H02406" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02406" CDS join(20313265..20313618,20313946..20314008, 20314108..20314179,20314284..20314409) /locus_tag="BRARA_H02406" /codon_start=1 /product="hypothetical protein" /protein_id="RID51763.1" /db_xref="Phytozome:Brara.H02406" /translation="MMGLLDLEKHFAFYGAYHSNPINVVIHIIFVWPIVFTALLLLYP ASPIYDLSQLGFPQSMTLDGVLRLDVGFVVTVAYALFYICLDKKSGFVAALMCFACWV GSSVLADRLGPSLAVKVGLASQLLCWTGQFLGHGLFEKRAPALLDNLAQAFLMAPFFV LLEILQLGFGYEPYPGFQARVNAKVESSIKEWREKKQIKKNKLT" gene complement(20319720..20321464) /locus_tag="BRARA_H02407" /db_xref="Phytozome:Brara.H02407" mRNA complement(join(20319720..20320393,20320660..20320789, 20321134..20321464)) /locus_tag="BRARA_H02407" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02407" CDS complement(join(20319847..20320393,20320660..20320789, 20321134..20321266)) /locus_tag="BRARA_H02407" /codon_start=1 /product="hypothetical protein" /protein_id="RID51764.1" /db_xref="Phytozome:Brara.H02407" /translation="MGRATWFEADGTKRGEWTEEEDRKLVAYIDVYGIGDWRFLPHKA GLQRCGKSCRLRWLNYLRPGVKKGKFTTQEEQVIINFHSILGNRWATIAQQMPGRSDN DIKNHWNSCLKKRLERNGIDPMTHQPIINLDVKTQSFNTDCGSSSCSTASPSSSSFSS SSARLLNRIATGISCRQHGVDRIKNILSDLTITSINGEEEGFEQLKIDHGKMLANDDQ EDDFLMWDEEKTRHFMEEIGAMDFHTNGGYKPSSSAQYGVYETGLLDDHLI" gene complement(20329094..20334362) /locus_tag="BRARA_H02408" /db_xref="Phytozome:Brara.H02408" mRNA complement(join(20329094..20329720,20330004..20330133, 20334009..20334362)) /locus_tag="BRARA_H02408" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02408" CDS complement(join(20329219..20329720,20330004..20330133, 20334009..20334141)) /locus_tag="BRARA_H02408" /codon_start=1 /product="hypothetical protein" /protein_id="RID51765.1" /db_xref="Phytozome:Brara.H02408" /translation="MGRKTWFNDDGMKKGEWIAEEDEKLIAYINEHGMCDWRSIPKRA GLQRCGKSCRLRWLNYLRPGIKRGKFTPQEEEKIIKVHGVLGNRWAAIAKHMENRTDN DIKNHWNSCLKKRLSRNGIDPMTHEPVNNNLTVTTTYVECGSSSTTTWPTRENHCSST PSGLVCVLNKLAAGISSRQYDLNIIKNILLDPRITSSEQDEEEVLKRDQEIGGCEEED FLIWDDEEVRRYMETDDIEYETTPYVSLLYESTRIF" gene 20345056..20348633 /locus_tag="BRARA_H02409" /db_xref="Phytozome:Brara.H02409" mRNA join(20345056..20345613,20345743..20346027, 20346095..20346418,20346491..20346718,20346832..20346929, 20347012..20347618,20348063..20348195,20348371..20348633) /locus_tag="BRARA_H02409" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02409" CDS join(20345215..20345613,20345743..20346027, 20346095..20346418,20346491..20346718,20346832..20346929, 20347012..20347618,20348063..20348195,20348371..20348390) /locus_tag="BRARA_H02409" /codon_start=1 /product="hypothetical protein" /protein_id="RID51766.1" /db_xref="Phytozome:Brara.H02409" /translation="MGCVSSKQTVSVTPAIDHSGVFKNNNACSGSGRILDEEPSPAVE KKLVSWRSKSGKKSSSKKKSGGSELGTESGRASSNCRSESLSFRLGNLSKYLEAEQVA AGWPSWLSNVAGEAIHGWVPFRSDAFEKLEKIGQGTYSSVFRARETETGRIVALKKVK FDNFEPESVRFMAREILILRRLDHPNVIKLQGLVTSKLSCNIHLVFEYMEHDLTGLLS SPDISFTTPQIKCYMKQLLSGLDHCHARGVMHRDIKGSNLLVNNEGVLKVADFGLANF CNASGSNKQPLTSRVVTLWYRPPELLLGATEYGASVDLWSVGCVFAELLLKKPVLQGR TEVEQLHKIFKLCGSPPADYWKKSKLPHAMLFKPQQHYDGCLRETLKDLSEADITLIE TLLSVEPHKRGTASAALVSQYFTSEPFACDPSSLPVYSPSKEIDAKHREDATRKKISG NGRRGTEVRKPTRKPPAFAKLPPPNCVQDARRPSQTLQKRYGHSVHNSIDSDASLYGK LQNPSSEHEKEEASHVKHASQGDVPFSGPLQVSVSSGFAWAKRRKDGSHNRSLSRGYI PNLLGPSPAFSESTDVESKINENEKDSQDREAYEMLKLSMLKKWRQLERPDSFDASDE YHSQELSLALYQREEKAAKLNHLGYEDNDEKIEFSGPLLSQSYGVDELLKRQERQIRQ LVRKSLFQKVKKQGK" gene <20353616..>20356658 /locus_tag="BRARA_H02410" /db_xref="Phytozome:Brara.H02410" mRNA join(<20353616..20353695,20354024..20354061, 20355144..20355335,20355521..20355653,20355734..20355841, 20356028..20356121,20356218..20356367,20356447..20356500, 20356572..>20356658) /locus_tag="BRARA_H02410" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02410" CDS join(<20353616..20353695,20354024..20354061, 20355144..20355335,20355521..20355653,20355734..20355841, 20356028..20356121,20356218..20356367,20356447..20356500, 20356572..>20356658) /locus_tag="BRARA_H02410" /codon_start=1 /product="hypothetical protein" /protein_id="RID51767.1" /db_xref="Phytozome:Brara.H02410" /translation="QTHFSVTFELIDPTRPSAGDDRRRFFRFNGSVTYFKGCSIQVPC RKLSSLSADLSCLELRRYPVRRGSVSIMSYSRLVRPVTASVQPQRVSALGNEGNTVPS KEILDLWRGVEAVCFDVDSTVCVDEGIDELAEFCGAGKAVAEWTARAMGGSVPFEEAL AARLSLFKPSLSKVDEYLQKTPPRLSPGIEELVKKLRANKIDVYLISGGFRQMINPVA SVLGIPRENIFANNLLFGNSGEFVGFDEKEPTSRSGGKAKAVQHIRKGRSYKTMAMIG DGATDLEARKPGGADLFICYAGVQLREAVAAQADWL" gene complement(20358487..20362114) /locus_tag="BRARA_H02411" /db_xref="Phytozome:Brara.H02411" mRNA complement(join(20358487..20359504,20359599..20361172, 20361540..20362114)) /locus_tag="BRARA_H02411" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02411" CDS complement(join(20359061..20359504,20359599..20361172, 20361540..20361666)) /locus_tag="BRARA_H02411" /codon_start=1 /product="hypothetical protein" /protein_id="RID51768.1" /db_xref="Phytozome:Brara.H02411" /translation="MAAKLLHTLADENSDLQKKIGCMNGIFQLFDRHNHVRKSLALGN AHLSSINFERDSSFQFQDTNVINATSEKLTESSRVSFSSSCSSSSLLSSELNREETQP EISPYDRVIFPESPTSDPVMSRGKGFAAHMGLDLRDVVRDSMYREVRGISEVCRHKRR DDSPRPYGLKHSTPVDFNESCKALAKLRTTSQRCYNEVDMKDASHNKLKSGKKVKESP KLSLDSRDHVDLKAGDSRLSRSSSMNKRPPSVVAKLMGLETLPGSPLGSPRSLGKGTA SSSSSSPRWRSSEFVMKPLSSLRFPTEPAPWKQTDRRSLIKKKQACKPVLQSMERRLK GVESNHPKKDLRALNEILEAMQTKSVFGSRKQPQCSNSRGLQNQVMPPSTMRGPIVII KPARLVERSGIPSSSLIPIHSLSGLNREEEESVHVKRNSSSKKAAKELSISSGDSKSS SRNVRSSQVYKESTSPRLRHQVKKHENEKCSRPPTTLSDSSISRRQTNRQAEGSTTNT PGGRRRPRAQRSLQQNNDQQCSTETEATMIIKQATEAGDGKSPTVVETAKTVVSNLMQ NKSSPKLSEDGPSEHPSPVSVLNASIYRDIKPSPMKIQATEGTKTDHVTGGNGLIDLG DEHCEDEEQWNPAYSFSEATTTTIFSPEINRKKLQNVEHLVQKLKRLNSSHDETSQDY IASLCENNDPNTDHRYISEILLASGLLLRDLG" gene complement(20362568..20365863) /locus_tag="BRARA_H02412" /db_xref="Phytozome:Brara.H02412" mRNA complement(join(20362568..20362965,20363072..20363132, 20363226..20363411,20363508..20363571,20363658..20363739, 20363828..20365354,20365727..20365863)) /locus_tag="BRARA_H02412" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02412" mRNA complement(join(20362568..20362965,20363072..20363132, 20363226..20363411,20363508..20363571,20363658..20363739, 20363828..20365368,20365727..20365863)) /locus_tag="BRARA_H02412" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02412" CDS complement(join(20362808..20362965,20363072..20363132, 20363226..20363411,20363508..20363571,20363658..20363739, 20363828..20365316)) /locus_tag="BRARA_H02412" /codon_start=1 /product="hypothetical protein" /protein_id="RID51769.1" /db_xref="Phytozome:Brara.H02412" /translation="MMDTVSLVTIPETGVTLHIDPTKEGSLPDANMDGSSRKKPKRRK KKKKEEEALCVEEKTLDVVEKTTEEDQLHPPKNPLSNIRIQEDSSHQGQDSCEKPEDS QSGAVDMSSSERKKKRRKKKKSNDINALRESGVDTSSLVTMECDARHSSEAQGSKLKT TKEKNGDGTVDKQKGADAEADDTVSETQNPKVKKKKRRKTKTTEVREAVEDTLATSME SGPVECMETASVCEVKSKTRKRKKKKTSLSDQETADMEVCDPSENTLATSMESRPVEC MGTASVCEVKSKKRKRKKKKTSLSDQETADMEVCDPSKNTLPGTIESGSVECLLDHSN KEVLENCDKNAGQELVAEEETKDEIGETEQKRKKKKKKESCELSEEVSEPKQKKKKKK KKKKSCEDDKTDNMDVEKKDDVSVPRSERELESDGIKLGTSLSSSVLIPDNGVATQET GDVPRCSCKGQSTRKLVVFDLNGILADIARGNTGKCVPDGKISLRSVFKRPFVATFLD FCFEKFDVGIWSSRRIGLNYMTNIVMGRHAKNLLFSFDQRICITTKFKTLGNTTKPLF LKNLRTVWNRFGTCLSCGKRKYDETNTLLVDDSPDKALCNPPHTGIFPFPYQYTDRED SALGPDGELMKYMERLVDAENVQKFVEKNPMGQSAITETHESWSFYSRVIEAHKS" CDS complement(join(20362808..20362965,20363072..20363132, 20363226..20363411,20363508..20363571,20363658..20363739, 20363828..20365316)) /locus_tag="BRARA_H02412" /codon_start=1 /product="hypothetical protein" /protein_id="RID51770.1" /db_xref="Phytozome:Brara.H02412" /translation="MMDTVSLVTIPETGVTLHIDPTKEGSLPDANMDGSSRKKPKRRK KKKKEEEALCVEEKTLDVVEKTTEEDQLHPPKNPLSNIRIQEDSSHQGQDSCEKPEDS QSGAVDMSSSERKKKRRKKKKSNDINALRESGVDTSSLVTMECDARHSSEAQGSKLKT TKEKNGDGTVDKQKGADAEADDTVSETQNPKVKKKKRRKTKTTEVREAVEDTLATSME SGPVECMETASVCEVKSKTRKRKKKKTSLSDQETADMEVCDPSENTLATSMESRPVEC MGTASVCEVKSKKRKRKKKKTSLSDQETADMEVCDPSKNTLPGTIESGSVECLLDHSN KEVLENCDKNAGQELVAEEETKDEIGETEQKRKKKKKKESCELSEEVSEPKQKKKKKK KKKKSCEDDKTDNMDVEKKDDVSVPRSERELESDGIKLGTSLSSSVLIPDNGVATQET GDVPRCSCKGQSTRKLVVFDLNGILADIARGNTGKCVPDGKISLRSVFKRPFVATFLD FCFEKFDVGIWSSRRIGLNYMTNIVMGRHAKNLLFSFDQRICITTKFKTLGNTTKPLF LKNLRTVWNRFGTCLSCGKRKYDETNTLLVDDSPDKALCNPPHTGIFPFPYQYTDRED SALGPDGELMKYMERLVDAENVQKFVEKNPMGQSAITETHESWSFYSRVIEAHKS" gene complement(20366062..20369063) /locus_tag="BRARA_H02413" /db_xref="Phytozome:Brara.H02413" mRNA complement(join(20366062..20366447,20366514..20366700, 20366788..20366974,20367054..20367194,20367274..20367438, 20367540..20367692,20367775..20367927,20368096..20368263, 20368347..20368496,20368734..20369063)) /locus_tag="BRARA_H02413" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02413" CDS complement(join(20366319..20366447,20366514..20366700, 20366788..20366974,20367054..20367194,20367274..20367438, 20367540..20367692,20367775..20367927,20368096..20368263, 20368347..20368496,20368734..20368896)) /locus_tag="BRARA_H02413" /codon_start=1 /product="hypothetical protein" /protein_id="RID51771.1" /db_xref="Phytozome:Brara.H02413" /translation="MRWERVRQQVGSSSGPGKRWGHTCNAVKGGTFLYVFGGYGTDNR QTNQVHVFDAAKQIWTQPMISGTPPPPRDSHSCTTVGDNLIVFGGTDGIKSLNDLYIL DTSSHTWKCQSVRGEAPAPREGHTATLVGKRLFVFGGCGKSSDVNDEIYYNDLYVLNT ETYVWKRAATIGCPPSARDSHTCSSWKNKIVVIGGEDGHDYYLSDVHILDTDTFVWKE LNTSGQLLTPRAGHVTVSLGKNLYVFGGFTDAQNLYDDLYVLDLDTCVWSKVLTIGEG PSARFSSAGACLDPHRSGFLVFVGGCNKNLEALDDMFYLHTGLGYDARLDQNVGSMSL KKQLKLKCQEQSHASSLYDKSLVGINMDHQGRGSFGLNNGQFDQGKMMFQAKVTERFP VGYSIETMINGKVLRGVLFSTKQSSVLASDQSLSRKRPAMSNGDHDNSLKVPRTLSKE QTDAAESKDSPPVGMEGGGSGLISNPLDVNINTAAVLDMGTVNTAAPSSSVPETDEAS LESRNAVSIDVGATNKTGPGESS" gene complement(<20371138..>20372145) /locus_tag="BRARA_H02414" /db_xref="Phytozome:Brara.H02414" mRNA complement(<20371138..>20372145) /locus_tag="BRARA_H02414" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02414" CDS complement(20371138..20372145) /locus_tag="BRARA_H02414" /codon_start=1 /product="hypothetical protein" /protein_id="RID51772.1" /db_xref="Phytozome:Brara.H02414" /translation="MESSTNNNEFIFFSSPQFDDKSLSSSAASVQLKLSKDMPLKFCG HASGLFCLRRMPISKEAGDEKEEYTQHVICNPSTGQYGFLPRVRTGSKSVLGFDPIDK VFKVVSSNSTYSSRTNVVNVFTLGTGEVEWRKIYSPLDHYPWSKGICINGVLYYLALR LHATTFYIVCFDVRSEKFKFMDTYKFTHYTTRLINYEGKLGLVRWTAYSESIMTMWVL EDVEKHDWSEHLFTLPGDKFSGFVSVVGVTATGEIVLMNNSYHSNPFYVFYFHPGRNT VKRLEVQGFENHGGSRVYAFVDHVDDLTFNMNSWQLHQDVLPRFESFNKSRALSLLDD V" gene complement(20378311..20380848) /locus_tag="BRARA_H02415" /db_xref="Phytozome:Brara.H02415" mRNA complement(join(20378311..20379988,20380510..20380848)) /locus_tag="BRARA_H02415" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02415" CDS complement(join(20378543..20379988,20380510..20380647)) /locus_tag="BRARA_H02415" /codon_start=1 /product="hypothetical protein" /protein_id="RID51773.1" /db_xref="Phytozome:Brara.H02415" /translation="MRRRRVARRRLLSWIWLLLGSFSVAGLVLFMVQHRHQQQDPSQL NLERDTRTDNVSPPRLNFTEEVTSASSFARQLAEQMTLAKAYVFIAKEHNNLHLAWEL SSKIRTCQLLLSKAAMRGQPISLDEAKPIVTGLSSLIYKAQDAHYDIATSMMTMKSHI QALEERANAATVQTTVFGQSVAEAVPKSLHCLMIKLTSDWLTERREVADESRNSPRLV DNNLYHFCIFTDNVIAASVAVNSTVANADHPKQLVFHVVTNRVSYKAMQAWFLGNDFK GAAIEIRSVEEFSWLNASYSPVVKQLLDKDSGAYYFGDQETNSEPKVRNPKYLSLLNH LRFYIPEIYPQLEKIVFLDDDVVVQKDLTPLFSLDLHGNVNGAVETCLEAFHRYYKYL NFSDPLISSKFDPQACGWAFGMNVFDLIAWRKANVTGRYHYWQEKNRERTLWKLGTLP PGLLAFYGLTEPLDRRWHVLGLGYDVNIDNRLIETAAVIHYNGNMKPWLKIGIGRYKP FWFKFLNSSHPYLQDCVTA" gene complement(20384502..20386533) /locus_tag="BRARA_H02416" /db_xref="Phytozome:Brara.H02416" mRNA complement(join(20384502..20385420,20385854..20385983, 20386088..20386533)) /locus_tag="BRARA_H02416" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02416" CDS complement(join(20384685..20385420,20385854..20385983, 20386088..20386223)) /locus_tag="BRARA_H02416" /codon_start=1 /product="hypothetical protein" /protein_id="RID51774.1" /db_xref="Phytozome:Brara.H02416" /translation="MVRTPCCKAELGLKKGAWTPEEDQKLVSYVNCHGEGGWRTLPEK AGLKRCGKSCRLRWANYLRPDIKRGEFTEDEERSIISLHALHGNKWAAIARGLPGRTD NEIKNHWNTHIKKRLIKKGVDPVTHKSLISDKSENLPEIPEKQNVIQTIITSDDDLDN EKVKNNNEKPGLSSAKFLNRVANRFGKRINQSVLSEIIGSGGPLTTTTTSHTATTTSV TVNSESDKSTSSSFTPTSDLLCQMTVNGNATSSPSTFSEASVNDSLMYCDNEDNLGFS NFLNDEDFMMLEESSVDNTEFMKELSRFLEEDVNDDVEVMPVYEHQDNIEDIDNYFA" gene 20393299..20394656 /locus_tag="BRARA_H02417" /db_xref="Phytozome:Brara.H02417" mRNA join(20393299..20393494,20393865..20393971, 20394057..20394238,20394338..20394656) /locus_tag="BRARA_H02417" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02417" CDS join(20393319..20393494,20393865..20393971, 20394057..20394238,20394338..20394493) /locus_tag="BRARA_H02417" /codon_start=1 /product="hypothetical protein" /protein_id="RID51775.1" /db_xref="Phytozome:Brara.H02417" /translation="MYHKRGLWAIKAKNGGVFPRHDAKSKVVAPAEKAAKFYPAEDVK KPLANRRKPKPTKLKASITPGTVLIILAGRFKGKRVVFLKQLTSGLLLVTGPFKINGV PLRRVNQSYVIGTSTKVDISGVSIEKFDDKYFGKVAEKKTKKGEGEFFEADKEAKKEF PQERKEDQKTVDAALVKAIEAVPELKTYLGARFSLSQGMKPHELVF" gene complement(<20394755..>20395106) /locus_tag="BRARA_H02418" /db_xref="Phytozome:Brara.H02418" mRNA complement(join(<20394755..20394862,20394915..>20395106)) /locus_tag="BRARA_H02418" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02418" CDS complement(join(20394755..20394862,20394915..20395106)) /locus_tag="BRARA_H02418" /codon_start=1 /product="hypothetical protein" /protein_id="RID51776.1" /db_xref="Phytozome:Brara.H02418" /translation="MKNQLRQLKDIFDRFDMDGDGSLTILELAALLRSLGLKPSGDQI HVLLASMDSNGNGFVEFDELLLDIFKSFDRDGNGFNSAAELAGAMAKMGQPLELA" gene complement(<20395635..>20396606) /locus_tag="BRARA_H02419" /db_xref="Phytozome:Brara.H02419" mRNA complement(join(<20395635..20395946,20396100..>20396606)) /locus_tag="BRARA_H02419" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02419" CDS complement(join(20395635..20395946,20396100..20396606)) /locus_tag="BRARA_H02419" /codon_start=1 /product="hypothetical protein" /protein_id="RID51777.1" /db_xref="Phytozome:Brara.H02419" /translation="MFRLSNFVVGLANTLVMLVGASAIGYSIYMFVHHDVTDCESAIR APLLTTGIILFLVSLIGVIGSCFKENVAMVSYLIILFAGILALMIFSIFIFFVTNKGA GHVVSGRVYREYHTVDFSTWLNGFVGGKRWVGIRSCLAEASVCDDLSDGRVSQIADAF YHKNLSPIQSGCCKPPSDCNFQFRNATFWMPPAKNATTVATENGDCGEWSNVQTELCF NCNACKAGVLANIREKWRHLLVFNICLLVLLITVCSCGCRARRNNLAATESDRA" gene complement(20397449..20398868) /locus_tag="BRARA_H02420" /db_xref="Phytozome:Brara.H02420" mRNA complement(20397449..20398868) /locus_tag="BRARA_H02420" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02420" CDS complement(20397644..20398819) /locus_tag="BRARA_H02420" /codon_start=1 /product="hypothetical protein" /protein_id="RID51778.1" /db_xref="Phytozome:Brara.H02420" /translation="MSSRETNPNGICKDIPNLISSFVDTFVDYSFSGTFPPHDPTPPH EIPQTRFEKPDRLVAIGDLHGDLEKSKQAFKLAGLIDSSDRWTGGSTMVVQVGDVLDR GGDELKILYFLERLKREAERNGGKIVTMNGNHEIMNVEGDFRYVTEKGLEEVQVWLDW YCLGNEMKSLCQGLENVKDPYEGIPTCFPRAKPECFQGIRARIAALRPEGPVAKRFLS KNQTVAVVGDSVFVHGGLLAEHIEYGLERINEEVRGWIGGGGGGGRYAPVYCRGGNSV VWLRKFSDERPHKCDCSALEHALSTIPGVKRMIMGHTIQDGGINGVCDDKAIRIDVGM SKGCSDGLPEVLEISKDSGVRIVTSNPLYKEKGGESKMGLGLLVPAEHVPKQVEVKA" gene 20405005..20410207 /locus_tag="BRARA_H02421" /db_xref="Phytozome:Brara.H02421" mRNA join(20405005..20405333,20405908..20405998, 20406102..20406186,20406389..20406473,20406559..20406628, 20406964..20407033,20407173..20407218,20407308..20407557, 20407646..20407851,20407922..20407996,20408084..20408153, 20408250..20408330,20408453..20408532,20408742..20410207) /locus_tag="BRARA_H02421" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02421" CDS join(20405218..20405333,20405908..20405998, 20406102..20406186,20406389..20406473,20406559..20406628, 20406964..20407033,20407173..20407218,20407308..20407557, 20407646..20407851,20407922..20407996,20408084..20408153, 20408250..20408330,20408453..20408532,20408742..20408820) /locus_tag="BRARA_H02421" /codon_start=1 /product="hypothetical protein" /protein_id="RID51779.1" /db_xref="Phytozome:Brara.H02421" /translation="MSCRRVLKSIQALAAHTLLFCFTLFLVLKLDHTLSSSWWMVFLP LWAFHAVVARGRFSLPAPVAPRNRHWAPCHAVVATPLLVAFELLLCIYLESSYGSWPP AVSLKVASLPLLAFEVTILIDNLRMCRALMPGDDDSTSDEAIWEALPHLWVAISMVFT LAATFFTLLKLSGDIAALSWWDLFINIGIAECFAFLVCTKWSNPVIHRSSRARETASS STSIRYLDWNSGLVVPPEEDRHQDRFCSLQDIGGHMLKIPVIVFQVVLCMHLEGTPER AKDISIPVLFSPLFLLQGLGVLFAASKLIEKIVVLLRGEAGPGLYFRFSSRAHDCLGF LHHGSRLLGWWSIDEGNREEQARLYIDGESGYNTFSGHPPEIVKKMPKEDLAEEVWRL QAALGEQTEITKFSQQEYERLQNEKVLCRVCFEREISLVLLPCRHRVLCRLCSDKCKK CPACRITIEERLPVYDV" gene complement(<20409219..20412974) /locus_tag="BRARA_H02422" /db_xref="Phytozome:Brara.H02422" mRNA complement(join(<20409219..20410158,20410236..20410311, 20410590..20410642,20410711..20410767,20410851..20411188, 20411635..20412034,20412119..20412292,20412714..20412974)) /locus_tag="BRARA_H02422" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02422" CDS complement(join(20409219..20410158,20410236..20410311, 20410590..20410642,20410711..20410767,20410851..20411188, 20411635..20412034,20412119..20412292,20412714..20412754)) /locus_tag="BRARA_H02422" /codon_start=1 /product="hypothetical protein" /protein_id="RID51780.1" /db_xref="Phytozome:Brara.H02422" /translation="MQRFVDDALAVTKESVKTLTYESLNNFARFINGVSALLLTLIPG KASVLEGLHGWELRPTPRGPRLPRWMLNGVSSFNRFIHELSLDSDTSSLEYSSLEDDG EEEDSDGISTPPSPLSQTSLRSWASLPEYYERHWTDWITFIVWLVLLPARILLRVPFY LLRLFCRQDSPMSPRRRYRRSSRPIPGKEHHVPIRTTDRRRGVIEDLQLGTEIFIEAV FDFFHKAAHLLLSPSEAFEIISSWFSSKEDYGDDVSDDELVQTYTLGDEDSSLTERPI TSLYNTDTRTCQDVITELGYPYEAIRVVTSDGYGLLLERIPRREARKAVYLQHGALDS SMGWVSNGVVGSPAFAAFDQGYDVYLGNFRGLVSRDHVNKNISSKDFWSYSINEHARE DIPAIIEKIHEIKTSELKLYQPNVEEVEQPYKLCLLSHSLGCAAVLMYVITRRIEEKP HRLSRLILLSPAGFHEDSNLFFTLIEHSFLLLGPVLSRIFPAFYIPTRFFRMLFNKLA RDFHNYPAVGGLVQTLMGYVVGGDSSNWVGVIGLPHYNMDDMPAVSFRVALHLTQIKR TRKFRMFDYGSVGANMEVYGSPEPLDLGEFYGLIDVPVDLVAGKKDKVIRPSMVRKHY MLMRESGVADVSYSEFEYAHLDFTFSHREELLAYVMSRLLLVEPAKTQPVRKKGMKLK KKMETAKTEK" gene complement(20413235..20417104) /locus_tag="BRARA_H02423" /db_xref="Phytozome:Brara.H02423" mRNA complement(join(20413235..20413559,20413648..20413716, 20413854..20413918,20414011..20414073,20414158..20414220, 20414310..20414372,20414512..20414578,20414687..20414738, 20414896..20414956,20415035..20415088,20415267..20415320, 20415391..20415468,20415623..20415677,20415807..20415856, 20415940..20416000,20416096..20416176,20416253..20416326, 20416412..20416567,20416724..20416815,20416923..20417104)) /locus_tag="BRARA_H02423" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02423" CDS complement(join(20413514..20413559,20413648..20413716, 20413854..20413918,20414011..20414073,20414158..20414220, 20414310..20414372,20414512..20414578,20414687..20414738, 20414896..20414956,20415035..20415088,20415267..20415320, 20415391..20415468,20415623..20415677,20415807..20415856, 20415940..20416000,20416096..20416176,20416253..20416326, 20416412..20416567,20416724..20416815,20416923..20416935)) /locus_tag="BRARA_H02423" /codon_start=1 /product="hypothetical protein" /protein_id="RID51781.1" /db_xref="Phytozome:Brara.H02423" /translation="MYGGDEVSAIVVDLGSHTCKAGYAGEDAPKAVFPSVVGAVDGVE AMEVDVDSAKTNSNSEDKSEKDKGKRKLCVGSQALNYRRDHMEILSPIKDGIVSDWDL VDNIWEHAFRSCLMIDPKEHPMLLAEPPLNTQQQREKAAELMFEKYKVPALFMAKNPV LTSFATGRATSLVVDCGGGSTTISPVHEGYVLQKAVVSNPIGGEFLTDCLLKSLESKG IKIRPRYSFKRKEVRPGEFQVENVGLPDTTESYKLFCQRMIVGDIKDSICRVPDTPYD DKSYSNIPTTSYELPDGQTLEIGADKFKIPDVMFNPSIVQTIPGMEKYADMIPSVRGL PHMVMESINKCDVDIRRELYSSILLAGGTSSMQQLKERLEKDLIEESPHSARVKVLAS GNTTERRFSVWIGGSILASLGSFQQMWFSKSEYEEHGASYIQRKCP" gene 20419205..20421889 /locus_tag="BRARA_H02424" /db_xref="Phytozome:Brara.H02424" mRNA join(20419205..20419879,20420631..20420669, 20420752..20420822,20420912..20420976,20421062..20421151, 20421229..20421321,20421391..20421889) /locus_tag="BRARA_H02424" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02424" CDS join(20419392..20419879,20420631..20420669, 20420752..20420822,20420912..20420976,20421062..20421151, 20421229..20421321,20421391..20421792) /locus_tag="BRARA_H02424" /codon_start=1 /product="hypothetical protein" /protein_id="RID51782.1" /db_xref="Phytozome:Brara.H02424" /translation="MICSSGRTIVIATGNSLPFQESSGDGIRIHLPINVAARRRSPMR SIPSPLVLPPGTSPLFSTRELNRFVTVIQTKEDKQRKGKVCVSSRKRNVVCCISPTPQ PTKTVVVDDEVAVRRGLAMRRVLEDNGGDGSSVRDFSFFTTERGDTLFTQSWTRVGSV KNRGIVVLLHGLNEHSGRYNDFAKQLNVNGFKVYGLDWIGHGGSDGLHAYVPSLDYAV TDLSSFLEKVIAENPGLPCFCVGHSTGGAIILKAMLDPKIEARVSGIVLTSPAVGVQP SHPIFGVIAPFLAFLIPRYQLSAAKKKIMPVSRDPEALLAKYSDPLVYTGFIRARTGY EILRLGSHLLENLNRIKVPFLVLHGTADTVTDPKATQRLYDEASSSDKSIKLFDGLLH DLLFEPERGIIAGVILDWLNRRV" gene 20422441..20425038 /locus_tag="BRARA_H02425" /db_xref="Phytozome:Brara.H02425" mRNA join(20422441..20422689,20422758..20422846, 20422935..20423217,20423478..20423524,20423738..20423775, 20423860..20423905,20424016..20424069,20424177..20424245, 20424372..20424448,20424540..20424635,20424745..20425038) /locus_tag="BRARA_H02425" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02425" CDS join(20422659..20422689,20422758..20422846, 20422935..20423217,20423478..20423524,20423738..20423775, 20423860..20423905,20424016..20424069,20424177..20424245, 20424372..20424448,20424540..20424635,20424745..20424829) /locus_tag="BRARA_H02425" /codon_start=1 /product="hypothetical protein" /protein_id="RID51783.1" /db_xref="Phytozome:Brara.H02425" /translation="MASVASKLYSDDVSLLVLLLDTNPFFWDTTSTTFSQFLSHVLAF LNAVLGLNQLNQVVVIATGYSSCDYVYDSSLTSNVSSESRGTGGMPALFGSLLEKLED FISRDAELSQGDEHVDRIASSLLSGSLSMALCYIQRIFRTGHLHPQPRILCLQGSPDG PEQYVAVMNSIFSAQRLMVPIDSCYIGTQNSAFLQQASYITGGVHHAPKQLGGLFQFL TTIFATDLHTRGFVQLPKPVGVDFRASCFCHKKTIDMGYVCSVCLSIFCEHHKKCSTC GSVFGQSKLDGANASSSVNDRKRKAPDS" gene 20425323..20427495 /locus_tag="BRARA_H02426" /db_xref="Phytozome:Brara.H02426" mRNA join(20425323..20425419,20425494..20425596, 20425831..20425910,20426008..20426307,20426422..20426498, 20426653..20426695,20426773..20426838,20426973..20427031, 20427147..20427495) /locus_tag="BRARA_H02426" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02426" CDS join(20425396..20425419,20425494..20425596, 20425831..20425910,20426008..20426307,20426422..20426498, 20426653..20426695,20426773..20426838,20426973..20427017) /locus_tag="BRARA_H02426" /codon_start=1 /product="hypothetical protein" /protein_id="RID51784.1" /db_xref="Phytozome:Brara.H02426" /translation="MDSKNRRKILEKKKKIHDLIKRASSVVDPLSPFDSFRRYTKNEL SVYLEPGRGDRLSSSLKQHIRKLLKKNMEGFYGSNWPIQAKVKRTEMTSPDARYIFVR ELRYGSKAYETASKEGCDQIAGFVHYRFTLEEEIPVLYVYEIQLESRIQGKGLGEFLM QLIELIASKNQMSAIVLTVQTSNALAMTFYMSKLGYRISSISPSKANLPTFSAKYEIL CKTFDSEAKCVLESDGEPTRDCVSSIE" gene complement(<20427887..>20428799) /locus_tag="BRARA_H02427" /db_xref="Phytozome:Brara.H02427" mRNA complement(join(<20427887..20427972,20428060..20428132, 20428228..20428418,20428640..>20428799)) /locus_tag="BRARA_H02427" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02427" CDS complement(join(20427887..20427972,20428060..20428132, 20428228..20428418,20428640..20428799)) /locus_tag="BRARA_H02427" /codon_start=1 /product="hypothetical protein" /protein_id="RID51785.1" /db_xref="Phytozome:Brara.H02427" /translation="MFNDSSAEPAAGSSGSNENVTKIEPIRMPTIEEMRAQEVWNNCA VKSVASGVMGGGLGLMMGLFMGALDNPIMHDTMTGRQQFVYTAKQMGQRSWGSCKTFA VMGLVYSAAECIVEKARAKHDIINTAVAGCVTGGTMSARGGPKAACMGCVGFATFSVL IEKFFDRHT" gene complement(20429483..20430786) /locus_tag="BRARA_H02428" /db_xref="Phytozome:Brara.H02428" mRNA complement(join(20429483..20430161,20430435..20430786)) /locus_tag="BRARA_H02428" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02428" CDS complement(join(20429705..20430161,20430435..20430622)) /locus_tag="BRARA_H02428" /codon_start=1 /product="hypothetical protein" /protein_id="RID51786.1" /db_xref="Phytozome:Brara.H02428" /translation="MTGFSVSLIVSNFSNVASYLSPIFETIPSKVVPAQIEKVVSLVS RTGRDLQRYDNSGYRQVVGCVPYRYKTQQVNGTESKEIEVLLISAQKGKGMLFPKGGW EIDESMEEAALRETIEEAGVTGELEEKLGKWQYKSKRHNIIHHGYMFALLVDQEFERW PEAEMRQRKWVGLDEAGEVCQNWWMREALEAFVNVKCNTEEVEIEASNESGNDV" gene complement(<20432786..>20433283) /locus_tag="BRARA_H02429" /db_xref="Phytozome:Brara.H02429" mRNA complement(<20432786..>20433283) /locus_tag="BRARA_H02429" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02429" CDS complement(20432786..20433283) /locus_tag="BRARA_H02429" /codon_start=1 /product="hypothetical protein" /protein_id="RID51787.1" /db_xref="Phytozome:Brara.H02429" /translation="MGNTSSCSPSNSSSGVVKILAPFTGTLEIFSKPIKTSQIVSQNS GLFITDSTLLQIGHRVTAVSPDEFLRPRRHLYLLLPTDMLYSVLTHEELALISEKAAE ILNESRHNHLKKIFRRRNSSSVKDDNHHHDHGGVEIRETLEEKVLYESKYGSWRPGLE TIVES" gene complement(20435410..20436545) /locus_tag="BRARA_H02430" /db_xref="Phytozome:Brara.H02430" mRNA complement(join(20435410..20435675,20436040..20436099, 20436187..20436545)) /locus_tag="BRARA_H02430" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02430" CDS complement(join(20435551..20435675,20436040..20436099, 20436187..20436529)) /locus_tag="BRARA_H02430" /codon_start=1 /product="hypothetical protein" /protein_id="RID51788.1" /db_xref="Phytozome:Brara.H02430" /translation="MEILKFAVIFVLCSISSSNAATTPPSGGAGDAHSMPCIQKLMPC QPYLRSVTPPPPASCCMPLKEIVETDVNCLCSVFNNVDMLKSLNLTKENALVLPKACG ANADVSQCKASTGTTTPSTSPGTTKTPPASPAESGSTGGSASSTAKPSDSAPAINFSG ISFASAFVALATIFF" gene 20439871..20440644 /locus_tag="BRARA_H02431" /db_xref="Phytozome:Brara.H02431" mRNA 20439871..20440644 /locus_tag="BRARA_H02431" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02431" CDS 20439930..20440454 /locus_tag="BRARA_H02431" /codon_start=1 /product="hypothetical protein" /protein_id="RID51789.1" /db_xref="Phytozome:Brara.H02431" /translation="MASTNPETAKAKPASVDIANPEELKKVFDQFDSNGDGKISVAEL GGVLKAMGTSYTETELNRVLEDVDADRDGYINVDEFSTLCRSSSTASEIRDAFDLYDQ DKNGLISASELHQVLNRLGMSCSAEECTRMIGPVDADGDGNVNFEEFQKMMTSSSLTN SNNGSTAAANSSST" gene 20441092..20442468 /locus_tag="BRARA_H02432" /db_xref="Phytozome:Brara.H02432" mRNA join(20441092..20441454,20441883..20442468) /locus_tag="BRARA_H02432" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02432" CDS join(20441234..20441454,20441883..20442342) /locus_tag="BRARA_H02432" /codon_start=1 /product="hypothetical protein" /protein_id="RID51790.1" /db_xref="Phytozome:Brara.H02432" /translation="MAEETYDEECDYLFKAVLIGDSAVGKSNLLSRFTRDEFRLDSKP TIGVDFAYRNVRVCGKTIKAQIWDTAGQERFRAITSSYYRGALGALLIYDITRRQTFQ NIKKWLSELRGFSSPDTVVVLVGNKSDLQQSREVDEEEGKSLAELEGLYFLETSALQN QNVEEAFLSMIERIHEVLIQKIALDNKSNGDDGDVPVVPTGREIVNIDEVTATRPLST SFSNCCLK" gene 20442894..20446359 /locus_tag="BRARA_H02433" /db_xref="Phytozome:Brara.H02433" mRNA join(20442894..20443077,20443299..20444276, 20444558..20444668,20444777..20444836,20444948..20445005, 20445169..20445221,20445323..20445415,20445572..20445697, 20445768..20445815,20445886..20446359) /locus_tag="BRARA_H02433" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02433" CDS join(20443024..20443077,20443299..20444276, 20444558..20444668,20444777..20444836,20444948..20445005, 20445169..20445221,20445323..20445415,20445572..20445697, 20445768..20445815,20445886..20446251) /locus_tag="BRARA_H02433" /codon_start=1 /product="hypothetical protein" /protein_id="RID51791.1" /db_xref="Phytozome:Brara.H02433" /translation="MANWISSKLKAAETILQQIDQQAAESLRKDEKPETTYDEAFETF SKSATLVSLKDQLRKKTNEGSSSSDGSQRNSIVLKPRGKTLRRSDQAQERATSKSLRE DKPITTLTDNDWTEILSAPPNNQGTSTSKPRTPRGATSVNQRLKKDGKKNPLVSDGKK SNGNGGRPHKQMNKEVSSRPSDADMENKNDSQDTHKETEKDVNAAAPPPLDDSSRLTN ETFIREKLSHVGRKDGREPRRSDVWGEQVKGEVSGSNVSDGLKRKDSSFSSGDSESDY ESDSSTDSERERQRDERRRRREKVFAEKVATKAVEVIKERENMVARLEGEKQSLEKIV EERAKQQAQEAAELQTNMMETLDAADLEKQKHNNTRMEAFSRLAGLEAANTELTRSLA AGQKKLETRIDQVTVLREQVELKESALEVLKQKASNIRGSGNLLSKLDASRGDIFEHQ MLEAEISLLTDKIGRLQDKANKLEADISTMKKELEEPTEVETELRRRLDQLTDHLIQK QSQVEALSSDKATLSFRIEAVTRLIEENKGMSATEASSQDFEAGEWKLSGSKLKPAFQ SKIRSGKKHLGWLVMQLNAIFVSGTVFLRRNPTAKIWVLVYLVCLHLWVLYILLSHSS TSSSNELKSGAVISLENFSNSSLQ" gene <20446709..>20446966 /locus_tag="BRARA_H02434" /db_xref="Phytozome:Brara.H02434" mRNA <20446709..>20446966 /locus_tag="BRARA_H02434" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02434" CDS 20446709..20446966 /locus_tag="BRARA_H02434" /codon_start=1 /product="hypothetical protein" /protein_id="RID51792.1" /db_xref="Phytozome:Brara.H02434" /translation="MRSKRCRAPQEKITLKVTNLEDEGKDVYEIGVHVHLERLMLDYC QRRNLDYTTLSFIFNGTFIRPLQTPAQLMMENDDIIDTVMD" gene <20447556..>20449998 /locus_tag="BRARA_H02435" /db_xref="Phytozome:Brara.H02435" mRNA join(<20447556..20447589,20447671..20447759, 20447829..20447960,20448089..20448184,20448253..20448312, 20448933..20448974,20449073..20449239,20449331..20449445, 20449543..20449616,20449701..20449820,20449905..>20449998) /locus_tag="BRARA_H02435" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02435" CDS join(20447556..20447589,20447671..20447759, 20447829..20447960,20448089..20448184,20448253..20448312, 20448933..20448974,20449073..20449239,20449331..20449445, 20449543..20449616,20449701..20449820,20449905..20449998) /locus_tag="BRARA_H02435" /codon_start=1 /product="hypothetical protein" /protein_id="RID51793.1" /db_xref="Phytozome:Brara.H02435" /translation="MPTRPHHKVYYDTHTGERIAIKKINDVFDHVSDATRILRDQRVQ RYYYVVFELTHSDLHQVIKANDDLTPEHYQFFLGSASPWSENILTNADCKLKICDFGL ARVSFNDAPTAIFWTDYVATRWYRAPELCGSFFSKTDFLGTPPPESISKIRNEKARRY LSSMRKKQPVPFSHKFPKADPLALRLLERLLAFDPKDRASAEDVSEDGLSNSEREPST QPISKLEFDFERKKLNKDDVRELIYREILEHHPQMLEEYKRGCDQLSFMYPSGVDRFK RQFAYLEENQGKPEGKPGAGRSTALHRHHASLPRERVPAPKGETAQGSSDVESRAAAA VASTFE" gene 20454028..20457245 /locus_tag="BRARA_H02436" /db_xref="Phytozome:Brara.H02436" mRNA join(20454028..20454175,20454579..20454730, 20454808..20455052,20455718..20455846,20455939..20457245) /locus_tag="BRARA_H02436" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02436" CDS join(20454074..20454175,20454579..20454730, 20454808..20455052,20455718..20455846,20455939..20457050) /locus_tag="BRARA_H02436" /codon_start=1 /product="hypothetical protein" /protein_id="RID51794.1" /db_xref="Phytozome:Brara.H02436" /translation="MKTFGFLMISTFLVLFATLLPYSSASTTRRFHFNVEWKKVTRLC HTKQLLTVNGQYPGPAVVVNEGDTVEIKVTNRIAHNTTIHWHGLRQYRSGWADGPAYI TQCPIRSKQSYTYRFKVEDQRGTLLWHAHHSWQRASVYGAFIIYPRQPYPFSGSHIQS EIPIILGEWWNDDVDKVEKQMLKTGAGAKVSDAYTLNGLPGPLYPCSTKDTFTANVEA GKTYILRIINAALNNELFFAISNHTLTVVEVDAVYTKPVHTKAIMIAPGQTTTLLLRT NHLSDGEFLIAATPYVTSVFPFNNSTAVGFLRYNNKSKPVNHPNRRRLITSLSTRAVL PDMLDTKFATRFSDSIKSLGSAEYPCKVPTTIDKRVITTISLNLQNCPENQTCSGYDG KRFFASMNNVSFIRPPISILESYYKKQSKGVFTLDFPEKPPSRFDFTGVDPVSENMNT EFGTKLFEVDFGARLEIVFQGTSFLNIENHPLHVHGHNFFVVGRGFGNFDVEKDPEKY NLVDPPERNTIAVPTGGWAAIRINADNPGVWFIHCHLEQHTSWGLAMGFIVKDGPLPS QTLLRPPRDLPKC" gene complement(20457252..20460396) /locus_tag="BRARA_H02437" /db_xref="Phytozome:Brara.H02437" mRNA complement(join(20457252..20458078,20458165..20458257, 20458346..20458443,20458519..20458619,20458720..20458834, 20458928..20459077,20459158..20459202,20459584..20459662, 20459738..20459912,20460071..20460107,20460227..20460396)) /locus_tag="BRARA_H02437" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02437" CDS complement(join(20457319..20458078,20458165..20458257, 20458346..20458443,20458519..20458619,20458720..20458834, 20458928..20459077,20459158..20459202,20459584..20459662, 20459738..20459912,20460071..20460107,20460227..20460304)) /locus_tag="BRARA_H02437" /codon_start=1 /product="hypothetical protein" /protein_id="RID51795.1" /db_xref="Phytozome:Brara.H02437" /translation="MGIKDLLRFMKPYILPIHIQKYAGTRVGIDAYSWLHKGAYSCSM ELCLDTDGKKKLRYIDYFMHRISLLQHYEIIPVVVLDGGHMPCKAATGDERQRKRKAN FDAAMVKLKEGNVGAAVEFFQRAVSVTSSMAHQLIQVLKSENVEFIVAPYEADAQLAY LSSLELEQGGIAAVITEDSDLLAYGCKAVIFKMDRYGKGEELILDNVFQAVDQKPSFQ NFDQELFTAMCVLAGCDFLPSVPGVGISRAHGFISKYQSVERVLSVLKTKKGKLVPED YSSSLMEAVSVFQYARVYDFHGKKLKHLKPLSQNLLNLPVEELEFLGPDLSPSVAAAI AEGKVDPITMEAFNRFSVSSRQLKTPVQSFKEQEKRSSFLLLPLSESEERINLKRSAD EAIIGPESVLEEPKYSKQDLDLHKLVLQQPNKDHMIIPTSNPSLAPDNNPFKIRKTDE INMEEYGLQELKVSFETNGEAMDVTSSLNSNEPDCSESQKEGTIDLSKLDDSGIKQDS EKNREKGSSVDSEDVVEVQGHVNITTKRVRGAKPRAESFKVKTSYRSSEDKKAKINKK SSILDFFHRL" gene complement(20460748..20462382) /locus_tag="BRARA_H02438" /db_xref="Phytozome:Brara.H02438" mRNA complement(join(20460748..20461044,20461409..20462382)) /locus_tag="BRARA_H02438" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02438" CDS complement(join(20460943..20461044,20461409..20462290)) /locus_tag="BRARA_H02438" /codon_start=1 /product="hypothetical protein" /protein_id="RID51796.1" /db_xref="Phytozome:Brara.H02438" /translation="MAEGLVLKGTMRAHTDQVTAIATPIDNSDIIVSASRDKSIILWK LTKDDKSYGVAQRRLTGHSHFVEDVVLSSDGQFALSGSWDGELRLWDLAAGVSTRRFV GHTKDVLSVAFSLDNRQIVSASRDRTIKLWNTLGECKYTIAEGGEGHRDWVSCVRFSP NTLQPTIVSASWDKTVKVWNLSNCKLRSTLAGHSGYVSTVAVSPDGSLCASGGKDGVV LLWDLAEGKKLYSLEANSVIHALCFSPNRYWLCAATEQGIKIWDLESKSVVEDLKVDL KAEAEKSDGSGTAGNKKKVIYCTSLSWNADGSTLFSGYTDGVIRVWGIGRY" gene <20464052..>20467796 /locus_tag="BRARA_H02439" /db_xref="Phytozome:Brara.H02439" mRNA join(<20464052..20464055,20464560..20464601, 20464689..20464742,20464837..20464944,20465139..20465250, 20465340..20465385,20465472..20465543,20465639..20465701, 20465782..20465936,20466015..20466079,20466166..20466313, 20466613..20466718,20466798..20466933,20467027..20467091, 20467212..20467379,20467567..20467639,20467738..>20467796) /locus_tag="BRARA_H02439" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02439" CDS join(20464052..20464055,20464560..20464601, 20464689..20464742,20464837..20464944,20465139..20465250, 20465340..20465385,20465472..20465543,20465639..20465701, 20465782..20465936,20466015..20466079,20466166..20466313, 20466613..20466718,20466798..20466933,20467027..20467091, 20467212..20467379,20467567..20467639,20467738..20467796) /locus_tag="BRARA_H02439" /codon_start=1 /product="hypothetical protein" /protein_id="RID51797.1" /db_xref="Phytozome:Brara.H02439" /translation="MDLEAEIRALQLDSAASEDHDQEILLPVHNPAKAKEKAAQEKAA KEEEAEEEAEANKKRHLNAVFIGHVDAGKSTIGGQILFLSGQVDDRQIQKYEKEAKDK SRESWYMAYIMDTNEEERAKGKTVEVGRAHFETKNTRFTLLDAPGHKSYVPNMISGAS QADIGVLVISARKGEFETGFEKGGQTREHVQLAKTLGVSKLVVVVNKMDDPTVNWSKE RYDKIEQKMVPFLKSSRYNTKKDVIFLPISGLMGVNMDKRMDRNVCPWYSGPCFFEVL DSIEVPPRDNGPFRMPIIDKFKDMGTVVMGKVESGSIKEGDSLIIMPNKEPVKVVAIY CDEDKVKRAGPGENLRVRITGIEDEDILSGFVLSSTVKPVPAVTEFVAQLQIIGLPEK AVLTAGYKAILHIHAVVEECEIMELISEIDMETREPMKNKRVRFVKNGAGVVCRIQVT NSICVEKFSDFPQLGRFTLRTEGKTVAVGKVTALSGASSSA" gene 20468520..20471770 /locus_tag="BRARA_H02440" /db_xref="Phytozome:Brara.H02440" mRNA join(20468520..20469093,20469416..20469428, 20469477..20469494,20469560..20469769,20470398..20470574, 20470742..20470924,20471009..20471068,20471163..20471770) /locus_tag="BRARA_H02440" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02440" CDS join(20470431..20470574,20470742..20470924, 20471009..20471068,20471163..20471453) /locus_tag="BRARA_H02440" /codon_start=1 /product="hypothetical protein" /protein_id="RID51798.1" /db_xref="Phytozome:Brara.H02440" /translation="MMVSPASWVFSPSSSIFFNHRQRLLSVKSTVDGRNQTVPPGQSQ TPNKEVTESVSVLKTAAKTRKVAAEEILAAFAAIEKAKVDPSPFLETLGGSESPGRTW MLIFTAEKKLKKGRYFPLTAVQRFDAAGKRIENGVYLGPLGALTFEGKFSWKNRILAF IFEQIRIKIGPLDPIKIGLGKKDAEEEPSNKDPFFIWFYVDEEIAVARGRSGGTAFWC RCRRIAS" gene 20473082..20475582 /locus_tag="BRARA_H02441" /db_xref="Phytozome:Brara.H02441" mRNA join(20473082..20473242,20473432..20473730, 20473818..20473926,20474347..20474472,20474616..20474851, 20474932..20475189,20475292..20475582) /locus_tag="BRARA_H02441" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02441" CDS join(20473138..20473242,20473432..20473730, 20473818..20473926,20474347..20474472,20474616..20474851, 20474932..20475189,20475292..20475340) /locus_tag="BRARA_H02441" /codon_start=1 /product="hypothetical protein" /protein_id="RID51799.1" /db_xref="Phytozome:Brara.H02441" /translation="MAEMEQPKKVADRYLKQEVLGQGTYGVVFKATDTKTGETVAIKK IRIGKHKEGVNITALREIKMLKELKHPHIILLIDAFPHKQNLHLVFEFMETDLEGVIR DSNVFLSPADVKSYLLMTLKGLAYCHEKRVLHRDMKPNNLLIGPDGQLKLADFGLARI FGSPDRKFTHQVFARWYRAPELLFGAKQYGAAVDVWAAGCIFAELLLRRPFLQGNSDI DQLSKIFAAFGTPKADQWPDMKNLPDYVEYQFVPAPSLRSLFPTVSEDALDLLSKLFT YDPKARISVKQALEHRYFTSAPSPTDPAKLPKPVRKQEGKASYGKHEAIKVISPPRKI RRVMPERGRLDGMKFQVDKDQQAPMSLDFTILAERPPNRPTITSADRSHLKRKLDLDF Q" gene 20475983..20480173 /locus_tag="BRARA_H02442" /db_xref="Phytozome:Brara.H02442" mRNA join(20475983..20476608,20476698..20476775, 20476857..20476964,20477365..20477427,20477518..20477679, 20477792..20477905,20477994..20478056,20478153..20478482, 20478556..20478720,20478799..20479653,20479740..20479807, 20479897..20480173) /locus_tag="BRARA_H02442" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02442" CDS join(20476513..20476608,20476698..20476775, 20476857..20476964,20477365..20477427,20477518..20477679, 20477792..20477905,20477994..20478056,20478153..20478482, 20478556..20478720,20478799..20479653,20479740..20479807, 20479897..20479936) /locus_tag="BRARA_H02442" /codon_start=1 /product="hypothetical protein" /protein_id="RID51800.1" /db_xref="Phytozome:Brara.H02442" /translation="MANVQQRYGITEPISLGGPTELDVVKTRELEKYLQDVGLYEGKE EAVRREEVLGRLDQIVKTWIKTISRAKGLNDQLLHEANAEIFTFGSYRLGVHGPGADI DTLCVGPRHATREGDFFGELQRMLSEIPEVTELHPVPDAHVPLMGFKLNGISIDLLYA QLPLWVIPKDLDISQDSILQNADEQTVRSLNGCRVTDQILRLVPNIENFRTTLRCMRF WAKRRGVYSNVSGFLGGINWALLVARICQLYPNALPNMLASRFFRVYTQWRWPNPVLL CSIDGGSFGLQVWDPRRNPKDRLHMMPIITPAYPCMNSSYNVSASTLRIMTGEFQRGK DICEAMEANKADWDTLFEPFAFFEAYKNYLQIDISAANVDDLRKWKGWVESRLRQLTL KIERYTYDMLQCHPHTHDYQDASRPLHCSYFMGLQRKQGVPAAEGEQFDIRRTVEEFK HTVNGYMLWIPGMEIGVSHIKRRSIPSFVFPGGVRPSHASKGTWDSKRRSENRITSTA SAATATTTNEASSESKGGSNSSGDGKKRKRGDDETLTDQLKNSKRLSVSVPVENGEGG SPDPSGGSVCSSPPKDCCTNGKSDPVIKDPPESVVVFSKDATESHPIEKIATPQARSQ ETEELEDGFDFGNQVIEQISNKDTFLAASATILPSETNTSNGSPFSNEAVEELEVLPM PQPEVMHRASVQQRKPIIKLNFTSLGKTNGK" gene complement(20480321..20482772) /locus_tag="BRARA_H02443" /db_xref="Phytozome:Brara.H02443" mRNA complement(join(20480321..20480683,20480779..20480913, 20480994..20481092,20481187..20481351,20481837..20482772)) /locus_tag="BRARA_H02443" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02443" CDS complement(join(20480549..20480683,20480779..20480913, 20480994..20481092,20481187..20481351,20481837..20482379)) /locus_tag="BRARA_H02443" /codon_start=1 /product="hypothetical protein" /protein_id="RID51801.1" /db_xref="Phytozome:Brara.H02443" /translation="MSSTTIGEHIRLRRARHQTIRHSAADDDPPLTHVVPPISQPTRF CNSAMSSFLLLPTKKKQLGFPQAASFRGMNCTAAAAAHEVSVPSAIRSSADWDKKKKK RNKKKKKKKKGSYEDGSVRFLSEARDVDGSGGCVAVPDVWCGPGLGFSTDAVSVDPPR RNLPSSRRKIDVDKNSSNQTEGSSVLTRRFTNQESHSHAFMGSDSTFMTSSHVEPTLL SSRYHGHLRRPYPDDLTEMMMLRNGFVMGRTTDSLDHFHSLRLDVDNMSYEQLLELGD RIGYVNTGLKESEIRRCLRKINPSVSNTLADRKCSICQDEYERECQVGKLECGHSFHV QCVKQWLSRKNACPVCKKTAYVKP" gene complement(20485300..20486777) /locus_tag="BRARA_H02444" /db_xref="Phytozome:Brara.H02444" mRNA complement(join(20485300..20485622,20486006..20486428, 20486546..20486777)) /locus_tag="BRARA_H02444" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02444" CDS complement(join(20485435..20485622,20486006..20486428, 20486546..20486663)) /locus_tag="BRARA_H02444" /codon_start=1 /product="hypothetical protein" /protein_id="RID51802.1" /db_xref="Phytozome:Brara.H02444" /translation="MMCSRGHWRPAEDEKLRELVQQFGPHNWNAIAQKLTGRSGKSCR LRWFNQLDPRINRTPFTEEEEERLLASHRIHGNRWSVIARFFPGRTDNAVKNHWHVIM ARRGRERSKMRPRSLGHDGTEAGPGMMGYKDCDMKRRLATTIDINYPYSFSHINHFQI LKEFLTGKIGFCNSTTPINEGALDQTKRPMEFYNFLQVKTDSKPEVIHNSRKEEDEEE EDVDNCVPFFDFLSVGNSASKGLC" gene complement(20488811..20490389) /locus_tag="BRARA_H02445" /db_xref="Phytozome:Brara.H02445" mRNA complement(join(20488811..20489672,20490054..20490389)) /locus_tag="BRARA_H02445" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02445" CDS complement(join(20489282..20489672,20490054..20490376)) /locus_tag="BRARA_H02445" /codon_start=1 /product="hypothetical protein" /protein_id="RID51803.1" /db_xref="Phytozome:Brara.H02445" /translation="MSGELCQSEYHSQLSKFNTFLEMFSFLIPLWDANKVTIASVASV VFLAFAGLTLAVSAVALVVSAPLFIIFSPILVPATIATTLLATGFTAGATLALTAIGL IIGLIKTAEGTRLALSAQRTLKLLKFSGGYWGFWGGKTFSGSFGDILKWLQKQPWFKG IPAGEAAPPAGGAAPPAAEAAPPAAEAAPTAAEAAPPAAEAAPPAAGGAAPPAAGGAA PPPADGAAPPPAGGAAPSM" gene 20491919..20495607 /locus_tag="BRARA_H02446" /db_xref="Phytozome:Brara.H02446" mRNA join(20491919..20492447,20492519..20492596, 20492677..20492794,20492862..20493050,20493139..20493579, 20493651..20493752,20493828..20494028,20494097..20494525, 20494592..20494681,20494753..20495607) /locus_tag="BRARA_H02446" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02446" CDS join(20492541..20492596,20492677..20492794, 20492862..20493050,20493139..20493579,20493651..20493752, 20493828..20494028,20494097..20494525,20494592..20494681, 20494753..20495139) /locus_tag="BRARA_H02446" /codon_start=1 /product="hypothetical protein" /protein_id="RID51804.1" /db_xref="Phytozome:Brara.H02446" /translation="MKKKRFHRHTPHQIQRLESAFNECQHPDEKQRMQLSRELGLAPR QIKFWFQNRRTQKKAQHERADNCALKEENDRIRCENIAIREALKHTICPTCGDAPSHE DSYFDEQKLRIENAHLREELERVSSIAAKFMGRPLSHLPPLLNQMPFHSGGLSLDFDL IPGSGSSMAAPTLPSQPNLVLSDIDKSLMTNVAVTAMEELLRLTQTNEPLWIKNDGAR DVLNLESYENMFPRASSRGGKNHNSRVEASRSSGIVFINAITLVDMLMDSVKSAELFP SVVASSKTLAVVSSGLRGNHGDALHLMLEELQMLSPLVPTREFSLLRYCQQIEHGTWA IVNVSYELPQFMSHSRPYRFPSGCLIQDMSNGYCKVTWVEHVEISEQEPIHEMFKDSV REGLAFGAERWIATLQRMCERFAALMEPATSSMIPSPEGKRSIMKLAQRMVSNFCLSV GTSNNTRSTVVSGMNEFGIRVTSYKSHHEPNGMVLCAATSFWLPVSPLIVFNFLKDER TRPQWDVLLNGSSVQEVAHIANGSHPGNCISVLRGFNASSSQNNMLILQESCVDSSGS LVVYTPLDLPALNMAMSGQDTSYIPILPSGFAISPDGSRSSQIPELKVEGGSGGGGSL ITVGFQIMVSSLQSGKLNMESMETVNNLISSTVHHIKTTLNCPSTA" gene <20496368..>20497098 /locus_tag="BRARA_H02447" /db_xref="Phytozome:Brara.H02447" mRNA join(<20496368..20496389,20496469..20496832, 20496840..>20497098) /locus_tag="BRARA_H02447" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02447" CDS join(20496368..20496389,20496469..20496832, 20496840..20497098) /locus_tag="BRARA_H02447" /artificial_location="low-quality sequence region" /codon_start=1 /product="hypothetical protein" /protein_id="RID51805.1" /db_xref="Phytozome:Brara.H02447" /translation="MADATGSEINQVRLCRKKTAKIFVAGHRGLVGSAIVRKLQESGF TNLLLKTHSELDLTNQSDVKSFFATEKPAYVILAAAKVGGSHANNTYPADFISVNLQI QTNVIHSAYTHGVKKLLFLGSSFPLRFGVEVTIKELAELVKEVVGFEGKLVWDCSKPD GTPRKLMESSKLAALGWTPKVSIRDGLRQTYEWYLENVVQRKQLKKIIQVIAFI" gene 20497506..20499022 /locus_tag="BRARA_H02448" /db_xref="Phytozome:Brara.H02448" mRNA join(20497506..20497618,20497924..20497991, 20498083..20498231,20498312..20498368,20498459..20498497, 20498598..20499022) /locus_tag="BRARA_H02448" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02448" CDS join(20497924..20497991,20498083..20498231, 20498312..20498368,20498459..20498497,20498598..20498782) /locus_tag="BRARA_H02448" /codon_start=1 /product="hypothetical protein" /protein_id="RID51806.1" /db_xref="Phytozome:Brara.H02448" /translation="MNREKLMKMANTVRTGGKGTVRRKKKAVHKTNTTDDKKLQSTLK RIGVNSIPAIEEVNIFKDDVVIQFTNPKVQASVGANTWVVSGSPQTKKLEDILPQILS HLGPDNMENLKKLAEQFKKQSPGGGNVPATIQEDDDEDDDVPELVAGETFEAAAEEKV AAASS" gene complement(<20499089..>20499676) /locus_tag="BRARA_H02449" /db_xref="Phytozome:Brara.H02449" mRNA complement(<20499089..>20499676) /locus_tag="BRARA_H02449" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02449" CDS complement(20499089..20499676) /locus_tag="BRARA_H02449" /codon_start=1 /product="hypothetical protein" /protein_id="RID51807.1" /db_xref="Phytozome:Brara.H02449" /translation="MSSLLCIFLLVAVFISHRGATTEAVVELVTDIYGQRLRTGTKYY ILPVVRGRGGGLTMSKAENKTCPKSVIQERFEVSNGMPLTFSPSDKSKVIRVSTDLNF KFSATSVWNLDNVDEKTNQWFIGTCGVEGNPGRTTVGNWFKIDKYEDHYKIVFCPSVC DICRVMCRDVGVFVQDGIRRLVLSDVPLKVMFKRA" gene 20505734..20507290 /locus_tag="BRARA_H02450" /db_xref="Phytozome:Brara.H02450" mRNA 20505734..20507290 /locus_tag="BRARA_H02450" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02450" CDS 20505798..20507078 /locus_tag="BRARA_H02450" /codon_start=1 /product="hypothetical protein" /protein_id="RID51808.1" /db_xref="Phytozome:Brara.H02450" /translation="MFNSEEEQPRAKKRKEETERSLESCLTDDLVLNCVARVSRSDLA TLSLASKSYRSLVASPDLYKIRSLIGRTETHIYVCVRTPGPDPTLGWYILRRSETSSG LIPIPSSSSSALPLEGSSVVVLDWGIFVIGGFITKGGEQRTGNVWLLDCRTHTWRRVP SMGVGRAYGAAGVVDGKIYVFGGYDVVDDHWGQVFDPKTQTWDTLPMPKVKMVSNKNI HDSFVRDHKVYAVDGMYRTYYYSPREGKWGSGNRGEQKGSRRDWCMVDNLIYCLSRNG TVFWCEPDELDLLRGEEMMNTKEVKGLGSSLKTSLLLSRVVHVGDQILHRWEQTKIRN GHPPNKKSKLGRIRELEDLIPGARLINSGGGNIVLFWDHLSMDSLEIWCAEISLERRQ GDEIWGKTEWSSVVMTFEPWPNHYKVLHSVSVTL" gene 20508698..20509691 /locus_tag="BRARA_H02451" /db_xref="Phytozome:Brara.H02451" mRNA 20508698..20509691 /locus_tag="BRARA_H02451" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02451" CDS 20508704..20508949 /locus_tag="BRARA_H02451" /codon_start=1 /product="hypothetical protein" /protein_id="RID51809.1" /db_xref="Phytozome:Brara.H02451" /translation="MIDVQAVPGAAGAAGGDVIDIEFGVNGLSTRDEAALTLQNLVNA QVAIDHVRVTLEAALQGDDEELIKSITAFAKDLLKEA" gene 20516462..20518878 /locus_tag="BRARA_H02452" /db_xref="Phytozome:Brara.H02452" mRNA join(20516462..20516851,20517423..20517640, 20517716..20517950,20518045..20518130,20518208..20518299, 20518396..20518878) /locus_tag="BRARA_H02452" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02452" CDS join(20516759..20516851,20517423..20517640, 20517716..20517950,20518045..20518130,20518208..20518299, 20518396..20518667) /locus_tag="BRARA_H02452" /codon_start=1 /product="hypothetical protein" /protein_id="RID51810.1" /db_xref="Phytozome:Brara.H02452" /translation="MWWSSSSEARSNLERLIGGITPKPPSFSLSQSSESDLNSLWTQE SKDETEYFKLSDLWDCFDELSTYGLGSKVDLNNGESVMQYYVPYLSAIQIYTSKAPAI SRNQSEVVDCESECWSDDSETEKFSRSMSSGSSKVWDSVSDDSAYELDGISSPMQDKL GYVEFQYFESAKPNLRVPLTTKVNELAEKYPGLMTLRSVDLSPASWMAIAWYPIYHIP SRMTDQDLTTCFLSYHTLSSALQGDLVEGDNENNKTMEEETVPCDDEPVVTKRLPLAP FGLVTYKLHGGLWGNQESCDQERLIYLGSAAESWMKQLNVHDHHDYTFFSMNKSL" gene 20522678..20524714 /locus_tag="BRARA_H02453" /db_xref="Phytozome:Brara.H02453" mRNA join(20522678..20524005,20524093..20524138, 20524220..20524321,20524412..20524714) /locus_tag="BRARA_H02453" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02453" CDS join(20522881..20524005,20524093..20524138, 20524220..20524321,20524412..20524461) /locus_tag="BRARA_H02453" /codon_start=1 /product="hypothetical protein" /protein_id="RID51811.1" /db_xref="Phytozome:Brara.H02453" /translation="MSSDHGGGGASKKKNHQNRSKPMAGPRPNRSVPLPSEDDDYPML KVSLSSISKLEVRNLKRKLTAELDEVRSLMTRFDPQPQSKKMKQTGNKKGTAQILKSC NALLTKLMKHKDGWVFNVPVDAKGLGLHDYHSIVKEPMDLGTVKAKLGGGLYESPLDF AEDVRLTFNNAILYNPVGHEVHSMAKFLLNMFEEKWVSIEVQFDNLNRKVKPTRDVAL FSPPVVEALPAPPPPLLPPAVVEERTLERAEESMTTPVEPETVTTSALEKPEEEEEEA PVDVRDLTMDEKRRLSEELQDLPYDKLETVVQIIKKSNPELSQQDDEIELDIDSVDIQ TLWELYRFVIGYKEGLSNKKEDQGFGSERDAESVHNSIQEPTILATGTETSRANESGK AIRMSSPGRQENNPGGSSSSNSSSSDTGSGSSDSDSDSSSGRGSDTGN" gene complement(20524732..20526463) /locus_tag="BRARA_H02454" /db_xref="Phytozome:Brara.H02454" mRNA complement(join(20524732..20525061,20525218..20525372, 20525452..20525716,20525797..20525919,20526375..20526463)) /locus_tag="BRARA_H02454" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02454" mRNA complement(join(20524732..20525061,20525218..20525372, 20525452..20525716,20525797..20525919,20526236..20526463)) /locus_tag="BRARA_H02454" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02454" CDS complement(join(20524864..20525061,20525218..20525372, 20525452..20525716,20525797..20525889)) /locus_tag="BRARA_H02454" /codon_start=1 /product="hypothetical protein" /protein_id="RID51812.1" /db_xref="Phytozome:Brara.H02454" /translation="MNTESKGRAWYGKIYRKLETILVEVDSLAAQGKVSLSSSDPPGL DSVRDGEPDHRLRTEQGDCDQKATTSLCRNDPKSSQACNDDDTFVSLSGNCTETPAAP GHDKVANMRSFSSNSMMVNQDEFFIEDFDEAPLDTIDLYDMTFREDPSDFDDNLLYAT RDRSRQLRSFKGKIMDALTSKRRREKEYEQLAIWFGDAEMGCDAMNTKKHETASLNTK SSEPNVPFASEDSEWELL" CDS complement(join(20524864..20525061,20525218..20525372, 20525452..20525716,20525797..20525889)) /locus_tag="BRARA_H02454" /codon_start=1 /product="hypothetical protein" /protein_id="RID51813.1" /db_xref="Phytozome:Brara.H02454" /translation="MNTESKGRAWYGKIYRKLETILVEVDSLAAQGKVSLSSSDPPGL DSVRDGEPDHRLRTEQGDCDQKATTSLCRNDPKSSQACNDDDTFVSLSGNCTETPAAP GHDKVANMRSFSSNSMMVNQDEFFIEDFDEAPLDTIDLYDMTFREDPSDFDDNLLYAT RDRSRQLRSFKGKIMDALTSKRRREKEYEQLAIWFGDAEMGCDAMNTKKHETASLNTK SSEPNVPFASEDSEWELL" gene 20527626..20533285 /locus_tag="BRARA_H02455" /db_xref="Phytozome:Brara.H02455" mRNA join(20527626..20527985,20528057..20528119, 20528212..20528321,20528442..20528542,20528749..20528810, 20528888..20529028,20529145..20529273,20529517..20529563, 20529823..20529886,20529991..20530111,20530228..20530286, 20530373..20530435,20530652..20530792,20531142..20531206, 20531343..20531439,20531626..20531685,20531960..20532112, 20532217..20532283,20532374..20532622,20532705..20532896, 20532984..20533285) /locus_tag="BRARA_H02455" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02455" CDS join(20527932..20527985,20528057..20528119, 20528212..20528321,20528442..20528542,20528749..20528810, 20528888..20529028,20529145..20529273,20529517..20529563, 20529823..20529886,20529991..20530111,20530228..20530286, 20530373..20530435,20530652..20530792,20531142..20531206, 20531343..20531439,20531626..20531685,20531960..20532112, 20532217..20532283,20532374..20532622,20532705..20532896, 20532984..20533156) /locus_tag="BRARA_H02455" /codon_start=1 /product="hypothetical protein" /protein_id="RID51814.1" /db_xref="Phytozome:Brara.H02455" /translation="MADKYNVEEAEALAKRALHLPITQATPIYEQLLSLYPTSARFWK QYVETQMAVNNDDATKQIFSRCLLTCLQVPLWQCYIRFIRKVYDKKGAEGQEETTKAF EFTLNYIGTDIASGPIWTEYITFLKSLPALNPHEDMQRKIALRKVYQRAILTPTHHVE QLWKEYENFENSVNRQLAKGLVNEYQPKFNSARAVYRERKKYIEDIDWNMLAVPPTGS SKEEVQWVAWKKFLTFEKGNPQRIDTALSTKRIIYVYEQCLMCLYHYPDVWYDYAEWH IKSGSTDAAIKVFQRALKAIPDSEMLKYAYAELEEARGAIQSAKNLYESILGVSTNSL AQIQFLRFLRRVEGVESARKYFLEARKSPSCTYHVYIAFATMAFCLDKDPKAAHNIFE EGLKRYMTEPVYILEYADFLTRLNDDRNIRALFERALSTLPTEESAEVWNRFVQFEQT YGDLASILKVEQRRKEALFGKGEEGSPALESSLQDVVSRYSYMDLWPCTSNELDHLSR QELLVKNMNKKVEKTNQPHGSATIGSVASSSKVVYPDTAQMVVHDPAKKSGIEFSSSA KPVAASASNTFQSNVTATATHGSASTFDEIPKTTPPALLAFLANLPHVDGPTPNVDVV LSICLQSDLPTGQTAKQSFVAKGNVPSQNDPSGPNRGGSQRLPRDRRATKRKGSDRQE DDDTASIQSQPLPTDVFRLRQMRKARGISTSSQTPTGSASYGSAYSGELSGSTG" gene complement(20534085..20538445) /locus_tag="BRARA_H02456" /db_xref="Phytozome:Brara.H02456" mRNA complement(join(20534085..20535112,20535520..20536007, 20537790..20538445)) /locus_tag="BRARA_H02456" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02456" CDS complement(join(20534345..20535112,20535520..20536007, 20537790..20538399)) /locus_tag="BRARA_H02456" /codon_start=1 /product="hypothetical protein" /protein_id="RID51815.1" /db_xref="Phytozome:Brara.H02456" /translation="MALSSSCSTLKAVNSRWTSPAPKLAAIRRHSLLPELRYTPNVKL TATNALRTVEQPTLAEDNNLSTYGSDQDDQESLPKPRILVAEKLGEAGVNLLKDFGDV DCSYELSPEDLKKKVAESDALIVRSGTKVTREVFEAAKGRLKVVGRAGVGIDNVDLQA ATEYGCLVVNAPTANTVAAAEHGIALLASMARNVAQADASIKAGKWERSKYVGVSLVG KTLAIMGFGKVGTEVARRAKGLGMNVISHDPYAPADRARALGVELVSFDQAISTADFI SLHMPLTPATKKVFNDETFSKMKKGVRLINVARGGVIDEDALVRALDSGVVAQAALDV FCEEPPSKESRLIQHENVTVTPHLGASTKEAQEGVAIEIAEAVAGALRGELSATAVNA PMVAPEVLSELAPYIVLAEKLGRLAVQLASGGKGVQSIKVVYRSARDRDDLDTRLLRA MITKGIIEPISDSYVNLVNADFIAKQKGLRISEERTVVESSPEYPVDSIQVQISNVES SFAGAVSDGGAISIEGKVKYGVPHLTCVGSFGVDVSLEGNLILCRQVDQPGMIGQVGN ILGEQNVNVSFMSVGRTVVRKQAIMAIGVDEEPDKKTLERIGGVSAIEEFVFLKL" gene 20540611..20545366 /locus_tag="BRARA_H02457" /db_xref="Phytozome:Brara.H02457" mRNA join(20540611..20540985,20541727..20541830, 20541928..20542023,20542114..20542233,20542381..20542566, 20542838..20542929,20543196..20543314,20543473..20543596, 20543759..20543882,20543987..20544055,20544140..20544231, 20544327..20544404,20544500..20544567,20544647..20545366) /locus_tag="BRARA_H02457" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02457" mRNA join(20540611..20540985,20541727..20541830, 20541928..20542023,20542114..20542233,20542381..20542566, 20542838..20542929,20543196..20543314,20543473..20543596, 20543759..20543882,20543987..20544055,20544140..20544231, 20544327..20544404,20544500..20544567,20544647..20544787, 20544861..20545366) /locus_tag="BRARA_H02457" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02457" CDS join(20540856..20540985,20541727..20541830, 20541928..20542023,20542114..20542233,20542381..20542566, 20542838..20542929,20543196..20543314,20543473..20543596, 20543759..20543882,20543987..20544055,20544140..20544231, 20544327..20544404,20544500..20544567,20544647..20544783) /locus_tag="BRARA_H02457" /codon_start=1 /product="hypothetical protein" /protein_id="RID51816.1" /db_xref="Phytozome:Brara.H02457" /translation="MNGGGGDGAASSGGGGGGGPPPSLEWRFSQVFGERTAGEEVQEV DIISAIEFDKSGDHLATGDRGGRVVLFERTDNKDNGGSRRDAEQMDYTVRHPEFRYKT EFQSHEPEFDYLKSLEIEEKINKIRWCQPASGALFLLSTNDKTIKYWKVQEKKIKKIS EMNIDPSKTPGQPSSSSPPPVVANGVHADPAHDYLSKFSFPPGGLPSLRLPVVTSLET SLVARCRRVYAHAHDYHINSISNSSDGETFISADDLRVNLWNLEISNQSFNIVDVKPT NMEDLTEVITSAEFHPIHCNMLAYSSSKGSIRLIDMRQSALCDSHTKLFEEPEAPGSR SFFTEIIASISDIKFSKDGRYILSRDYMTLKLWDINMDSGPVASYQVHEHLRPKLCDL YENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGASQGSTEAATLEASKNPMRRQIQT PARPSRTISSMTRMVRRGSESPGAEANGNGYDFTNKLLHMAWHPTENSIACAAANSLY MYYA" CDS join(20540856..20540985,20541727..20541830, 20541928..20542023,20542114..20542233,20542381..20542566, 20542838..20542929,20543196..20543314,20543473..20543596, 20543759..20543882,20543987..20544055,20544140..20544231, 20544327..20544404,20544500..20544567,20544647..20544783) /locus_tag="BRARA_H02457" /codon_start=1 /product="hypothetical protein" /protein_id="RID51817.1" /db_xref="Phytozome:Brara.H02457" /translation="MNGGGGDGAASSGGGGGGGPPPSLEWRFSQVFGERTAGEEVQEV DIISAIEFDKSGDHLATGDRGGRVVLFERTDNKDNGGSRRDAEQMDYTVRHPEFRYKT EFQSHEPEFDYLKSLEIEEKINKIRWCQPASGALFLLSTNDKTIKYWKVQEKKIKKIS EMNIDPSKTPGQPSSSSPPPVVANGVHADPAHDYLSKFSFPPGGLPSLRLPVVTSLET SLVARCRRVYAHAHDYHINSISNSSDGETFISADDLRVNLWNLEISNQSFNIVDVKPT NMEDLTEVITSAEFHPIHCNMLAYSSSKGSIRLIDMRQSALCDSHTKLFEEPEAPGSR SFFTEIIASISDIKFSKDGRYILSRDYMTLKLWDINMDSGPVASYQVHEHLRPKLCDL YENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGASQGSTEAATLEASKNPMRRQIQT PARPSRTISSMTRMVRRGSESPGAEANGNGYDFTNKLLHMAWHPTENSIACAAANSLY MYYA" gene 20547320..20548664 /locus_tag="BRARA_H02458" /db_xref="Phytozome:Brara.H02458" mRNA join(20547320..20547525,20547639..20547760, 20547849..20548050,20548157..20548664) /locus_tag="BRARA_H02458" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02458" CDS join(20547379..20547525,20547639..20547760, 20547849..20548050,20548157..20548522) /locus_tag="BRARA_H02458" /codon_start=1 /product="hypothetical protein" /protein_id="RID51818.1" /db_xref="Phytozome:Brara.H02458" /translation="MANKNNIVIVFDFDKTIIDVDSDNWVVDELGFTELFEQLLPTMP WNSLMDRMMKELYDHGKTIEEIKQVLRRIPIHPRVIPAIKSAHALGCELRIVSDANTF FIETIVEHLGISEYFSEINTNPGLVDEQGRLRISPYHDFTKSSHGCSRCPPNMCKSLI IERIQASFTKEGNKMKMIYLGDGAGDYCPSLRLKAEDYMMPRKNFPVWDLISQNPGLV KATVRDWTDGEAMERILMGLINEIMEMEKMVSSDHCKISVGIVHEPLLPISLPVPLHL VK" gene 20549547..20555089 /locus_tag="BRARA_H02459" /db_xref="Phytozome:Brara.H02459" mRNA join(20549547..20549794,20549874..20550006, 20550178..20550296,20550452..20550599,20550704..20550848, 20550933..20551056,20551143..20551243,20551357..20551488, 20551585..20551665,20551919..20552109,20552206..20552278, 20552367..20552426,20552629..20552667,20552752..20552840, 20552945..20553009,20553096..20553142,20553367..20553426, 20553492..20553563,20553760..20553789,20553877..20553971, 20554068..20554173,20554269..20554331,20554421..20554495, 20554834..20555089) /locus_tag="BRARA_H02459" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02459" CDS join(20549653..20549794,20549874..20550006, 20550178..20550296,20550452..20550599,20550704..20550848, 20550933..20551056,20551143..20551243,20551357..20551488, 20551585..20551665,20551919..20552109,20552206..20552278, 20552367..20552426,20552629..20552667,20552752..20552840, 20552945..20553009,20553096..20553142,20553367..20553426, 20553492..20553563,20553760..20553789,20553877..20553971, 20554068..20554173,20554269..20554331,20554421..20554495, 20554834..20554908) /locus_tag="BRARA_H02459" /codon_start=1 /product="hypothetical protein" /protein_id="RID51819.1" /db_xref="Phytozome:Brara.H02459" /translation="MAPKKSNAVSKRHRPHEKFDKKRDTKKAKLAEKKVVSNHPTEEE EDLDSSEEEDAIEEESEAVVYRKPTTYDNLLASLGSSNKAVADMNKRRQREEEGKSDT EGDDEDEEEDDDSGSEDQISTDGEDDEIQGDDQGLTEDTEKENNDNLSEEEDSDGYET DEEVELSTNGQPLVDASSSASAFSEHLSHILSSEELKTLPEGKWKFKWEAPAIDMPNC RWKGTRQDFFDGVQSDAPYGLKPKLFKHWLQLYQKSGGKDFDSSKRRRFFSICNSYLD ILHSNKRPFYNKGREEDSSAMDAYLMHSLNHIFKTRDLVKKNESKIAKHRETSEEEII SDDGFLDQGFTRPKVLILLPMRSIAFRVVKRLIQLTPESQRVNVEHLDRFNAEFGCED ESDDDDEKTSKNGKSTTHKSSKPSDWQALFGEKNSDDEFMLGIKHTRKSIRLYGDFYS SDMIIASPLKLHMAIGQAEENKERDVDYLSSIEVLIIDHADIISMQNWSFLATVVDHI NRLPTKQHGTNVMRIRPLYLDGQARFYRQSIVLSSYLTPEMNGLFNRQCLNYKGKIKL ACEYKGVLEKVLLPVRQIYERFDADSMTQADNARLEYFTKKIFPKIKDSVEGGVMIFI PSYYDFVRVRNYLKSQNASFCLLGDYTKNADISRAREWFFAGSRKIMLYTERAYFYRR YKIRGIKNLIFYSLPERKEFYPEIMNMLDEGSYDMMSTALFSRFDLLKMERIVGTASA KRMISSDKSIFAFC" gene complement(<20557855..>20558640) /locus_tag="BRARA_H02460" /db_xref="Phytozome:Brara.H02460" mRNA complement(<20557855..>20558640) /locus_tag="BRARA_H02460" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02460" CDS complement(20557855..20558640) /locus_tag="BRARA_H02460" /codon_start=1 /product="hypothetical protein" /protein_id="RID51820.1" /db_xref="Phytozome:Brara.H02460" /translation="MTDDKVYPASKPPVAVNGGATANPTFPATKAQLYSANRPAYRPP APRRRTHSRGCCCRCCCWTIFVIIFLIVLVAAASAVVYLIYRPQRPSFTVSSLKVSSL NLTSATHLATAISLSVVARNPNKNVGFSYDVADITVYRVSPGDDDVVIGKGSIASFVH GKKNTTLLKSTVGSPPGDLDELSAGKLKGDLKAKRGVAVKIVLNTKVTVKMGAVKTPK SGIRVTCEGIRAVAPTGKKTTTAVTTAAKCKVDLRFKVWKFTF" gene complement(<20560901..>20565078) /locus_tag="BRARA_H02461" /db_xref="Phytozome:Brara.H02461" mRNA complement(join(<20560901..20561098,20561202..20562368, 20562482..20562793,20563159..20564248, 20564618..>20565078)) /locus_tag="BRARA_H02461" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02461" CDS complement(join(20560901..20561098,20561202..20562368, 20562482..20562793,20563159..20564248,20564618..20565078)) /locus_tag="BRARA_H02461" /codon_start=1 /product="hypothetical protein" /protein_id="RID51821.1" /db_xref="Phytozome:Brara.H02461" /translation="MSSWCGPSRRQVKYDVFLSFRGGDTRKNIISHLHKELVRQGIRT FKDDETLETGDRFPERLREAINTSRFAIVVISKNYASSRWCLEELRMIMKLQREKKIA VIPVFYEVDISDVRNHRSGFGLVQHHKDPKIPFWKDALRGIANTQATESRKCKDDATL VEGVVELVSDKLLSMLPMDLGDIVGMEADMDQIEHLLDMSFTTNEVRMVGIWGMAGIG KTAIAKNLYQKHKHHFKTHHCFMEKVSSLKAKSPLDLQKWLLSDILRKKDLKALNLGQ GAPCIKSRLVNLKSLIVIDDVDDVKQLDALAKEASWFGPGSRIIITTRDKSLLKLSSC AVYKVEYLKDDKALQIFQRFAFQGAEPPIAYNDLSISISQLAQGLPSALEDFGTYLRG KSAVEWRDALKSFQEALPEKTLVDLKSSYHGLDELGKTAFLHVACLFNGEPVRRVRKL LGQGKAGMRVLKEKSLIKVSSDRRITMHRLLEQMGKHIVRQESNNNPSQQRILWHHDD ILRVLDTNTSKHLIEGVVLDVCKLRAGVHINWDDFKPMYNLRFLKIYFSNQSGGVQPW KEYMTLENNFSVHKLRFLHWDAYPFTTLPTSISPDCLVELKLCYSKLKTLWRGTPKLA KLMKLDLTGSKDLTKLPNLKEAKSLEELILKGCSSLERIPHSICKLSRLQKIDVSNCD RLEKLNISISDSKDIGFEDTSMCLRSVHMFFFGTEPFLGNTQGCSLTDPSIRGNLQIY LKLLEGSADHLSFVSEDHVCREVDLKSPPYGFKSLDIMRFKWVEKGSESKCNSFSGFP WLQELNLINLNIKKIPDDIDQMHVLEKLDLSGNLFEKLPTTMSHLTKLKHLTLSNCRS LEELPELSQVESLTLSDCTNLRTLVKKHQGTTYNMLELWLDNCKKIESLPNELKHFTK LTCLDLSRHDFKTISSKMVGDLTSLATLSLNYCNNLVSLSGLPLSLKCLNAHGCKSLK TYSLQAAHSIDRIDLSPCPNGKDYSTFTRFPAGRRSKEETTTRRKVKHATCSHMSIFL RCLKSWLWDFFLCLLAVAVGIFLAVITDHVIATTLLMTIFMYLRL" gene complement(20566639..20568667) /locus_tag="BRARA_H02462" /db_xref="Phytozome:Brara.H02462" mRNA complement(join(20566639..20567300,20567373..20568667)) /locus_tag="BRARA_H02462" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02462" CDS complement(join(20566860..20567300,20567373..20568164)) /locus_tag="BRARA_H02462" /codon_start=1 /product="hypothetical protein" /protein_id="RID51822.1" /db_xref="Phytozome:Brara.H02462" /translation="MEEISPAVALTLSLANTMCDSGISSALDITELENVTDAVNMLCD QNGEVEYTMEEDVSASEVVISLPEETHHHNVARGGSRSVYELDCIPLWGTVSIRGERS EMEDAVRALPRFLKIPIKMLMGGDQEGMSPTLTHLTSHFFGVYDGHGGLQVADYCHDR IHFALAEEIEWIKEELYERLQVQWEKVFFDCFLKVDDEVKGKINRPVVGASDEMVLEA VSPETVGSTAVVALVCSSHIIVSNCGDSRAVLLRGKEAMPLSVDHKPDREDEYARIER AGGKVIQWQGARVSGVLAMSRSIGDQYLEPYVIPEPEVTFMPRAREDECLILASDGLW DVMSNQDACEFARRRILWWHKRNGALPLAERGVGEDQACQAAADYLSKLALQKGSRDN ISVIVVDLKAQRKLKIRS" gene <20569198..20572924 /locus_tag="BRARA_H02463" /db_xref="Phytozome:Brara.H02463" mRNA join(<20569198..20569354,20569436..20569533, 20569646..20569767,20569856..20570071,20570177..20570334, 20570425..20570465,20570556..20570633,20570954..20571716, 20572262..20572671,20572756..20572924) /locus_tag="BRARA_H02463" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02463" CDS join(20569198..20569354,20569436..20569533, 20569646..20569767,20569856..20570071,20570177..20570334, 20570425..20570465,20570556..20570633,20570954..20571716, 20572262..20572671,20572756..20572773) /locus_tag="BRARA_H02463" /codon_start=1 /product="hypothetical protein" /protein_id="RID51823.1" /db_xref="Phytozome:Brara.H02463" /translation="MAGRRVKGKDSNAITAVAIDKDKNSQHALKWALDHIVGDSPNCI LLHVQTKLRIGEGENTEAPHDNQEEAHKFFLPFRGFCAKKGIRATELLLHDIDIANAI VDYINKNSISNIVIGASARNTFLKKFKSVDVPTTLLKTTPDTCSVYIVSKDKLLTSRQ ASRPQTPQHNPQPSKQQSLLSILSDPGPTSFTSTESGRHYKPCLNMSSPGELSNELSS NRNSVESNASFYSILGRSTYGGSSHSSTSMVSNEEGLSGGNITEQENQNLELEVRRLR LELQHFNATMGRDTTPHLQAAPESEKLEETKIAREMLRELSEMDKQKTQSAIHANEAA HRLAEIEKQKRRLVEMQAKFNEQNMSNTVSYRRYSIKDVEDATDGFSDSLKIGEGGYG PVFKAVLENTSVAIKILKSDVSQGLKQFQQEVEVLSCMRHPNMVILLGACPEYGCLVY EYMENGTLEDRLFCKDDTPPLSWRARFRIAAEIATGLLFLHQAKPEPLVHRDLKPANI LLDEHMISKISDVGLARLVPPAVADSFTHYHMTAAAGTFCYIDPEYQQTGMLGVKSDL YSFGVVLLQILTAMPAMGLSHRVEQGIEKNRLREVLDPRISDWPEEETLVLAQLALQC CELRKKDRPDLATVLLPALSKLREFATEDHEVHSSGRTSVSRSHNSVPRSPISSSSQT EDAY" gene complement(20573296..20574167) /locus_tag="BRARA_H02464" /db_xref="Phytozome:Brara.H02464" mRNA complement(20573296..20574167) /locus_tag="BRARA_H02464" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02464" CDS complement(20573545..20574102) /locus_tag="BRARA_H02464" /codon_start=1 /product="hypothetical protein" /protein_id="RID51824.1" /db_xref="Phytozome:Brara.H02464" /translation="MATTDHTSSDESSRLYHPYQHFNVPINAQHLYKLPTSPEYLFTE ESLKKRRSWGENLTFYTGTGYLGGSLAGAASGFFSGVRSFEYGDTVKLKVNRILNSSG HAGRSLGCRIGVVGLIYAGIESGVVAYTDRDDAWTKVVAGFGTGAVFRAARGVRAAAV AGVLGGMASGAFVAGKRVLKRYAYI" gene 20574356..20576931 /locus_tag="BRARA_H02465" /db_xref="Phytozome:Brara.H02465" mRNA join(20574356..20574582,20575239..20575465, 20575581..20575665,20575975..20576061,20576195..20576483, 20576583..20576931) /locus_tag="BRARA_H02465" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02465" CDS join(20574433..20574582,20575239..20575465, 20575581..20575665,20575975..20576061,20576195..20576483, 20576583..20576614) /locus_tag="BRARA_H02465" /codon_start=1 /product="hypothetical protein" /protein_id="RID51825.1" /db_xref="Phytozome:Brara.H02465" /translation="MGNQKLKWTEEEEEALLAGVRKHGPGKWKNILRDPEFAGQLSNR SNIDLKDKWRNLSVAPDIQGSKDKVRTPKIKAAAFQLAAVAAASTPSPSSNHSPVPPL PRSGSDLSIDDSCNFMVDAKNAPRYDGMIFEALSSLTDANGSDVTAIYNFIVQKGHEV PPNFKRILGSRLRRLASQGKLEKTQNFYKMNNHSFMAMRTPVVARPKEVNVKPRQANS QGLTVSQEKVDHAAGTAAFKFVEVDEKLELLNAAVEERDRMIELAEQAELILLLAEEL HKECSVGKIVALN" gene complement(20577152..20580431) /locus_tag="BRARA_H02466" /db_xref="Phytozome:Brara.H02466" mRNA complement(join(20577152..20577506,20577633..20577664, 20579506..20579548,20579848..20579915,20580061..20580146, 20580364..20580431)) /locus_tag="BRARA_H02466" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02466" CDS complement(join(20577411..20577506,20577633..20577664, 20579506..20579548,20579848..20579915,20580061..20580130)) /locus_tag="BRARA_H02466" /codon_start=1 /product="hypothetical protein" /protein_id="RID51826.1" /db_xref="Phytozome:Brara.H02466" /translation="MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEP PKGASGGGEGFEVTKYDEDVVQVVKKKERDEGGRGRFKSHSNAPARIADREKKAPLKQ " gene complement(<20585514..>20585963) /locus_tag="BRARA_H02467" /db_xref="Phytozome:Brara.H02467" mRNA complement(<20585514..>20585963) /locus_tag="BRARA_H02467" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02467" CDS complement(20585514..20585963) /locus_tag="BRARA_H02467" /codon_start=1 /product="hypothetical protein" /protein_id="RID51827.1" /db_xref="Phytozome:Brara.H02467" /translation="MGIASSIQFPPAKPEEEKPEDFSDWPYPMTANAELLIKNINGLF PPRAGESSTDEAVEARYFEFLRGGCCKDVAKALEDCEGPRSTKCKQITEMLLNCMYSH PDYYQPVIAVFEACVEQIDKDLEVFRAKKQREDSFEKANLFKGFKRF" gene complement(20590061..20591782) /locus_tag="BRARA_H02468" /db_xref="Phytozome:Brara.H02468" mRNA complement(join(20590061..20590273,20590393..20590460, 20590800..20590889,20591023..20591782)) /locus_tag="BRARA_H02468" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02468" CDS complement(join(20590162..20590273,20590393..20590460, 20590800..20590889,20591023..20591754)) /locus_tag="BRARA_H02468" /codon_start=1 /product="hypothetical protein" /protein_id="RID51828.1" /db_xref="Phytozome:Brara.H02468" /translation="MASMKHVHLFRRYLTIALTLFQSLISCLFNFPILIKIADSFLSL YFMIFCDLRPVTVDLDDGETTVHFWISGHRRISRPNLVMLHGYGGNSKWQFVHQVSDL SKSFNLFIPDLVFFGKSYTKNADRSVEIQARSIAGGLKKLGCDGRGRGRISVYSISYG GFVAYKMAEMWPEMVEKLVIVSSGVGFTQQEKTAEMRKHGGDCCSKMLVPKTPMDLRM LVKISTNTGLMFVDWIPDFILSQFIAVMYEKNRQELLELAKNLLEREEAELHVISQKT LIIWGDKDKVFPLEHGYRLQRHLQNSRLEIIKETGHAVNVEAPTTLNNLITSFVLSV" gene complement(20592797..20597104) /locus_tag="BRARA_H02469" /db_xref="Phytozome:Brara.H02469" mRNA complement(join(20592797..20593632,20593753..20594019, 20594124..20594425,20594517..20594710,20595091..20595420, 20595707..20595944,20596188..20596468,20596599..20597104)) /locus_tag="BRARA_H02469" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02469" CDS complement(join(20592876..20593632,20593753..20594019, 20594124..20594425,20594517..20594710,20595091..20595420, 20595707..20595944,20596188..20596468,20596599..20596989)) /locus_tag="BRARA_H02469" /codon_start=1 /product="hypothetical protein" /protein_id="RID51829.1" /db_xref="Phytozome:Brara.H02469" /translation="MALVKEIMGHPLISGRPSLVFSASHFKKKTQTTQFSIKPFDRRP KTSKSGVVSAISEDLVKTLRFSTTTGDRKSEEEEKAAVKFKVRAVVTVRNKNKEDFKE TLFKHLDAFGDKIGRNIVLELISTELDPKTNLPKKSNAAVLKDWSEKSKTKAERVHYT AEFTVDAAFGTPGAITIMNKHQKEFFLECITIEGFALGPVHFPCNSWVQSQNDHPEKR IFFTNQPFLPSETPEGLRKPRGKELKNLRGDGTGVRRLSDRIYDFDVYNDLGNPDKSS ELARPKLGGKERPYPRRCRTGRQPTDTDNEAESRVEKPLPMYVPRDEQFEETKQDTFA AGRLKAVLHHLVPSLKASILADDFSDFGEIDDLYKEGLLLKLGFQDEIFNKFPLPKGM VNTLQESSKGLLKYDTPKILSKDKNAWLRDDEFARQAIAGINPVNIERVKTFPPVSKL DPEIYGPQHSALTSDHIIGHLDGLSVQQALEENRLYMLDYHDIFLPFLDQINALDGRK AYATRTIFFLTRLGTLKPVAIELSLPSHGPNHRSKRVVTPPVDATSNWVWQLAKAHVS SNDAGVHQLVNHWLRTHACLEPFIIAAHRQLSAMHPIFKLLDPHMRYTLEINALARQS LISADGVIEGGFTAGQYGLEMSSAAYKSSWRFDMEGLPADLIRRGMAVPDPTQPHGLK LLIEDYPYANDGLLLWSAIQTWVRTYVERYYPNSNSIQTDSELQSWYSESINVGHADL REAEWWPKLDTVDDLVSILTTLVWLASAQHAALNFGQYPYGGYVPNRPPLMRRLIPDE SDPEYASFISDPEKFYFSSMPSLLQTSKFMAVVDTLSTHSPDEEYIGERQQPSTWTGD AEIVDAFYGFAAEIGRIEKEIEKRNSDPNRRNRCGAGVLPYELLVPSSEPGVTCRGVP NSVSI" gene 20603441..20604880 /locus_tag="BRARA_H02470" /db_xref="Phytozome:Brara.H02470" mRNA join(20603441..20603639,20603840..20604049, 20604125..20604232,20604432..20604541,20604634..20604880) /locus_tag="BRARA_H02470" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02470" CDS join(20603566..20603639,20603840..20604049, 20604125..20604232,20604432..20604541,20604634..20604665) /locus_tag="BRARA_H02470" /codon_start=1 /product="hypothetical protein" /protein_id="RID51830.1" /db_xref="Phytozome:Brara.H02470" /translation="MSGFAAHLVLLLLVLVSVSLSPSVRCLGKERTLAMIKPDGVSGN YTEEIKRLIVEAGFDIVKERLTQLDKETASTFYDEHSSRSFFPHLVSYMTSGPVVVMV LEKRDAVPDWRGLIGPTDAQKAKLSHPHSIRALCGKDSQRNCVHGSDSTSSAEREIHF FFKDVVSGDIASQHDEL" gene <20608374..>20609754 /locus_tag="BRARA_H02471" /db_xref="Phytozome:Brara.H02471" mRNA join(<20608374..20608379,20608499..20608577, 20608786..20609390,20609466..20609652,20609735..>20609754) /locus_tag="BRARA_H02471" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02471" CDS join(20608374..20608379,20608499..20608577, 20608786..20609390,20609466..20609652,20609735..20609754) /locus_tag="BRARA_H02471" /codon_start=1 /product="hypothetical protein" /protein_id="RID51831.1" /db_xref="Phytozome:Brara.H02471" /translation="MKFLGWMLNKQNAKHGDYNRTSTSSASSHHVKQESREEFNDWPH ALLAIGTFGSTSTGVSQKEGNNVHEEIEEEKKSISHSEQEEEPSSSDDIDDFTPEEAK KLQKELMKILSRTKKRKSDVNRELMKNLPLDRFLNCPSSLDVERRISNALCAVVDSSE ESEDMERTINVILGRCKEISIESKKNKMKTEISKTSVSYLFKKIFVCADGFSTPPNPS LRDTLQESRMEKLLKRMLHKKINVQSSSKPTTSTTQRCLQGKKQLSLKSEEKEEETNE RRNSSDGHKWVKTDSDFIVLEI" gene 20612569..20614098 /locus_tag="BRARA_H02472" /db_xref="Phytozome:Brara.H02472" mRNA join(20612569..20612866,20613131..20613236, 20613313..20613630,20613766..20614098) /locus_tag="BRARA_H02472" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02472" CDS join(20612692..20612866,20613131..20613236, 20613313..20613630,20613766..20613970) /locus_tag="BRARA_H02472" /codon_start=1 /product="hypothetical protein" /protein_id="RID51832.1" /db_xref="Phytozome:Brara.H02472" /translation="MSRNEDGKAPPPEKSNFTRRCSLLSRYLKEKGSFGNIDLGLVRK PGPDLGLPRNSDQQEKQKVMHKANSELKALNVLGEPSSSFGGKAKATNLSEPSEPVSS QLTIFFGGKVIVYNEFPSDKAKEIIQVAKEAKSVTDINIQTQINVQKDHNKSNMVLPD LNEPTDTADVKQQQQQQNQLVERIARRASLHRFFAKRKDRAVARAPYQVNQNGGGHHY PPKPETVPGQQLEQGQSSQPQRPAQPKPECDKDMLMEEGQCSKDLELRL" gene complement(<20618855..>20619247) /locus_tag="BRARA_H02473" /db_xref="Phytozome:Brara.H02473" mRNA complement(<20618855..>20619247) /locus_tag="BRARA_H02473" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02473" CDS complement(20618855..20619247) /locus_tag="BRARA_H02473" /codon_start=1 /product="hypothetical protein" /protein_id="RID51833.1" /db_xref="Phytozome:Brara.H02473" /translation="MAKFGKLTKLIKKWPSFTKNHHSTTESTSSVATTKVSKCEDLQL VYVGKSRRPYMLSSNVINHPLVQELLDRSSRFINELQDQKTVLVLACEVVLFEHLLWM LEDSFSNHDDDDDREIGSVQELAEFYTY" gene complement(20620152..20623438) /locus_tag="BRARA_H02474" /db_xref="Phytozome:Brara.H02474" mRNA complement(join(20620152..20620509,20620609..20620812, 20620883..20620957,20621043..20621197,20621290..20621485, 20621579..20621716,20621804..20621914,20622007..20622111, 20622187..20622265,20622346..20622407,20622488..20622553, 20622627..20622677,20622765..20622815,20623168..20623438)) /locus_tag="BRARA_H02474" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02474" CDS complement(join(20620393..20620509,20620609..20620812, 20620883..20620957,20621043..20621197,20621290..20621485, 20621579..20621716,20621804..20621914,20622007..20622111, 20622187..20622265,20622346..20622407,20622488..20622553, 20622627..20622677,20622765..20622815,20623168..20623386)) /locus_tag="BRARA_H02474" /codon_start=1 /product="hypothetical protein" /protein_id="RID51834.1" /db_xref="Phytozome:Brara.H02474" /translation="MRRFVIGQAKNLIDQTRQARHKSLRLLSLLAASDSAPVLSWPRL FSSSSDMSGSGSDSSSSSLPVTLDSLNPKVLKCEYAVRGEIVNIAKKLQEDLKINKDA YPFDEIIYCNIGNPQSLGQQPITFFREVLALCSHTALLDESATHGLFSSDSIDRAWKI LDQIPGKATGAYSHSQGIKGLRDAIAAGIEARDGFPADPNDIFMTDGASPGVHMMMQL LISSEKDGILCPIPQYPLYSASIALHGGSLVPYYLDEASGWGLEISELKKQLQDARSK GITVRALVVINPGNPTGQVLAEENQREIVDFCKKEGLVLLADEVYQENVYVPDKKFHS FKKVARSMGYGEKDVCLVSFQSISKGYYGECGKRGGYMEITGFTSDVRAQIYKLASVN LCSNISGQILASLVMSPPKPGDDSYDSYIAEKEGILSSLAKRAKTLEEALNKLEGVTC NRAEGAMYLFPCINLPQKAIAAAEAAKTAPDAFYCKRLLNATGIVVVPGSGFRQVPGT WHFRCTILPQEDKIPAIVNRLTEFHKSFMDEFRN" gene 20623853..20626978 /locus_tag="BRARA_H02475" /db_xref="Phytozome:Brara.H02475" mRNA join(20623853..20623956,20624723..20624842, 20625022..20625076,20625470..20625508,20625598..20625661, 20625764..20625825,20625911..20625950,20626062..20626089, 20626243..20626334,20626418..20626978) /locus_tag="BRARA_H02475" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02475" CDS join(20624786..20624842,20625022..20625076, 20625470..20625508,20625598..20625661,20625764..20625825, 20625911..20625950,20626062..20626089,20626243..20626334, 20626418..20626685) /locus_tag="BRARA_H02475" /codon_start=1 /product="hypothetical protein" /protein_id="RID51835.1" /db_xref="Phytozome:Brara.H02475" /translation="MAEKACIKRLQKEYRALCKEPVSHVVARPSPNDILEWHYVLEGS DGTPFAGGYYYGKIKFPPEYPYKPPGITMTTPSGRFITQKKICLSMSDFHPESWNPMW SVSSILTGLLSFMMDTSPTTGSVNTTVAEKQQLAKSSLAFNCKTPAFRKLFPEYVEKY KQQELAEQTRQTSPVPQESNTKAESEKTVDPTKEDSEGGLKEKRKMKKQGLPAWIIVL LVSVFGAVMALPLLQL" gene 20627554..20629919 /locus_tag="BRARA_H02476" /db_xref="Phytozome:Brara.H02476" mRNA join(20627554..20628781,20629058..20629307, 20629538..20629919) /locus_tag="BRARA_H02476" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02476" CDS join(20627586..20628781,20629058..20629307, 20629538..20629714) /locus_tag="BRARA_H02476" /codon_start=1 /product="hypothetical protein" /protein_id="RID51836.1" /db_xref="Phytozome:Brara.H02476" /translation="MDRNSSDEDEDHRNLIPHHDTRDNELHSATNRTNGSSRSPRSAF QIEEASSRSGNNRKTPLNKRYIIAAVSLTLLLFLFFFSFTDTRTFFPLNLSSFKLDAN ESDLRALYLLKQQQRDLVSLLNHNSSNSIESNVESAISLSKQIEEALLSPHKTGNSSL SGLDSDSITGSCGKVDQSLSERRTIEWKPREDKFLFAICLSGQMSNHLICLEKHMFFA ALLDRVLVIPSPKFDYQYDRVIDIDRINTCLGRTVVVSFDQFKKEKGGARIDRFICYF SSPQPCYVDEEHVGKLKGLGVLIGGKLEAPWSEDIKKPSKRGFGEVVEKFKGEDGVIA IGDVFYADLERDWVMQPGGPIKHKCKTLVEPSRLIVLTAQRFIQTFLGKNFVAMHLRR HGFLKFCNAKSPSCFYPIPQAADCISRIVERANAPVIYLSTDAAESETGLLQSLVVVD GKVIPLVKRPPRNSAEKWDSLLYRHGIEDDSQVDAMLDKTICAMSSVFIGASGSTFTE DILRLRKDWGTSSMCDEYLCRGEEPNFIAEDE" gene complement(<20630101..>20634578) /locus_tag="BRARA_H02477" /db_xref="Phytozome:Brara.H02477" mRNA complement(join(<20630101..20630197,20630277..20630419, 20630507..20630689,20630776..20630949,20631020..20631180, 20631245..20631326,20631418..20631450,20631528..20631766, 20631865..20632029,20632113..20632257,20632361..20632465, 20632542..20632664,20632748..20632867,20632936..20633055, 20633147..20633353,20633435..20633614,20633681..20633815, 20633903..20634040,20634114..20634212,20634307..20634426, 20634513..>20634578)) /locus_tag="BRARA_H02477" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02477" CDS complement(join(20630101..20630197,20630277..20630419, 20630507..20630689,20630776..20630949,20631020..20631180, 20631245..20631326,20631418..20631450,20631528..20631766, 20631865..20632029,20632113..20632257,20632361..20632465, 20632542..20632664,20632748..20632867,20632936..20633055, 20633147..20633353,20633435..20633614,20633681..20633815, 20633903..20634040,20634114..20634212,20634307..20634426, 20634513..20634578)) /locus_tag="BRARA_H02477" /codon_start=1 /product="hypothetical protein" /protein_id="RID51837.1" /db_xref="Phytozome:Brara.H02477" /translation="MADNLDKPLLDPDTFNREGVDLGLLPLEEVFEHLRTTPRGLLSE EAEERLKIFGLNRLEEKQENKFLKFLGFMWNPLSWVMEAAALIAIALANSESQGPDWE DFVGIVCLLLINATISFFEENNAGNAAAALMARLALKTRVLRDGQWQEQDASILVPGD IISIKLGDIIPADSRLLDGDPLKIDQSVLTGESLPVTKKKGDQVFSGSTCKQGEIEAV VIATGSSTFFGKTACLVDSTDVTGHLQQVLTSIGNFCICSIAVGMVLEIIVMFPIQHR SYRVGINNLLVLLIGGIPIAMPTVLSVTLAIGSHRLSQQGAITKRMTAIEEMAGMDVL CCDKTGTLTLNSLSVDRNLIEVFVDYMDKDTVLLLAGRASRLENQDAIDTAIVSMLAD PREARANIKEVHFLPFNPVDKRTAITYIDSDGKWYRATKGAPEQVLSLCQHNNVIAQR VHAIINRFAEKGLRSLAVAYQEVPERSSNSPGGPWKFCGLLPLFDPPRHDSGETILRA LNLGVCVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGHNNNNEHEAIPVDELIEMA DGFAGVFPEHKYEIVKILQEKKHVVGMTGDGVNDAPALKKADIGIAVADATDAARSSA DIVLTEPGLSVIISAVLTSRAIFQRMKNYTVYAVSITVRIVLGFTLLALIWEYDFPPF MVLIIAILNDGTIMTISKDRVRPSPTPESWKLNQIFATGIVIGTYLALVTVLFYWLIV STTFFEKHFHVKSICNNTEQVSSAVYLQVSIISQALIFVTRSRSWSFLERPGSLLIFA FIVAQLAATLIAVYAKISFANITGIGWGWAGVIWLYSLIFYVPLDVIKFVFHYALSGD AWNLVLDRKTAFAYKKDEGTANVSITQRSHSAEELGGSRSRPSWIAEQTRRRAEIARL VEGHSVSRHLESVIKLKQIDSKMIRAAHTV" gene <20635448..>20637496 /locus_tag="BRARA_H02478" /db_xref="Phytozome:Brara.H02478" mRNA <20635448..>20637496 /locus_tag="BRARA_H02478" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02478" CDS 20635448..20637496 /locus_tag="BRARA_H02478" /codon_start=1 /product="hypothetical protein" /protein_id="RID51838.1" /db_xref="Phytozome:Brara.H02478" /translation="MIFKAKGLVRASSTTIQPLSSHMLRLLLLCVVFLFLTVSEAGCN HQDQESLLWFSGNVSSSVSPLNWNPSIDCCAWEGITCDDSSSSHITAIYLPFRRLKGK LPLAVLKLHHLSQLNLSHNHLSGPLPLDFLSSLDQLTVLDLSYNSFTGTIPSFMCLSS PQLSTLDFSYNDFSGHIPRGLGRCLRLSVLRAGFNHLSGEIPRDIYNLSKLEKLVLPA NHLSGKIGDDITKLRKLTSLELYSNNLQGDIPKDIGKLSSLQTLQLHTNNITGTVPLS LANCTNLVKLNLRKNRLEGTLSELDFSRFPRLSILDLGNNSFSGEFPWRVHSCKSLTA MRFASNKLTGQVSPQVLELESLSFLSLSDNKLTNITGALSILQGCRNLSTLLIGKNFY NETFPSDRDLISPDGFANLRIFASGGCGLRGEIPAWLIKLKSLAVMDLSHNQLVGSIP GWLGTLPHLFYIDISDNLLSGELPKELFQLRALMFRKGYDATERNNLKLPVYINPNNL TAHYQYNQLSSLPPAIYIRRNNLKGSIPVEAGQLKALHALELSHNCLSGSIPDELSNL TNLERLDLSNNNLSGRIPWSLTRLHFMSYFNVANNTLQGPIPTGSQFDTFPRAHFEGN SLLFGGVLLNSCPAPTQSPATTTDEEKLTRIFTVAVATGFSLTFTLVILVLNCYVDMI " gene complement(<20637744..>20642582) /locus_tag="BRARA_H02479" /db_xref="Phytozome:Brara.H02479" mRNA complement(join(<20637744..20637905,20638069..20638257, 20638518..20638793,20638886..20639028, 20641256..>20642582)) /locus_tag="BRARA_H02479" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02479" CDS complement(join(20637744..20637905,20638069..20638257, 20638518..20638793,20638886..20639028,20641256..20642582)) /locus_tag="BRARA_H02479" /codon_start=1 /product="hypothetical protein" /protein_id="RID51839.1" /db_xref="Phytozome:Brara.H02479" /translation="MPSMLVLVGTMPSLASLVSPGGGGCGASVYASYALVKRVSLPRR SVKGAKKWLCRYSLSSSTTTSTTDFIAESNSAVSIDSHSFKASIEGDESDIVLKQAPK PVLKPPVARVERGLGVSSAPWNKDISNGGKFDGEEERSKVIESLGEVLDKAERLEIPK PVSKEGGEGFKPSQPSGSSSNSKGDGFGTRKTKTMKSVWRKGDAVAAVQKVVKESPKI DNKGMQVDAKSGTQLSPPQQPLRAQPQLQGKPMVAQPPVKKPILKDHGMATRPSGPIL KDVGMASKPSVSEEVDSSSQSKERKPILVDKFASKKKGVDPVASQTVLAPTKPGKGPP SNKLRFEQRNKKNASANPRRRMAAEDDADEDASELNVSIPGKGRKGRKWSKASRKAVR LQAARDAAPVKAEILEVEEEGMSIEDLAYNLAIGEGDILGYLYSKGIRPDVSLRDERI SAKAGDGKVTLSSLASAVSAKKMSGLDLHQLNIILKVDVQGSIEAVKQALQVLPQENV TLKFLLQATGDVSNSDVDLASASEAIIFGFNVKASGSVKKDAENKGVEIRLYRVIYEL IDDVRNAMEGLLESVEEQIPIGSAEVRATFSSGSGRVAGCMVNEGKFVKDCGIRVIRK GKTVHVGVLDSLKRVKENVKEVSAGLECGIGMDDYDDWIEGDTIEAFNAVQKRRTLEE ASASMSAAIEEAGVEL" gene 20643092..20647393 /locus_tag="BRARA_H02480" /db_xref="Phytozome:Brara.H02480" mRNA join(20643092..20643318,20643521..20643686, 20643924..20644050,20644366..20644712,20644798..20646547, 20646635..20646885,20646969..20647071,20647150..20647393) /locus_tag="BRARA_H02480" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02480" CDS join(20643164..20643318,20643521..20643686, 20643924..20644050,20644366..20644712,20644798..20646547, 20646635..20646885,20646969..20647037) /locus_tag="BRARA_H02480" /codon_start=1 /product="hypothetical protein" /protein_id="RID51840.1" /db_xref="Phytozome:Brara.H02480" /translation="MKEEGESSQNVKPRSKRNSVASASASASATPVNRFRHRSARSPS PPLTAAASSVGASSYAVPVNAGSVDWTGQGMGSLGRSCRPWDRGDLLRRLATFKPSNW LGKPKTASSLVCAQKGWVGVDLDKIQCEFCGSSLHYSPPQNSLKRPEADSNGEEFSKQ LDVAHESSCPWVGNCCPESLVQFPPTPPSALIGGYKDRCDGLLQFYSLPIVPVSAIDQ MRASRGSQIDRLLALPQVYANDDPSFRVGNISATETSKEEALSNYARAQKLISLCGWE PRWLPNIQDCEEHSAQSTRNGCPSGPARNQSRVQDPGPSMKQFSASSRKASGNYEVLG PEYKSESRSPLLDCSLCGVTIRIWDFLTTSRPVPLAPINANLPETSKKMGVTRGTSET SGINGWFTNGGMEQQQNEDVDEAETSGKRKLVSNTGTSFYQTAAGASSSAQLNMSVTR DNYQFSDRGKEVMRRQPSGSETGDRAASYESRGPSTRKRNLEDGGSTADRPPYLRIQH ADSVEGSVVDRDGDEVNDDSAGPSKRTRGSEVQDTCLPFYGRDLSVGGPSHSVDAENK REVNRSEGNEQALAFRGARDSARASSVIAMDTICHSANDDSMESVENRPGDIDDVNYP SVATAQSADLNDPSEFNLSNQAQQSACFQPAPVRSNAEQGISSINDGDEVLNTETVTA QGRDGPSLGVSGGSVGMGASHEAEIHGADVSVHRGDSVVGSMEPVAEVIEDLGEFAPD QGVTDDFVPGEMDREDRLGDSQDRVSQSVAKADSGSKIVDSSKAESVESGEKMSNMNV YDSVHPSLSCNAIVCSGFEASKDEVTQTWNESPLNAGFALPGSSYTANGQGPPNGDSN DEIVEFDPIKYHNCYCPWVNENVAAAGCSSNSSSSSSVAEALCGWQLTLDALDSFQSL ENAQIQPMESESAASLCKDDHRAPSQKLLKRSFISSLGKK" gene complement(20647623..20648945) /locus_tag="BRARA_H02481" /db_xref="Phytozome:Brara.H02481" mRNA complement(join(20647623..20648092,20648187..20648307, 20648575..20648945)) /locus_tag="BRARA_H02481" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02481" CDS complement(join(20647817..20648092,20648187..20648307, 20648575..20648792)) /locus_tag="BRARA_H02481" /codon_start=1 /product="hypothetical protein" /protein_id="RID51841.1" /db_xref="Phytozome:Brara.H02481" /translation="MEKSDDHHKTSNGVSGGTATEKWEDGSTGIRTAETMLRLAPVGL CIAALVIMLQDSQDNEFGSISYSNLSAFRYLVHANGICAGYSLLSAAISAMPGSSSTM PRVWTFFCLDQILTYVVLAAGAVSTEVLYLAYKGDDAITWSDACSSFGSFCHRATASV IITFVVVCFYVVLSLISSYKLFTRFDPPAIADSNKNVEVAAFGS" gene complement(20653517..20654741) /locus_tag="BRARA_H02482" /db_xref="Phytozome:Brara.H02482" mRNA complement(join(20653517..20654045,20654285..20654741)) /locus_tag="BRARA_H02482" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02482" CDS complement(join(20653701..20654045,20654285..20654599)) /locus_tag="BRARA_H02482" /codon_start=1 /product="hypothetical protein" /protein_id="RID51842.1" /db_xref="Phytozome:Brara.H02482" /translation="MADEVILLDYWPSMFGMRTKMALAEKGVSYEYIETDPWIKTPLL IEMNPIHKKIPVLIHKGKPICESLIQLEYIDEVWSGTYPMLPSDPYQKAQARFWADFI DKKFYDPSWKVWGTNGEEQVAAKKELLEHFKTLETELGDKTYYGGEVFGFLDIALMGY YSWFKAMEKFGEFSIETEFPKLTEWTKRCLERESVVKALTDSDKILEYAYVLRKKFGA E" gene 20656158..20657001 /locus_tag="BRARA_H02483" /db_xref="Phytozome:Brara.H02483" mRNA 20656158..20657001 /locus_tag="BRARA_H02483" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02483" CDS 20656352..20656645 /locus_tag="BRARA_H02483" /codon_start=1 /product="hypothetical protein" /protein_id="RID51843.1" /db_xref="Phytozome:Brara.H02483" /translation="MSRVRSEPMKVVFINTRYIQTDARSFKSIVQELTGKNAVVAEGP FEFSAQGYGGKDSSHQYFGGGREAEGGVETTEFDRFFREMPPVGELFNLWSET" gene 20659931..20661739 /locus_tag="BRARA_H02484" /db_xref="Phytozome:Brara.H02484" mRNA join(20659931..20660276,20660435..20660510, 20660599..20661739) /locus_tag="BRARA_H02484" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02484" CDS join(20660497..20660510,20660599..20661556) /locus_tag="BRARA_H02484" /codon_start=1 /product="hypothetical protein" /protein_id="RID51844.1" /db_xref="Phytozome:Brara.H02484" /translation="MPRPRVSELSHGQASRLRTSSSTSHSNHPNRLLTTDRSSNKLGA DRKSPRSGGGPPLGQKKLGGRISDLESQLGQAQEELRLLKDQLANAEAVKKQAQEELH EDTKSTKPNPLDGAEGSASEAEAIDRDEIPGDVEKETDVFEVPVEKIAIVEEEDEKLV DEVKMLKARLYDMEKEHESLGKENESLKNQLTDSASEMSNVRAKEEETASKVIHIGEE LEESRANTAHLKEKLESMEEAKEALEAEMKKLRVQTEQWKKAADAAAAVLSGESEMNG RDPSGGLFDPSAVVGFMDDTDDGFGSGKRKSSGKKMFGDLWKKKGHK" gene 20666755..20668637 /locus_tag="BRARA_H02485" /db_xref="Phytozome:Brara.H02485" mRNA join(20666755..20666798,20666906..20667029, 20667116..20667273,20667361..20667445,20667521..20667733, 20667810..20667871,20667937..20668637) /locus_tag="BRARA_H02485" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02485" CDS join(20666922..20667029,20667116..20667273, 20667361..20667445,20667521..20667733,20667810..20667871, 20667937..20668462) /locus_tag="BRARA_H02485" /codon_start=1 /product="hypothetical protein" /protein_id="RID51845.1" /db_xref="Phytozome:Brara.H02485" /translation="MQSICLERLGGETKVDPRLQETTLVQHMFGGRLRSKVKCLRCGH ESERYENIMDLTLEIYGWVESLQDALTQFTRPEDLDGENMYRCSRCAGYVKARKELSI HEAPNILTIVLKRFQEGRYGKINKCISFPEMLDMIPFMTRTGDVPPLYMLYAVIVHLD TLNASFSGHYISYVKDLRGNWFRIDDSEIHQVPMTQVMSEGAYMLFYMRSYPRPLRGE HNGKAQVRHSLSQPRDEMKEQRKPVNRFKPRADHHKNLESSSEWSLFTSSDEASFTTE STRDSFSTVDYTDGCNVLDSSSPFSIFNNLRHNVEPSPHNTVACRMFSGTKSETRYFV EEETNHNNTVVMDSAPTSHEYYQQSMYVNYETNPGFNCQDQTYSYEQNW" gene 20669184..20669832 /locus_tag="BRARA_H02486" /db_xref="Phytozome:Brara.H02486" mRNA 20669184..20669832 /locus_tag="BRARA_H02486" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02486" CDS 20669303..20669587 /locus_tag="BRARA_H02486" /codon_start=1 /product="hypothetical protein" /protein_id="RID51846.1" /db_xref="Phytozome:Brara.H02486" /translation="MDTYTCIVVSLCIALFAINLVFSCFTCFSILHSFVTDTKQDLND LRKNLIGREEGKQDDEKVIIIAVPRNKGLTDSMDGDDCGGQDCSQDICTC" gene 20670547..20671951 /locus_tag="BRARA_H02487" /db_xref="Phytozome:Brara.H02487" mRNA join(20670547..20670809,20671306..20671387, 20671477..20671594,20671697..20671951) /locus_tag="BRARA_H02487" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02487" CDS join(20670599..20670809,20671306..20671387, 20671477..20671594,20671697..20671756) /locus_tag="BRARA_H02487" /codon_start=1 /product="hypothetical protein" /protein_id="RID51847.1" /db_xref="Phytozome:Brara.H02487" /translation="MGQGEEDKSKGFADEAGTHHQYGTFQGVSNYPPPRPQNSPPVTG FPQPSAPPRVYDSAPPHYAHGYQTVPVHGIAEGRPVHVRQRRLPCCGIGLGWFLFIVG FFLGAIPWYVGMFIMIVGRRIDHREKPGYIACTIAAILATIAVILGVTKGAEDW" gene 20673582..20676747 /locus_tag="BRARA_H02488" /db_xref="Phytozome:Brara.H02488" mRNA join(20673582..20673996,20674460..20674671, 20675358..20675602,20675671..20676058,20676149..20676747) /locus_tag="BRARA_H02488" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02488" CDS join(20673714..20673996,20674460..20674671, 20675358..20675602,20675671..20676058,20676149..20676574) /locus_tag="BRARA_H02488" /codon_start=1 /product="hypothetical protein" /protein_id="RID51848.1" /db_xref="Phytozome:Brara.H02488" /translation="MIEITAVRKVFLIGFLILILNWVWRAVNWVWLRPKRLEKYLKKQ GFSGNSYRVLMGDISESNKMDQVAHSLPLPLTADFVPRMMPFLHHTVLNHGKKCFTWY GPYPNVTVMDPETLREIMSRHELFPKPKIGYQVFLSGLLNHEGPTWSKHRSILNPAFR IDSLKSILPAFNSSCKEMLEEWEKLASPKGTVELDAWTYCHDVARNMLARASFGDSYK DGINIFQIHQEQIDLSLQTIRSVYIPGSKFLPTKFNKRLRETERDMRAMFKAMIETKE KEIQRGRDTNKNGDLLCSMLASNTKQIKEQGPASGLSLDDLIDDCKAFYLAGQSVTAS LFVWTLVALSQHQEWQNKARDEISKAFGNNEPGFEGLGHLKVVSMILHEVLRFYSPAY FTCRITNQEVKLERFSLPEGVVITIPMILVHHDPDLWGEDVKQFKPERFVNGVASATK GRLSFLPFGSGPRTCIGQNFSMLQAKLFVAKVLQMFSVELSPSYTHAPFPAATTFPQH GVHLIIRKV" gene complement(20677064..20678303) /locus_tag="BRARA_H02489" /db_xref="Phytozome:Brara.H02489" mRNA complement(join(20677064..20677423,20677511..20677633, 20677721..20677843,20678033..20678303)) /locus_tag="BRARA_H02489" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02489" CDS complement(join(20677359..20677423,20677511..20677633, 20677721..20677843,20678033..20678066)) /locus_tag="BRARA_H02489" /codon_start=1 /product="hypothetical protein" /protein_id="RID51849.1" /db_xref="Phytozome:Brara.H02489" /translation="MGCSSSTAKAEGRKEKIRRPKSWKHPQPISRAELTQMREEFWDT APHYGGKKEIWDALRAAAEEEDLSLAQTIIESAGVIVHNNDLTICYDEKGSKYELPKY VLRDPSNLIRTK" gene complement(20680172..20680789) /locus_tag="BRARA_H02490" /db_xref="Phytozome:Brara.H02490" mRNA complement(20680172..20680789) /locus_tag="BRARA_H02490" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02490" CDS complement(20680415..20680687) /locus_tag="BRARA_H02490" /codon_start=1 /product="hypothetical protein" /protein_id="RID51850.1" /db_xref="Phytozome:Brara.H02490" /translation="MACPRVSILTICLLLFVVGFASQPCEARNVLMPYNATKGLFLSA LPKGNVPPSGPSDKGHTSQPEDYLDKHMVPEIHRQLGSVPSPGVGH" gene 20682381..20683976 /locus_tag="BRARA_H02491" /db_xref="Phytozome:Brara.H02491" mRNA join(20682381..20682646,20683392..20683976) /locus_tag="BRARA_H02491" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02491" CDS join(20682426..20682646,20683392..20683821) /locus_tag="BRARA_H02491" /codon_start=1 /product="hypothetical protein" /protein_id="RID51851.1" /db_xref="Phytozome:Brara.H02491" /translation="MAGYKVEDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFNLESKS TIGVEFATRTLKVDEKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDTTRRATFE NVDRWLKELKNHTDPNIVVMLVGNKSDLRHLLAVPTEDGKSYAEQESLCFMETSALEA TNVEEAFAEVLTQIYRITSKKQMEAGEDGNGSVPKGEKIEVKNDVSALKKLGCCSS" gene 20685748..20688873 /locus_tag="BRARA_H02492" /db_xref="Phytozome:Brara.H02492" mRNA join(20685748..20686138,20686520..20686607, 20686688..20686847,20686926..20687143,20687250..20687545, 20687645..20687756,20687850..20687988,20688087..20688283, 20688367..20688456,20688535..20688873) /locus_tag="BRARA_H02492" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02492" CDS join(20685858..20686138,20686520..20686607, 20686688..20686847,20686926..20687143,20687250..20687545, 20687645..20687756,20687850..20687988,20688087..20688283, 20688367..20688456,20688535..20688666) /locus_tag="BRARA_H02492" /codon_start=1 /product="hypothetical protein" /protein_id="RID51852.1" /db_xref="Phytozome:Brara.H02492" /translation="MDLTTTTRQRRPLLSGSSSSSAKPYSKTDKPRRSDGGDAEDRGL GWFLPFIALCYLRYMSATSNIVHDCDEVFNYWEPLHYLLYKSGFQTWEYSSNFALRSY LYILFHELAGRPASWWFGDDKVRVFYAVRLFLGLVSAVSDTVLVVALSRKYGKRIATY AVAMLCLTSGCFFASTSFLPSSFSMYAMSLSSGLLLFEKYAMAVAVSVFGVILGWPFS ILAFLPIVVYALVKRFKQAFISGAATSIFLLGLSVLVDHYYYKRWTSSVLNLLIYNVL GGGESHLYGTEGPLFYIKNGFNNFNLGFVLAILFIALFPIIRRKYDRCLLVVISPMYI WLAFMSLQPHKEERFLYPIYPLICVSASVVIENIPELFREKYSTRESLLVTITKYIRP VILGLILCASHARTFSLINGYSAPLEVYKLLEHHDDAGPGSVLCVGSEWHRYPSSFFV PDYISEVRWIDDGFRGLLPFPFNSTLGGTAASPPYFNNKNQASDEQFLKNIESCTFLI ELQLSRPYTYRGNDLSTWEAIAVLPYLDRELSPAKYRSFFIPYKWQEKNVFGKYVILR RVPK" gene 20689207..20691012 /locus_tag="BRARA_H02493" /db_xref="Phytozome:Brara.H02493" mRNA join(20689207..20689366,20689466..20689493, 20689574..20689660,20689845..20689903,20690233..20690274, 20690386..20690459,20690576..20690673,20690772..20691012) /locus_tag="BRARA_H02493" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02493" CDS join(20689331..20689366,20689466..20689493, 20689574..20689660,20689845..20689903,20690233..20690274, 20690386..20690459,20690576..20690673,20690772..20690809) /locus_tag="BRARA_H02493" /codon_start=1 /product="hypothetical protein" /protein_id="RID51854.1" /db_xref="Phytozome:Brara.H02493" /translation="MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMVLGP TQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQ IRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTRLYASGA" gene complement(20691013..20693016) /locus_tag="BRARA_H02494" /db_xref="Phytozome:Brara.H02494" mRNA complement(join(20691013..20691255,20691343..20691411, 20691508..20691613,20691725..20691780,20691884..20691943, 20692037..20692121,20692201..20692295,20692367..20692492, 20692582..20692627,20692702..20693016)) /locus_tag="BRARA_H02494" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02494" CDS complement(join(20691193..20691255,20691343..20691411, 20691508..20691613,20691725..20691780,20691884..20691943, 20692037..20692121,20692201..20692295,20692367..20692492, 20692582..20692627,20692702..20692859)) /locus_tag="BRARA_H02494" /codon_start=1 /product="hypothetical protein" /protein_id="RID51853.1" /db_xref="Phytozome:Brara.H02494" /translation="MVAMASASGSALRFTDPPSSRAIRRDVGAFCLAPRTVTFGFVDK SITNLHRLRLSGLKTRASNATAVESANAADSDKVPTPVVIIDQDSDPDATVVEVTFGD RLGALLDTMNALKNLGLNVVKANVYLDSSGKHNKFAITKADSGRKVEDPELLEAIRLT VINNMLEFHPESSSQLAMGAAFGVLPPTELVDVDIATQVSIKDDGPDRSLLYIETADR PGLLVELVKIISDISVAVESGEFDTEGLLAKVKFHVSYRNKALIKPLQQVLANSLRYF LRRPSTDESSF" gene complement(20694590..20697247) /locus_tag="BRARA_H02495" /db_xref="Phytozome:Brara.H02495" mRNA complement(join(20694590..20695106,20695188..20695399, 20695482..20695637,20695735..20696595,20696960..20697247)) /locus_tag="BRARA_H02495" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02495" mRNA complement(join(20694590..20695106,20695188..20695399, 20695482..20695637,20695735..20696646,20696960..20697247)) /locus_tag="BRARA_H02495" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02495" CDS complement(join(20694914..20695106,20695188..20695399, 20695482..20695637,20695735..20696586)) /locus_tag="BRARA_H02495" /codon_start=1 /product="hypothetical protein" /protein_id="RID51855.1" /db_xref="Phytozome:Brara.H02495" /translation="MGSRYPSHQLGNGLFVSGRPEQPKERPPTMSSTAMPYTGGDIKK SGELGKMFIPTDGSKSRKSGPIPGAPSRSGSFAGTAQSGPGAANATGRMSGSLASSGG GSVSMKKTNSGPLSKHGEPLKKSSGPQSGGVTRQHSGPIPVLPATGLITSGPLNSSGA PRKVSGPLDSSGSLKTHMASVVHNQAVTTLGPEDEFSSLKSFPKSVLWLVVLIFIMGF LAGGFILGAVHNPMLLIVVAVFFTVVAALFIWNSCWGRRGITDFIARYPDADLRTAKN GQFVKVTGVVTCGNVPLESSFQRVPRCVYTSTCLYEYRGWGSKPANSSHRCFTWGLRS SERHVVDFYISDFQSGLRALVKTGNGAKVTPLVDDSAVIDYKHGSEKMSPDFVRWLRQ KNLSSDDRIMRLKEGYIKEGSTVSVIGVVQRNDNVLMIVPSSEPITAGWQWSRCTFPT SLEGIVLRCEDSSNVDAIPV" CDS complement(join(20694914..20695106,20695188..20695399, 20695482..20695637,20695735..20696586)) /locus_tag="BRARA_H02495" /codon_start=1 /product="hypothetical protein" /protein_id="RID51856.1" /db_xref="Phytozome:Brara.H02495" /translation="MGSRYPSHQLGNGLFVSGRPEQPKERPPTMSSTAMPYTGGDIKK SGELGKMFIPTDGSKSRKSGPIPGAPSRSGSFAGTAQSGPGAANATGRMSGSLASSGG GSVSMKKTNSGPLSKHGEPLKKSSGPQSGGVTRQHSGPIPVLPATGLITSGPLNSSGA PRKVSGPLDSSGSLKTHMASVVHNQAVTTLGPEDEFSSLKSFPKSVLWLVVLIFIMGF LAGGFILGAVHNPMLLIVVAVFFTVVAALFIWNSCWGRRGITDFIARYPDADLRTAKN GQFVKVTGVVTCGNVPLESSFQRVPRCVYTSTCLYEYRGWGSKPANSSHRCFTWGLRS SERHVVDFYISDFQSGLRALVKTGNGAKVTPLVDDSAVIDYKHGSEKMSPDFVRWLRQ KNLSSDDRIMRLKEGYIKEGSTVSVIGVVQRNDNVLMIVPSSEPITAGWQWSRCTFPT SLEGIVLRCEDSSNVDAIPV" gene complement(<20698785..>20699222) /locus_tag="BRARA_H02496" /db_xref="Phytozome:Brara.H02496" mRNA complement(<20698785..>20699222) /locus_tag="BRARA_H02496" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02496" CDS complement(20698785..>20699222) /locus_tag="BRARA_H02496" /codon_start=1 /product="hypothetical protein" /protein_id="RID51857.1" /db_xref="Phytozome:Brara.H02496" /translation="NANAAEETKSEQSQTGAKQKPKKLPTATELISHYQKRGLEPAEA SVKVVEDLQNALVRVVSSSKNNASSKDKLLTDARKIDAVNGRLAVVDAKLETKPGYVE TFVLGLASGAALNGINAVWPHVTRGIGQVWSTVKSGTDPSSAS" gene complement(<20699858..>20700970) /locus_tag="BRARA_H02497" /db_xref="Phytozome:Brara.H02497" mRNA complement(join(<20699858..20700384,20700467..20700617, 20700698..>20700970)) /locus_tag="BRARA_H02497" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02497" CDS complement(join(20699858..20700384,20700467..20700617, 20700698..20700970)) /locus_tag="BRARA_H02497" /codon_start=1 /product="hypothetical protein" /protein_id="RID51858.1" /db_xref="Phytozome:Brara.H02497" /translation="MGQGKEVKTRPDPQVEIQERGEIFFFYRPKVNKEEAHSVDDVQR LYIVMRPESGENSVEEKQDPLSGKEGSGDEDDNNSSKKGGEGGHGVEKVNIEKQLLLR FIVMGKKTLPDPSKKSQPFWGFVEMVTKKVEDVKEALKGEEYQTKTRGHRHKPPARAV GEGIYRILRHKPSPTRKHHTHLVYKLEYPSPTEKHEPQESMNIEPEGSFLIQVRNPEQ GKGGRGSGFRGLKRKRKAQFPAHLQAHLGHTRFGAADPPDFLNYEGCEFLLISASDDI EKELGVELESEGEGDESSCDLVKTFGDDVDAIPLLRGTWD" gene complement(20701402..>20705369) /locus_tag="BRARA_H02498" /db_xref="Phytozome:Brara.H02498" mRNA complement(join(20701402..20701438,20701584..20701685, 20701774..20702526,20702995..20703405,20703642..20703743, 20703828..20704155,20704253..20704307,20704384..20704571, 20704669..20704790,20704984..20705075, 20705192..>20705369)) /locus_tag="BRARA_H02498" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02498" CDS complement(join(20701608..20701685,20701774..20702526, 20702995..20703405,20703642..20703743,20703828..20704155, 20704253..20704307,20704384..20704571,20704669..20704790, 20704984..20705075,20705192..20705369)) /locus_tag="BRARA_H02498" /codon_start=1 /product="hypothetical protein" /protein_id="RID51859.1" /db_xref="Phytozome:Brara.H02498" /translation="MWLPKTDATTKGTRSGSVAIAIDNDKTSQNALKWTMENLTSRGQ TLALIHVVPKSQSSSDIEEGITHKQQIEKETKHLFVSFHCFCSRKEINCLDVVLEDVD KVKAIVEYVTVSAIENLVLGAPSRNSFMRRFKTDLPTSVSKAAPDFCNVYVIAKSKIS SLRSSSRPAPYHPSVLSEFDNHETTENKHKTREATTPAYSRGRRSVDSDGRRSGFVKP PQGHMKLMGDFSDSESEYSFINASQQGSDISYISSGRPSVDRSSFTYDLPDSARTSRM STSSEQSIGSNRLGIKFTDLGFLNNASTASEESGRTSCSYSSQSLGDVEAQMRRLRLE LKQTMDMYSSACREALTARNEATELQRLRSEEERRMEELKMTEETAMSMVEKERAKAR TATEAAEAAHRLAEAEAKRRLNAEMKVLKENDSFPRHSIVRYRKYSVQEIEEGTGNFA ESRKVGEGGYGPVFRGHLDHTSVAVKVLRPDAAQGRSQFHKEVEVLSCIRHPNMVLLL GACPEYGILVYEYMAKGSLDDRLFRRGNTPAISWQLRFRIAAEIATGLLFLHQTKPEP IVHRDLKPGNVLLDHNYVSKISDVGLARLVPAVAENVTQCRATSAAGTFCYIDPEYQQ TGMLGVKSDVYSLGIMLLQLLTAKQPMGLAYYVEQAVEEGKLKDMLDPAVPDWPLEEA MSLAKLSLQCAELRRKDRPDLGKEVMPVLNRLREMGEESLESVYYAGHGPMSHSSQVS YTSEGRSAPYISNAGSSISNEGSTMSHP" gene 20708235..20711062 /locus_tag="BRARA_H02499" /db_xref="Phytozome:Brara.H02499" mRNA join(20708235..20708595,20708685..20708736, 20708838..20708900,20708974..20709090,20709171..20709320, 20709402..20709886,20709954..20710053,20710126..20710185, 20710266..20710358,20710434..20710553,20710627..20711062) /locus_tag="BRARA_H02499" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02499" CDS join(20708564..20708595,20708685..20708736, 20708838..20708900,20708974..20709090,20709171..20709320, 20709402..20709886,20709954..20710053,20710126..20710185, 20710266..20710358,20710434..20710553,20710627..20710938) /locus_tag="BRARA_H02499" /codon_start=1 /product="hypothetical protein" /protein_id="RID51860.1" /db_xref="Phytozome:Brara.H02499" /translation="MSGDSLLASKQGSSLSRFFQTKSVNHSQGLDSPKNTKFHQLYKF ELEHDVKRLKDQLQKETALRALLLKASDQSHKIELSHTSSLPRGVQELLSSIVTMEAT VTMLEEEIMSLHFLLIQERNERKLAEYSLTHSLSPPNTTDLVKFSKKKDTLRRKDPHR SKVPRSLQSCDNANELSKEMIRCMRNIFVSLGETSAGSKSSQEIVPFSPTRKNASSSS SSTWWSPSEHSRISKWVQSPRIDIKKNSDVLATESNVFDPYRVQGKLSWADIGSYRSA TEVASMSVEEKRLGYASDELWRFRHLVERLARVNPTELSHNEKLAFWINIYNALIMHA YLAYGVPKTDLKLFSLMQKAAYTVGGHSYNAATIEFMTLKMNPPLHRPQIALLLSILK LKVSEEQKQAGISTHEPLVSFALSCGMHSSPAVRIYSAENVGEELEDAQKDYIQASVG VSPRGKLIVSKMLHCFAKNFVDDSKVALWISRHLPPRHAAFVEQCIHRRQRWGFLDSS SSKCGVIPFDSRFRYLFLP" gene complement(20711075..20711960) /locus_tag="BRARA_H02500" /db_xref="Phytozome:Brara.H02500" mRNA complement(join(20711075..20711389,20711686..20711960)) /locus_tag="BRARA_H02500" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02500" CDS complement(join(20711195..20711389,20711686..20711871)) /locus_tag="BRARA_H02500" /codon_start=1 /product="hypothetical protein" /protein_id="RID51861.1" /db_xref="Phytozome:Brara.H02500" /translation="MNKKEIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKR EMRGLWIERINAGSRQHGVNYGNFIHGLMKENIQLNRKVLSELSMHEPYSFKALVDVS RNSFPGNKNVVQAPRKVDVSSINA" gene 20712323..20713148 /locus_tag="BRARA_H02501" /db_xref="Phytozome:Brara.H02501" mRNA join(20712323..20712781,20712865..20713148) /locus_tag="BRARA_H02501" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02501" CDS join(20712326..20712781,20712865..20712963) /locus_tag="BRARA_H02501" /codon_start=1 /product="hypothetical protein" /protein_id="RID51862.1" /db_xref="Phytozome:Brara.H02501" /translation="MGGGEPMDHHQQRISATKSDGVGQGNLYSPDHAIKVATKLDENV GQVQGDLYSPDHAIKIATSPEHKLNSESEEKQRMLGLKSLATVKDKVLEKLAAAAVPS ESLENAKQFLEGVIKDFAGAAHGMTKDALHRIKTHLAVILPSVSPSVTGKIVDDAEKE EEDERKSEASSNDGSAGKLPFSKL" gene complement(20713162..20720728) /locus_tag="BRARA_H02502" /db_xref="Phytozome:Brara.H02502" mRNA complement(join(20713162..20713690,20713871..20714484, 20714604..20714682,20714811..20714950,20715035..20715347, 20715441..20715583,20715659..20715859,20715967..20716095, 20716230..20716508,20716604..20716900,20717057..20718457, 20718569..20718687,20718838..20719188,20719277..20719529, 20719668..20719782,20719990..20720283,20720401..20720728)) /locus_tag="BRARA_H02502" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02502" CDS complement(join(20713573..20713690,20713871..20714484, 20714604..20714682,20714811..20714950,20715035..20715347, 20715441..20715583,20715659..20715859,20715967..20716095, 20716230..20716508,20716604..20716900,20717057..20718457, 20718569..20718687,20718838..20719188,20719277..20719529, 20719668..20719782,20719990..20720177)) /locus_tag="BRARA_H02502" /codon_start=1 /product="hypothetical protein" /protein_id="RID51863.1" /db_xref="Phytozome:Brara.H02502" /translation="MNVQAHMSGQQRSGQSPNQGNNGNSQMQNLAGAGGGSVGPSRST VGPMDHDVLKLRQYMQVLVFKVLQQRQPSPADAASKSKYMDVARRLEEGLYKMAISKE DYLNRSTLESRITSLIKNRHLNNHSQRHANPSSVGTMIPTPGLSHAGGNPSSMVRPSA NATVAGNNNNSTSTAVNTENVRPAGGNMSNGYQHSSRNFSLGSGGNMTSMGSQRSTPQ MIPTPGFVNSGTNSNSGGFSAEPTVVPQSHQQQQQREVTGGQNSHILSNQMTTGRRPG MQPNAAGVATNSVNGGAGVKERSVDKGEGYRTQNPDTLGSGNGMMTNAQNINAASSQS VSRANSSQSHQQQQFRQQPVQFQQQHQIQQQQQKFLQQTVQQHKLISNDGSGKPQVAS EMDTDVKHEPRMEKNSEAMHSQPSERLQLSQFQNQYPNSGEDCYADAQHLTGQSDICT PHPQNSRQVHQMLHPQNIGSDSNGMSSQQHVQGITGMNEAQPNNLNEGSAVGQNHTSA TVSSSHSLQNPIRTMRRTDPKFRNQQKWLLFLLHARTCNPPGGKCTDQNCLTVRKLWS HMNSCVEPQCLYPRCPQTKLLIGHYKNCKDLRCPVCMSVKSFRQRQVNACAQARLENE SSGVNRAVVSNDSLCATAGVVSGSLGCDGTLDNLQPSSKRLKVEPSFQPVAPETESCK SSVVSQTETELSQDAERKDHRQSDAHVALKSGKLEVKEEVSDISEAFENVHKPRPSSE PSQHDLAGVSPKQENINMKQEPNKEDLVKSPEYAPKSGKPKIMGVALTELFTPEQVRE HIRGLRQWVGQSKAKAEKNQAMENSMSANSCQLCAVEKLTFEPAPIYCTPCGARIKRN AMYYTVGAGETRHYFCIPCYNESRGDTILAVGTSVPKARLEKKKNDEETEEAWVQCDK CEAWQHQICALFNGRRDDGGQAEYTCPHCYITEVERNERKPLLQNAVLGAKDLPKTIL SDHIEQRLFKRLEQERIERARAQGNNYDEVPTAESLVVRVVLSVDKKLEVKSRFLEIF KKDNFPTEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSECSSPNERRVYLSYLDSVK YFRPEIKSASGEALRTFVYQEILIGYLEYCKLRGFTSCYIWACPPLKGEDYILYCHPE IQKTPKSDKLREWYLAMLRKAAKEGIVAETTNLYDHFFLQTGECRAKVTAARLPYFDG DYWPGAAEDIIHQMGQEDDGRKGNKKGILKKPITKRALKACGQSDLSGNMSKDLLLMQ KLGETIHPMKEDFIMVHLQHCCKHCCALMVTGNRWVCSQCKDFQLCDGCYEAEQKRED RERHPVNQKDKHTLYPVEITGIPEDTKDRDEILESEFFDTRQAFLSLCQGNHYQYDTL RRAKHSSMMVLYHLHNPTAPAFVSTCNACHLDIETGQGWRCEVCPDYDVCNTCYRKEG CINHPHKLTNHPSLADQNAQNKEARQLRVLQLRKMLDLLVHATLCRRATNCQYPNCRK VKALFRHGLGCQRRASGGCVLCKKMWYLLQIHARACKESNCAVPRCGDLKEYLRRLQQ QADSRRRVAVMEMMRQRTAEVAGTSAD" gene complement(20720916..20723164) /locus_tag="BRARA_H02503" /db_xref="Phytozome:Brara.H02503" mRNA complement(join(20720916..20721182,20721274..20721411, 20721557..20721671,20721916..20722187,20722349..20722466, 20722578..20722744,20723035..20723164)) /locus_tag="BRARA_H02503" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02503" mRNA complement(join(20720916..20721185,20721274..20721411, 20721557..20721671,20721916..20722187,20722349..20722466, 20722578..20722744,20723035..20723164)) /locus_tag="BRARA_H02503" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02503" CDS complement(join(20721099..20721182,20721274..20721411, 20721557..20721671,20721916..20722187,20722349..20722466, 20722578..20722738)) /locus_tag="BRARA_H02503" /codon_start=1 /product="hypothetical protein" /protein_id="RID51864.1" /db_xref="Phytozome:Brara.H02503" /translation="MSGQHQGTVPQNNGNSQMHNVGGAGGDEGPSRSTVGPGVDHNIL RLRQYMRILVFNVLQKKQPLPPDDAASKAKYMEVARRLEEGLFKTAISKEDYLNESTL DSRLGRLIKGRQLKNSNQGHTNSSKVGTMVPTSTGLSHAGGNPSSMVTSSACASVGLG SSPNTSGPMDHDVLELRQYMRTLVFNELHKRRPGPSNDALDANFLDIARHLEEGLFQM ANTRDDYLNQSTLVSRLATLMTRRIMNNQQNANSSPPGTMTTLAPEVSPMVGVKVPES EDELETEIAESLKSMSLYS" CDS complement(join(20721099..20721185,20721274..20721411, 20721557..20721671,20721916..20722187,20722349..20722466, 20722578..20722738)) /locus_tag="BRARA_H02503" /codon_start=1 /product="hypothetical protein" /protein_id="RID51865.1" /db_xref="Phytozome:Brara.H02503" /translation="MSGQHQGTVPQNNGNSQMHNVGGAGGDEGPSRSTVGPGVDHNIL RLRQYMRILVFNVLQKKQPLPPDDAASKAKYMEVARRLEEGLFKTAISKEDYLNESTL DSRLGRLIKGRQLKNSNQGHTNSSKVGTMVPTSTGLSHAGGNPSSMVTSSACASVGLG SSPNTSGPMDHDVLELRQYMRTLVFNELHKRRPGPSNDALDANFLDIARHLEEGLFQM ANTRDDYLNQSTLVSRLATLMTRRIMNNQQNANSSPPGTMTTLAPEVSPMQVGVKVPE SEDELETEIAESLKSMSLYS" gene complement(20725540..20727937) /locus_tag="BRARA_H02504" /db_xref="Phytozome:Brara.H02504" mRNA complement(join(20725540..20726152,20726233..20726383, 20726454..20726697,20726768..20726978,20727061..20727176, 20727300..20727375,20727653..20727937)) /locus_tag="BRARA_H02504" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02504" mRNA complement(join(20725540..20726152,20726233..20726383, 20726454..20726697,20726768..20726978,20727061..20727176, 20727300..20727382,20727653..20727937)) /locus_tag="BRARA_H02504" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02504" CDS complement(join(20725742..20726152,20726233..20726383, 20726454..20726697,20726768..20726978,20727061..20727176, 20727300..20727360)) /locus_tag="BRARA_H02504" /codon_start=1 /product="hypothetical protein" /protein_id="RID51866.1" /db_xref="Phytozome:Brara.H02504" /translation="MMGSCFSCHKSGGEPSQVDREISAIIKVKIYKYKEIRQATDDFD ALNKIGEGGFGSVYKGRLKDGKIAAIKVLSAESRQGVKEFLTEINVISEIQHENLVKL YGCCVEGNHRILVYNYLENNSLDKTLLAGGYIKSGIQFDWRTRSRICIGVAKGLAFLH EEVRPHIIHRDIKASNILLDRDLSPKIADFGLAKLMPPNMTHVSTRVAGTIGYLAPEY AVRGQVTRKADVYSYGVLLMEIVSARSNKNTRLPQGYQYLLERAWDLYERNELVDLVD TGLNGVFDGEEACRYLKIGLLCTQDNPKLRPSMSTVVKLLTGEKKDIESRNITRPGLI SDFMDLKVKGPVEKKQEEVNRRNYYTNLSSDNASSSTGTRDNSNAYSSGASSSTAVST LSSTI" CDS complement(join(20725742..20726152,20726233..20726383, 20726454..20726697,20726768..20726978,20727061..20727176, 20727300..20727360)) /locus_tag="BRARA_H02504" /codon_start=1 /product="hypothetical protein" /protein_id="RID51867.1" /db_xref="Phytozome:Brara.H02504" /translation="MMGSCFSCHKSGGEPSQVDREISAIIKVKIYKYKEIRQATDDFD ALNKIGEGGFGSVYKGRLKDGKIAAIKVLSAESRQGVKEFLTEINVISEIQHENLVKL YGCCVEGNHRILVYNYLENNSLDKTLLAGGYIKSGIQFDWRTRSRICIGVAKGLAFLH EEVRPHIIHRDIKASNILLDRDLSPKIADFGLAKLMPPNMTHVSTRVAGTIGYLAPEY AVRGQVTRKADVYSYGVLLMEIVSARSNKNTRLPQGYQYLLERAWDLYERNELVDLVD TGLNGVFDGEEACRYLKIGLLCTQDNPKLRPSMSTVVKLLTGEKKDIESRNITRPGLI SDFMDLKVKGPVEKKQEEVNRRNYYTNLSSDNASSSTGTRDNSNAYSSGASSSTAVST LSSTI" gene 20728099..20731269 /locus_tag="BRARA_H02505" /db_xref="Phytozome:Brara.H02505" mRNA join(20728099..20728352,20728502..20728540, 20728815..20728903,20729090..20729136,20729223..20729303, 20729396..20729561,20729646..20729823,20729897..20729962, 20730097..20730210,20730468..20730537,20730640..20730677, 20730937..20731269) /locus_tag="BRARA_H02505" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02505" CDS join(20728174..20728352,20728502..20728540, 20728815..20728903,20729090..20729136,20729223..20729303, 20729396..20729561,20729646..20729823,20729897..20729962, 20730097..20730210,20730468..20730537,20730640..20730677, 20730937..20730997) /locus_tag="BRARA_H02505" /codon_start=1 /product="hypothetical protein" /protein_id="RID51868.1" /db_xref="Phytozome:Brara.H02505" /translation="MAKPSRGRRSPSASGSSSRSSSSSRSRSSRSRSLSLSRSLSSSS RSVSSGSRSPPPRGKSSAGPPKGASSPSKKAAPIQESLVLHVDSLSRNVNEGHLKEIF GNYGEVVHVELAMDRAVNLPKGYAYVEFKARADAEKALLFMDGGQIDGNVVKAKFTLP ARQKLSPPPKPVSSVPKREAPKSDSAGADIEKDGPGRPRETSPRRRSPLPRRLPGSPP RRRPSPPIRSRGDTPPRRRAASPSRGRSPSSPPPRRQRSPPRGSPRRIRGSPVRRRSP PPLRRRSPPRRLRSPLRRSPIRRRSRSPIRRPVRSRSRSISPRRGRGPAGRRRRSSSS YSSSPSPRRIPRKISRSRSPKRPLRGKRSSSSSSSPPPRRT" gene <20732198..>20735011 /locus_tag="BRARA_H02506" /db_xref="Phytozome:Brara.H02506" mRNA join(<20732198..20733569,20733669..>20735011) /locus_tag="BRARA_H02506" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02506" CDS join(20732198..20733569,20733669..20735011) /locus_tag="BRARA_H02506" /codon_start=1 /product="hypothetical protein" /protein_id="RID51869.1" /db_xref="Phytozome:Brara.H02506" /translation="MYTKFGQVKPARHLFDEMPVRNEASWNTMMSGLVRVGMYREGVG FFKEMCGLGVRPSGFVTASLVTACGRGGSMFREGVQVHGFVAKSGLMSDVYVSTAVLH LYGVYGLVSCSRKVFEEMPVRNVVSWTSLMVGYSDKGEAEEVIGIYKGMRGEGVGCNE NSMSLVISSCGLLKDESLGCQIIGEVIKSGLERKLAVENSLVSMFGNLGKVDCAKYIF DQMSERDTISWNSIAAAYAQNGYCEDSLWVFHLMRHFHGEVNSTTVSTLLSVLGHVDY HKWGRGIHGLVFKMGFDSVVCVCNTLLRMYAGAGRSEEAELVFNQMPAKDLISWNSLM ACFVEDGRSLDALGLLCSMIRTGKSANYVSFTSALAACFSPEFLDKGRILHGLVMVTG LFDNQIIGNALVSMYGKIGEMSESRRVLLQMPRRDEVAWNALIGGYAEDEDTDNALAA FRTMRMEVSAGFESDEHVKNSLITMYAKCGDLTSSHDLFNRLNNRNIITWNAMLAANA HHGHGEEVLKLVSKMRSLGMNLDQFSFSEGLSAAAKLAVLEEGQQLHGLAVKLGFEQD CFIFNAAADMYNKCGELDEAVKMLPPSVNRSLPSWNILISAFGRHGYFEKVCETFHEM LESGVKPGHVTFVSLLTACSHGGLVDQGLAYYDMIARDFGIKPAIEHCVCVIDLLGRS GRLAEAETFISNMPMKPNDLVWRSLLASCKIHGDLDRGRRAAEHLSKLEPEDDSVYVL SSNMFATTGRWEDVESVRNQMGFKNIKKKQACSWVKQKDKVSRFGIGDRTHPQTLEIY EKLEDIKKLIKESGYVADTSGALQDTDEEQKEQNLWNHSERLALAYALISTPEGGTVR IFKNLRICSDCHSVYKFVSKVVGRRIVLRDQYRFHHFENGMCSCKDYW" gene complement(<20735918..>20737450) /locus_tag="BRARA_H02507" /db_xref="Phytozome:Brara.H02507" mRNA complement(<20735918..>20737450) /locus_tag="BRARA_H02507" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02507" CDS complement(20735918..20737450) /locus_tag="BRARA_H02507" /codon_start=1 /product="hypothetical protein" /protein_id="RID51870.1" /db_xref="Phytozome:Brara.H02507" /translation="MADSTQPLLSDSNSSESKLPPPRTLDETIEQCIGNFGWAQFLQA ALVSFAWVFDAQQTFITVFTDSQPTWHCLDSNLCNSSSNLCTLPNQTWSWDFNPHVST ISEWGLQCAGSLVKGLPASSFFLGCLIGGLALSTLADSSLGRKNMLFLSCLIMSLSSM LTAFSTSIWVYAFLRFLNGFGRATVGTCALVLSTELVGNKWRGQVGAMGFLCFTLGFL TLPLLGYINNGNSWRYLYVWTSIPTLLYCCLVRFFVWESPRWLIVKGRKEEAVSILQS IGSNAITMSFSDLCLDVQESSNPDVYDALRILVKKPWSVKRLLAVMAVGFGIGMVYYG MPLTLSNLNFNLYLGVVFNALSELPAFLITFFFMNTIKRREALIGFTALSGISSVLIA SLGQQIGSLQIVLELVSFFSACTAFNMTLIYTIELFPTCVRNSALAMVRQALVFGGVF SPVMVAAGRENQFWSYGMFGLVIGLFGLFVVGLPETRGSVLCDTMDEEESKNLTKEDI IG" gene <20744469..20749636 /locus_tag="BRARA_H02508" /db_xref="Phytozome:Brara.H02508" mRNA join(<20744469..20744483,20744666..20744705, 20744807..20744867,20744939..20744971,20745114..20745168, 20745267..20745318,20745401..20745494,20745679..20745714, 20746016..20746070,20746265..20746319,20746417..20746523, 20746875..20746920,20747030..20747120,20747275..20747329, 20747564..20747851,20747934..20748020,20749172..20749307, 20749384..20749636) /locus_tag="BRARA_H02508" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02508" CDS join(20744469..20744483,20744666..20744705, 20744807..20744867,20744939..20744971,20745114..20745168, 20745267..20745318,20745401..20745494,20745679..20745714, 20746016..20746070,20746265..20746319,20746417..20746523, 20746875..20746920,20747030..20747120,20747275..20747329, 20747564..20747851,20747934..20748020,20749172..20749264) /locus_tag="BRARA_H02508" /codon_start=1 /product="hypothetical protein" /protein_id="RID51871.1" /db_xref="Phytozome:Brara.H02508" /translation="MAFAKAPRLSKDDIFGNRAVTRSFKFYSDDKKTDPACKVGVANK TRVPLRKKTVTVNSGATSNTNKTKKGNSGITGQDKSSNEISEENTKVTRKVLADLSNL GGNTLRPTLSGNNTVKWKGVKCSNPQRISVGTTRSNDTSLKKPTKVNESKRVTEVGNN GINKTDHKIIKNRTLSFGSTAGGTRYIYTNRMPSRISPSSLDNVSSLDSKQSGQGPVS KVGNRALPQLSSTRSYTGRTRTSVGSIPSDLNNQSKNNVRIRRKSIKIQTTLKTSLQN RSPLKKPPVGKSKSGSVSSVPSTEEAASALSLPEQVETKGLKEDTQEGSSANERTDPV TKVLDVTARPKSKRRKSFTSLLVTGSKFDGKNDETEQKEKLPSIDDESNQLEVAEYTG KLRVTYDKYMSPDRSNIALLKPLDKLPL" gene 20750662..20751549 /locus_tag="BRARA_H02509" /db_xref="Phytozome:Brara.H02509" mRNA join(20750662..20750788,20751120..20751549) /locus_tag="BRARA_H02509" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02509" CDS 20751121..20751399 /locus_tag="BRARA_H02509" /codon_start=1 /product="hypothetical protein" /protein_id="RID51872.1" /db_xref="Phytozome:Brara.H02509" /translation="MDDEIPSLSHITWTPTLTHVFIHCVCGSEITATITRSGQAYVHI QGSPIRPVVGSILEGSSFQAFVQHCNCTVDMLFDGTSGVVYVGRDDDD" gene 20753274..20757585 /locus_tag="BRARA_H02510" /db_xref="Phytozome:Brara.H02510" mRNA join(20753274..20753599,20753923..20754055, 20754146..20754627,20754707..20754925,20755007..20755309, 20755399..20755704,20755781..20755861,20755962..20756042, 20756163..20756537,20756630..20756761,20756863..20757033, 20757173..20757585) /locus_tag="BRARA_H02510" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02510" CDS join(20753318..20753599,20753923..20754055, 20754146..20754627,20754707..20754925,20755007..20755309, 20755399..20755704,20755781..20755861,20755962..20756042, 20756163..20756537,20756630..20756761,20756863..20757015) /locus_tag="BRARA_H02510" /codon_start=1 /product="hypothetical protein" /protein_id="RID51873.1" /db_xref="Phytozome:Brara.H02510" /translation="MGGLKRKSSSSAKTLAKSKKKKGPHLPNSILKLIASQKRPLNSD EEEDDEIGSDDEHGGDLYEYEEGVPEEESRKNNRYDRHDNYDYELPEDFEDEYVESED DVDGGNSEDDEEGDDDDDRHTRMLQSLTGMPSAAFEGESKSKPVLFTEAYPEGEFNPT RDVLEGKNVLTEEDFLAPLEGTPGYQKTSKQIARMRKDTKHVVHAPLPKPQRERLERK AVIGLVDEEFSKWVHLVKKNREAPTVYFNQDVDLGYSTVGAIASEFQPRTEFEKKMAF VLNDSEVSEAHRDDGARLLELNEVSMEDHIKDRNHIAKMRVLLFRHDLKCKQIKKIKS KTYHRLKNKDLKNSSLGTLMDPEMAKEEAMRQEAKRVEERMTLKHKNTGKWVKRMIRR GLNVKHDGTRAAIAEHFQMNANLSRKMNSMRDGSSSDEEELNDGSDDDTPSRLIAKAK EKTLKTLEDDEVPNAGLMSLPFMARAMKKKNEEANEEAQRALEEYEEWENSGVENSKK PVNVSGRRVFGATAKVEAPKESKKDSDNFYDNSDSDTDMAGIEDNDIEAVRDNASPAR NTRTITETEKFDDVAGNPASKTTFDVAMFASGSWKKMTGCKNTESKKASKKTRVPISQ AQDKKGSRDEESESEADQMVDGVLTCAASKETFEIPCQAELISRAFAGDDVLDEFEKD KEEVLNQEVPKPEKPVLLPGWGNWTYMQRKIGMSKQMVQKHEAEKKEWEQGLQTRKDA RLKHVIISEKVDKKAEKLHTTTLPFPHTSKEVFEHSMRMPIGPEFNPSTIVGELNRPE IVKKTGVIIKPVRFEEVNPNDEVDDEHPRNHQKQRPKKKTSRRQSKVKSK" gene complement(<20758932..>20759570) /locus_tag="BRARA_H02511" /db_xref="Phytozome:Brara.H02511" mRNA complement(<20758932..>20759570) /locus_tag="BRARA_H02511" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02511" CDS complement(20758932..20759570) /locus_tag="BRARA_H02511" /codon_start=1 /product="hypothetical protein" /protein_id="RID51874.1" /db_xref="Phytozome:Brara.H02511" /translation="MAYEVYNCIEFYPTEVIFNPHPRIYAPVFRVQGRSFTRLLYRPI GRPDVLVRQFREDEIRYEHVVHLTAAAMQNQNNLFQGILASPPEEDVRVIPEDFLEIA TWENVATLLSLSVENHNLNGVTPFRLEGHEAFGVVSIMREDEAWENEDCRDVPPPPLV VSECGVCLEDISQDPIHRLLHLHCCQHVFHKSCIYRTIWGAQPRCPTCRSVI" gene 20763809..20766426 /locus_tag="BRARA_H02512" /db_xref="Phytozome:Brara.H02512" mRNA 20763809..20766426 /locus_tag="BRARA_H02512" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02512" CDS 20764335..20764586 /locus_tag="BRARA_H02512" /codon_start=1 /product="hypothetical protein" /protein_id="RID51875.1" /db_xref="Phytozome:Brara.H02512" /translation="MSSVSDTKRTHFVFVFHPTASLFLLDVLETHLVSHTLHIFPSPC CCKHVRRQDVNRIILWFKLREMFRCSSPTVQISMDFAGN" gene complement(20766738..20767580) /locus_tag="BRARA_H02513" /db_xref="Phytozome:Brara.H02513" mRNA complement(join(20766738..20767203,20767436..20767580)) /locus_tag="BRARA_H02513" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02513" mRNA complement(join(20766738..20767206,20767436..20767580)) /locus_tag="BRARA_H02513" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02513" CDS complement(20766912..20767202) /locus_tag="BRARA_H02513" /codon_start=1 /product="hypothetical protein" /protein_id="RID51876.1" /db_xref="Phytozome:Brara.H02513" /translation="MAGQMPRVSHFEWNTALTHVVYQCVCQSKITATIFRNGQREINI QGSPVQPAVQPVVEGILQGSRFQTYVGHCNFIVHTSCDGTSGSIDVDSINED" CDS complement(20766912..20767202) /locus_tag="BRARA_H02513" /codon_start=1 /product="hypothetical protein" /protein_id="RID51877.1" /db_xref="Phytozome:Brara.H02513" /translation="MAGQMPRVSHFEWNTALTHVVYQCVCQSKITATIFRNGQREINI QGSPVQPAVQPVVEGILQGSRFQTYVGHCNFIVHTSCDGTSGSIDVDSINED" gene complement(20769047..20771196) /locus_tag="BRARA_H02514" /db_xref="Phytozome:Brara.H02514" mRNA complement(join(20769047..20770175,20770252..20770827, 20770933..20771016,20771109..20771196)) /locus_tag="BRARA_H02514" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02514" CDS complement(join(20769602..20770175,20770252..20770827, 20770933..20770934)) /locus_tag="BRARA_H02514" /codon_start=1 /product="hypothetical protein" /protein_id="RID51878.1" /db_xref="Phytozome:Brara.H02514" /translation="MDSTEQSIIPGLPDDLALRCLAKLSHGHHGALECVSRGWRDLLR SPDYSCFKSRNGWSGTWLFVLTEQSKNQWVAYDPEADRWHPLPRTRAVQDGWHHSGFA CVCVSNCLLVIGGCYAPSVSSFPHQKPVVTGDVMRFDPFKKEWRMVASMRTPRTHFAC CAVSGKVYVAGGRNLTHSRGITSAEVYDPVADRWEELPAMPRPQMDCSGLSYRGSFHV LSDQVGFAEQSFSEVFNPLEMSWSTVEDIWPFSRAMQFAVQVMKNDRVYTIVDWGESL IKTRDTDEGEWYNVGSVPSVVLANHPRELEAFGYGFAALRDELFVIGGKVLKWEESGA GRFDIVRLSVVRVCNPLDRPLNWRETKPMCIPAGGSIIGCASLEESSLP" gene complement(20771369..20773326) /locus_tag="BRARA_H02515" /db_xref="Phytozome:Brara.H02515" mRNA complement(join(20771369..20771913,20772036..20772276, 20772353..20772527,20772602..20772713,20772786..20773025, 20773102..20773326)) /locus_tag="BRARA_H02515" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02515" CDS complement(join(20771627..20771913,20772036..20772276, 20772353..20772527,20772602..20772713,20772786..20773025, 20773102..20773285)) /locus_tag="BRARA_H02515" /codon_start=1 /product="hypothetical protein" /protein_id="RID51879.1" /db_xref="Phytozome:Brara.H02515" /translation="MWAASCLASCCAACACDACRTVVSSISRRSARIAYCGLFALSLI VSWILREVAAPLMEKLPWINHFHKTPDREWFETDAVLRVSLGNFVFFSILSVMMIGVK TQKDPRDGIHHGGWMMKVICWFILVILMFFVPNEVISFYESMSKFGAGFFLLVQVVLL LDFVHGWNDTWVGYDEQFWYAALLVVSLVCYLATFVFSGLLFHWFTPSGHDCGLNTFF IVMTLIFVFVFAVVVLHPAVGGSILPASVISFYCMYLCYSGLASEPRDYECNGLHKHS KAVSTGTMTIGLLTTVLSVVYSAVRAGSSTTLLSPPDSPRAGKPLLPLDGKAEEKEEK EQKKPVTYSYAFFHIIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRVVTSWA TAGLFIWSVVAPILFPDREF" gene 20774110..20775121 /locus_tag="BRARA_H02516" /db_xref="Phytozome:Brara.H02516" mRNA join(20774110..20774430,20774708..20775121) /locus_tag="BRARA_H02516" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02516" CDS 20774725..20774991 /locus_tag="BRARA_H02516" /codon_start=1 /product="hypothetical protein" /protein_id="RID51880.1" /db_xref="Phytozome:Brara.H02516" /translation="MVLDGIVSSPLRRHQSLKKQWEDLGSCSTVVNRHRYLLTALLLL GFLCTVYLYFAVTLDARHNSSCYGLAGKEKAMCQAISKGKLKLF" gene complement(20775270..20777013) /locus_tag="BRARA_H02517" /db_xref="Phytozome:Brara.H02517" mRNA complement(join(20775270..20775556,20775682..20775790, 20775870..20775937,20776013..20776061,20776177..20776231, 20776481..20776523,20776676..20776741,20776833..20777013)) /locus_tag="BRARA_H02517" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02517" CDS complement(join(20775443..20775556,20775682..20775790, 20775870..20775937,20776013..20776061,20776177..20776231, 20776481..20776523,20776676..20776741,20776833..20777006)) /locus_tag="BRARA_H02517" /codon_start=1 /product="hypothetical protein" /protein_id="RID51881.1" /db_xref="Phytozome:Brara.H02517" /translation="MKTGTSVVVSRDGPMSALGAFFVYLISGFFLGVGFWVARNKFAV DLVSDPSLTLFLLWSIEFPVVAVVYSFLRKAPEKCSWCKAVGRSILGLISGALMNALG AIALGAPIGMQYLPKTIHWSFLMSVFTFVPATAVFGASWTDWHRAFASMKPTGNIEYM IVIPAYGAIVGGWFGAWPMPLDWERPWQEWPICVCYGAIGGYIVGQILSLSLMFLFRK HKNLKEA" gene <20777356..>20779308 /locus_tag="BRARA_H02518" /db_xref="Phytozome:Brara.H02518" mRNA <20777356..>20779308 /locus_tag="BRARA_H02518" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02518" CDS 20777356..20779308 /locus_tag="BRARA_H02518" /codon_start=1 /product="hypothetical protein" /protein_id="RID51882.1" /db_xref="Phytozome:Brara.H02518" /translation="MTTKSEKAVGIDLGTTYSCVGVWMNDRVEIIPNDQGNRTTPSYV AFTDTERLIGDSAKNQVALNPHNTVFDAKRLIGRRFSDPSVQSDMTHWPFKVVAGPGD KPMIVVTYKNEEKQFSPEEISSMVLIKMREVAESFLGHAVKNAVVTVPAYFNDSQRQA TKDAGSISGLNVLRIINEPTAAAIAYGLDKKGTQTGERNVLIFDLGGGTFDVSLLTIE EGVFEVKATAGDTHLGGEDFDNRLVNHFVAEFRRKHKKDISGNARALRRLRTACERAK RTLSSTAQTTIEIDSLHEGVDFYATISRARFEEMNMDLFRKCMDPVEKVLRDAKIDKN RVHEVVLVGGSTRIPKIQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGSDK VQDLLLLDVAPLSLGLETAGGVMTVLIPRNTTVPCKKEQVFSTYSDNQPGVLIQVYEG ERARTRDNNLLGTFELKGIPPAPRGVPQINVCFDIDANGILNVSAEDKTAGVKNQITI TNDKGRLSKEEIEKMVQDAEKYKAEDQQVKKRVEAKNSLENYAYNMRNTVKDEKLAQK LDQEDKQKIEKAIDETIEWIEGNQLAEVDEFEYKLKELEGICSPIISKMYQGGASAGG ANEGMPGDGGSGGSGGGQGPKIEEVD" gene 20780113..20782878 /locus_tag="BRARA_H02519" /db_xref="Phytozome:Brara.H02519" mRNA join(20780113..20780875,20780977..20781034, 20781125..20781208,20781282..20781411,20781486..20782878) /locus_tag="BRARA_H02519" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02519" CDS join(20780380..20780875,20780977..20781034, 20781125..20781208,20781282..20781411,20781486..20781782) /locus_tag="BRARA_H02519" /codon_start=1 /product="hypothetical protein" /protein_id="RID51884.1" /db_xref="Phytozome:Brara.H02519" /translation="MSVPPRFRSTDSGERDFRSVLGPTGNKLQRKLPGMKLENKKKTT TTIESKDEKTKKKPDPPASPTTTLKQCSSLCSSLLRKNSASMTASYSSDASSSCESSH LSVASSSSCKKAVRRSGSVSSVGACARRKQVDEKDDKVGASGGSNGDCFADGRRRCAW ITPKADSSYVAFHDEEWGVPVHDDKKLFELLSLSGALSELSWTDILSRRQLLREVFMD FDPVAVSELNEKKVTAAISLLSEVKLRSILDNARQVRKIIAEYGSFKKYMWNFVSNKP TQSQFRYQRQVPVKTSKAEFISKDLVRRGFRSVSPTVIYSFMQAAGLTNDHLIGCFRY QDCCSVDAETTTKAKKTERE" gene complement(20781486..20786481) /locus_tag="BRARA_H02520" /db_xref="Phytozome:Brara.H02520" mRNA complement(join(20781486..20782878,20782964..20783038, 20783121..20783167,20783270..20783338,20783428..20783479, 20783571..20783644,20783730..20783825,20783921..20784844, 20784929..20784979,20785062..20785259,20785343..20785475, 20785547..20785728,20785797..20786000,20786204..20786481)) /locus_tag="BRARA_H02520" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02520" CDS complement(join(20782146..20782878,20782964..20783038, 20783121..20783167,20783270..20783338,20783428..20783479, 20783571..20783644,20783730..20783825,20783921..20784844, 20784929..20784979,20785062..20785259,20785343..20785475, 20785547..20785728,20785797..20786000,20786204..20786311)) /locus_tag="BRARA_H02520" /codon_start=1 /product="hypothetical protein" /protein_id="RID51883.1" /db_xref="Phytozome:Brara.H02520" /translation="MGPLVGETELTEALLQAGKDLLRPYYSTDSIFDLLNKVESLLLA VEQDPIAEVRNALKPSMQALVSADLLRHPDSDVRVYVVSCLTEIMRITAPEAPYNDDQ MKEVFEVTVEAFGKLADASCESYKKAEAVLDTVAKVRSSLVMLDLECDELILEMFRQF LKIIRLSPDCPQTVLLSMETIMVTVIDESEEVSMDLLAILLGPVRKESLDVSPVASRL VEKVLISCASKLRPDIMDALKSTGTSLDMYSPVVSSICQSEAATTEAQIIVNPTDTEG EGKISEEQVVPNDSLQEKLDLGLSPKGIRSKRTARGGAGAIGDDNVKNGDGLKQVLKQ GQSESTEGETESGSTRRRRKPNSLLNPEEGYSFKTSSSIKKVHEKELGAAKKASLPTK VGQTNQSVVISLSPSSKARKGSRKRSRSKMEETDLDAGSVATPASKKQIVKKDEPEEK EDLMETSLEKPKDSTKTAKSSKKEKAQKGSASKKQIVKKDDAEEEEDFMETDLEKPEE STKTAKSSKKEREEKGSTKSTAKKPLAESKKEKAQKGSAKTAAKKPPAESKKEIGENG LAKTSAKKPLEKSVHSDAKKKNSEGASMESSKSKKKNSRAMTPPTKESEQTLKSHPKR KRTAREEVESNKSEHGEELVGKSVKVWWPLDKKFYDGVIESYNSLNKKHQVLYSDGDS EELNLKKERWDIISEEKEEIDLPDSTPLSDIMRMNKAKKRKTESMHVQLKSSSEVRSS KKKDLVTSSTKQGKVTKEAVKGGSNEPERREEINLQFPKDCDDKEESETKGEDSLKIK EESNAEPECKRDQQEPLEDSNAEAKSDGEELKSAETETDGEEQEIEKEATAEQTDGEE RESVKVPNEAKSDGDELKSANKSTAETETEGEEQEVEKEATAEPETDGEEREAVKEPN EEPETEVQVRDSAKEPTADTNLIEEDRSEEKETGKFENVTEEEEQKIVKELEEDTDEA EGGTIPVSG" gene complement(20787712..20788877) /locus_tag="BRARA_H02521" /db_xref="Phytozome:Brara.H02521" mRNA complement(join(20787712..20787967,20788063..20788128, 20788235..20788541,20788669..20788722,20788816..20788877)) /locus_tag="BRARA_H02521" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02521" CDS complement(join(20787908..20787967,20788063..20788128, 20788235..20788541,20788669..20788676)) /locus_tag="BRARA_H02521" /codon_start=1 /product="hypothetical protein" /protein_id="RID51885.1" /db_xref="Phytozome:Brara.H02521" /translation="MSGDEAAAPAVVPPVAAEPAAIIPEDMDLLTALELTLRKARAHG GVVRGLHESAKLIEKRVAQLCVLAEDCNQPDYVKLVKALCADHNINLLTVPSAKTLGE WAGLCKIDSEGNARKVVGCSCLVVKDYGEDTTALNIVKKHIESN" gene 20789130..20790824 /locus_tag="BRARA_H02522" /db_xref="Phytozome:Brara.H02522" mRNA join(20789130..20789334,20789569..20789796, 20789907..20790074,20790153..20790266,20790374..20790824) /locus_tag="BRARA_H02522" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02522" CDS join(20789296..20789334,20789569..20789796, 20789907..20790074,20790153..20790266,20790374..20790487) /locus_tag="BRARA_H02522" /codon_start=1 /product="hypothetical protein" /protein_id="RID51886.1" /db_xref="Phytozome:Brara.H02522" /translation="MDIPSSWDELRKQARKIEAQLDEQMHSYRRLVSTKSDGAASDLE AGIDLLLRQLQQVNAQMQAWVSSGGSEMVSHTLTRHQEIFQDLTHEFHRHRSSLKTKQ ERASLLEDFKEFDRTRLDLEAGDGSSEQALLKEHVGINSNTAQMDDVISQAQATLGTL VFQRSTFGGINSKLGNVTSRLPTVNTILSAIKRKKSMDTIILSLVSAVCTFLIFIYWL SK" gene complement(20790515..20793052) /locus_tag="BRARA_H02523" /db_xref="Phytozome:Brara.H02523" mRNA complement(join(20790515..20790818,20790921..20791058, 20791136..20791201,20791280..20791386,20791477..20791537, 20791648..20791731,20791817..20791853,20791944..20792018, 20792102..20792189,20792376..20792454,20792693..20793052)) /locus_tag="BRARA_H02523" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02523" CDS complement(join(20790963..20791058,20791136..20791201, 20791280..20791386,20791477..20791537,20791648..20791731, 20791817..20791853,20791944..20792018,20792102..20792189, 20792376..20792394)) /locus_tag="BRARA_H02523" /codon_start=1 /product="hypothetical protein" /protein_id="RID51887.1" /db_xref="Phytozome:Brara.H02523" /translation="MSFVDSVSSRAVDEQDLERIVDVFHRYSNTASNLVDPEGIELLC SDLDVSRTDIRILMLAWKMKAEKQGYFTQEEWTRGLMALRADTIDKLKKALPALEKEV RRPSNFADFYAYAFTYSLTEEEQKSVDIETICQLLDIVMGSTFRPQVDYFLDYLKIQN DYEVIYMDQWMGFYRFCNEISFPGMSEYNPGLAWPLLLNNFVEWIREKQA" gene complement(20794532..20796279) /locus_tag="BRARA_H02524" /db_xref="Phytozome:Brara.H02524" mRNA complement(join(20794532..20794776,20794864..20794929, 20795026..20795132,20795241..20795301,20795403..20795523, 20795619..20795693,20795775..20795939,20796038..20796279)) /locus_tag="BRARA_H02524" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02524" CDS complement(join(20794672..20794776,20794864..20794929, 20795026..20795132,20795241..20795301,20795403..20795523, 20795619..20795693,20795775..20795917)) /locus_tag="BRARA_H02524" /codon_start=1 /product="hypothetical protein" /protein_id="RID51888.1" /db_xref="Phytozome:Brara.H02524" /translation="MLCIRRFCFVMSLMIVVSAVQLTDLEHALNCFIDVYHNYSNTIS NLIDPEGIEKLCSDLDVSRTDIRFLMLAWTMKAEKQGYITHEEWTRGLKALRADTIDE LKKALPQLEKEVRTPSNFTDFYAYAFRYSLTEERQESIDIETICQLLGIVLGSTFRPQ VDYFVEYLKIQNDYKVINIDQWMGFYRFCNEISFPEMTEYNPELAWPLLLNNFVEWIR EKTSLKA" gene 20796749..20798005 /locus_tag="BRARA_H02525" /db_xref="Phytozome:Brara.H02525" mRNA join(20796749..20797160,20797331..20798005) /locus_tag="BRARA_H02525" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02525" CDS join(20796931..20797160,20797331..20797880) /locus_tag="BRARA_H02525" /codon_start=1 /product="hypothetical protein" /protein_id="RID51889.1" /db_xref="Phytozome:Brara.H02525" /translation="MAMAVSGAVLSGLGPSFLSGGKISASALGSGVGSTAARVGRKTL IVAAAAASQPKKSWIPAVKGGGNFLDPEWLDGSLPGDFGFDPLGLGKDPAFLKWYREA ELIHGRWAMAAVLGIFVGQAWSGVTWFEAGADPRAIAPFSFGSLLGTQLLLMGWVESK RWVDFFNPDSQSVEWATPWSRTAENFANYTGDQGYPGGRFFDPLGLAGKTRGGVYEPD REKLERLKVAEIKHSRLAMLAMLIFYFEAGQGKTPLGALGL" gene 20798904..20805297 /locus_tag="BRARA_H02526" /db_xref="Phytozome:Brara.H02526" mRNA join(20798904..20799102,20799502..20799623, 20799757..20799868,20800053..20800170,20800272..20801678, 20801779..20801849,20802066..20802140,20802451..20803758, 20803882..20804226,20804313..20804519,20804612..20804700, 20804821..20805297) /locus_tag="BRARA_H02526" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02526" CDS join(20799511..20799623,20799757..20799868, 20800053..20800170,20800272..20801678,20801779..20801849, 20802066..20802140,20802451..20803758,20803882..20804226, 20804313..20804519,20804612..20804700,20804821..20805028) /locus_tag="BRARA_H02526" /codon_start=1 /product="hypothetical protein" /protein_id="RID51890.1" /db_xref="Phytozome:Brara.H02526" /translation="MDNNNWRPSLPSGDPAMETGDWRAQLPPDSRQKIVNKIMETLKK HLPYSGPEGINELRRIAARFEEKIFSGAVNQTDYLRKISMKMLTMETKSQNQAGSSST IPTANNGTSMDSLPTNQGNLLPGTLPNNQSQAPQPLLPQTMQNNTASGMMGSSALPSS MPPVSSMTHNNVASVVNQNSNMQNVAGMLQDSSGQHGLSSNMFPGSQRQMLGRPHTMS SQQQQQQQQPQSAQYLYQQQLQQQLLKQNFQSGNVPNPSSLLPSHIQQQQQQQNVMQP NQMHSSQQSGIPTSATQASSVSSAPLQGLHTNQQSSPQLPGQQTTTQAMLRQHQSSLL RQHPQSQQASGIHQQQTSLPQQSISPLQQQQSQMIRQQAANSSGIQQKQMMGQHLVGD MQQQQHQQRLLNQQNNMMNMQQQQQPLQHKQQPPAQQLMSQQNSLQATQQQPLGTQSN VTGLQQSNVTGLQQPQQQLLNSQVGNSNLQTNQQSVHMLSQPTGMQRTHQAGHGLFPS QGQQSQNQPSQQQMMPLQSHHQLGLQQQPNVLQQDVQQRLQSSGQVTGSLLPPQNVVD HQRQLYQSQRALPEMPSSSLDSTAQTENANGVDWQEEAFQKIKTMKEAYLPDLNEIYQ RVTAKLQQDSLPQQQRSEQFEKLKQFKTMLERMIQFLSVSKTNIVPALKDKVTFYEKQ IITFLNMHRPRKPVQQGQLPQSQMQPMQQQQSQNVQDQSHDSQTNPQMQSMSMPGSSG QRAQQSSLTNMQNSLLSSRPGVSAPQQNIPSSMPASSLESGQGNALNNGQQIAMGSMQ QNTSQQQQVNNSSASAQSGLSTLQSNVNQTQLSSSLLQQQHMKQQQDQQMTQQFKQQF QQRQMQQQLKQQIIQQQQQQLQARQQVAQNDMNDSTARQGMNAGRGMFQQHSLQGQRA NYPLQQLKPGSQLPVTSPQLMQGQSPQMIQQHLSPQIDQKIAMSSVNKTGTPLQPANS PFIVPSPSTPLAPSPMQVDSEKPSGASSLSMGNTARQQATGMQGVVQSLAIGTPGISA SPLLQEFITADGNNLNPLISISGKPSGAELPMERLIRVVKSISPQALSSAVSDIGSVV SMVDRIAGSAPGNGSRASVGEDLVAMTKCRLQARNFMTQEGMMATKKMKRHTTAMPLS VSSLEGSVGDNYKQFACSGTSDLESTATSDGKKARTETDHALLEEIKEINQRLIDTVV EISDDEDAADPSEGVTARKGCEGTTVKFSFIAVSLSPALKAHLSSTQMSPIQPLRLLV PCSYPNGSPSLLDKLPVETSKDNEDLSSKAMARFNILLRSLSQPMSLKDIAKTWDACA RTVICEYAQQFGGGTFSSKYGTWEKYVAAS" gene 20805462..20806734 /locus_tag="BRARA_H02527" /db_xref="Phytozome:Brara.H02527" mRNA 20805462..20806734 /locus_tag="BRARA_H02527" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02527" CDS 20805588..20806727 /locus_tag="BRARA_H02527" /codon_start=1 /product="hypothetical protein" /protein_id="RID51891.1" /db_xref="Phytozome:Brara.H02527" /translation="MTGSISTSWLLSSPTNSKPLSTSKSITFLPTLNPRLSPDRFPSR SPSPSLQIRAGIRELRERIDTVKNTQKITEAMKLVAAARVRRAQEAVINCRPFTEALV GILHSINQCAQSEDIDFPLSNVRPVKRVALVVVTGDKGLCGGFNNAVIKKANSRVDEL KQRGIECVVVSVGKKGNAYFSRRDDVEVDKCIEGGGVYPTAKEAQVIADDVFSLFVSE EVDKVELVYTKFVSLVKSDPVIHTLLPLTLKGESCDVEGVCVDAMEDEMFRLTSKDGK LSVERRKVEVERPEISPLMQFEQDPVQILDAMMPLYLNSQILRALQESLASELASRMS AMSNATDNAVELKKSLTVAYNRERQAKITGELLEIVAGAEALRGT" gene complement(20806801..20810541) /locus_tag="BRARA_H02528" /db_xref="Phytozome:Brara.H02528" mRNA complement(join(20806801..20807259,20807337..20807396, 20807467..20808208,20808520..20808606,20808687..20809066, 20809434..20810008,20810217..20810541)) /locus_tag="BRARA_H02528" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02528" CDS complement(join(20807053..20807259,20807337..20807396, 20807467..20808208,20808520..20808606,20808687..20809066, 20809434..20810008,20810217..20810475)) /locus_tag="BRARA_H02528" /codon_start=1 /product="hypothetical protein" /protein_id="RID51892.1" /db_xref="Phytozome:Brara.H02528" /translation="MVASAFLPELWTEILIPVCAVVGIAFSLFQWFIVSRVRVSADQG ASSSSSGGSKNGYGDYLIEEEEGVNDQSVVAKCAEIQTAISEGATSFLFTEYRYVGVF MVIFAAIIFVFLGSVEGFSTENKPCTYDETKTCKPALATAAFSTIAFVLGAVTSVLSG FLGMKIATYANARTTLEARKGVGKAFIVAFRSGAVMGFLLAASGLLVLYVTINVFKIY YGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKIERNIPEDDPRN PAVIADNVGDNVGDIAGMGSDLFGSYAEASCAALVVASISSFGINHDFTAMCYPLLIS SMGILVCLITTLFATDFFEIKAVKEIEPALKNQLIISTVIMTVGIAVVSWVGLPSSFT IFNFGTQKVVQNWQLFLCVCVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATN VIFGLALGYKSVIIPIFAIAVSIFVSFSFAAMYGVAVAALGMLSTIATGLAIDAYGPI SDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRA GVHTVDVLTPKVIIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEG TAKPDYATCVKISTDASIKEMIPPGCLVMLTPLIVGFFFGVETLSGVLAGSLVSGVQI AISASNTGGAWDNAKKYIEAGVSEHAKSLGPKGSEPHKAAVIGDTIGDPLKDTSGPSL NILIKLMAVESLVFAPFFATHGGILFKYL" gene complement(20816455..>20818654) /locus_tag="BRARA_H02529" /db_xref="Phytozome:Brara.H02529" mRNA complement(join(20816455..20816703,20816781..20816915, 20816994..20817149,20817235..20817421,20817494..20817627, 20817698..20817790,20817883..20818019,20818134..20818356, 20818451..>20818654)) /locus_tag="BRARA_H02529" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02529" CDS complement(join(20816650..20816703,20816781..20816915, 20816994..20817149,20817235..20817421,20817494..20817627, 20817698..20817790,20817883..20818019,20818134..20818356, 20818451..20818654)) /locus_tag="BRARA_H02529" /codon_start=1 /product="hypothetical protein" /protein_id="RID51893.1" /db_xref="Phytozome:Brara.H02529" /translation="MRNENYVSRPFTRALASALRASTTQNQQRANTKRPASEDKNVTA PNKKNKRAVLADISNASFNAPKLEAKNIKQVKKGRGTSQLASSCVTSENTKLRSKTDA KAEAVSVTAGRMSLCKDTNDTADNCNFRLPPRPLGRSASIVEKSDVIGSSTALDIPKF IDIDSDDKDPLLCCLYAPQIYYSLRVSELKRRPVPNFMERIQKDVTESMRGILVDWLV EVAEEYTLVPDTLYLTVYLIDWFLNGNYVERNRLQLLGVTCMLIASKYEEIYAPRIEE FCSITDNTYTRDQVLEMENQVLAHFSFQIYTPTPKTFLRRFLRAAHASCQSLSLGVEL EFLASYLMELTLVDYHFLKFLPSVIAASAVFLAKWTLDQSNHPWNPTLEHYTTYKASD LKASVHALQDLQLNTKGCPLSAVRMKYKQEKFKSVAVLTSPKLLDTLF" gene <20821619..>20822200 /locus_tag="BRARA_H02530" /db_xref="Phytozome:Brara.H02530" mRNA <20821619..>20822200 /locus_tag="BRARA_H02530" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02530" CDS 20821619..20822200 /locus_tag="BRARA_H02530" /codon_start=1 /product="hypothetical protein" /protein_id="RID51894.1" /db_xref="Phytozome:Brara.H02530" /translation="MKTAVGITMISFLLVAVTLSVVVSGVNEFVLDYQGKPVKPGTLY YVHHWHQERDSWLYPGSFRTSCPDTLAVVPTSYSLGERVQIMFNMVREDIGGVRVSTE LNIWMPLGKTCSKSGYWRFAFNSWTREYELVPTGSRSSSDSIFTIQKSDRRYPSYEFV FGSENSTVIRFLELPSAPAFVSGKESAFSFYPV" gene complement(20823416..20825869) /locus_tag="BRARA_H02531" /db_xref="Phytozome:Brara.H02531" mRNA complement(join(20823416..20823936,20824030..20824377, 20824460..20824603,20824681..20824935,20825011..20825869)) /locus_tag="BRARA_H02531" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02531" CDS complement(join(20823607..20823936,20824030..20824377, 20824460..20824603,20824681..20824935,20825011..20825766)) /locus_tag="BRARA_H02531" /codon_start=1 /product="hypothetical protein" /protein_id="RID51895.1" /db_xref="Phytozome:Brara.H02531" /translation="MEGLIQPRGILSLPTKPIVAARTLLPPSPALKQRLFTRNLPPLS FSSNAPTKVQSFHRNPLTISISHKERINRGFICKAEAAAAASGEGDSPNILGVEVTTL KKIIPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLY TKLSNVLSKKALFYTVIIPFIAYFGAFGFVMYPLSNMIHPEALADKLLATLGPRFMGP LAILRIWSFCLFYVMAELWGSVVVSVLFWGFANQITTVDEAKKFYPLFGLGANVALIF SGRTVKYFSNMRKNLGPGVDGWAVSLKAMMSIVVGMGLAICFLYWWVNRYVPLPTRSK KKKVKPQMGMMESLKFLVSSPYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEY SAFMGDFSTCTGIATFTMMLLSQYVFNKYGWGVAAKITPTVLLLTGVAFFSLILFGGP FAPLVAKLGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAI DVVCNPLGKSGGALIQQFMILTFGSLASSTPYLGVILLGIVTAWLAAAKSLEGQFNTL MSEEELEKEMERAASLKIPVVSSEEAASGESTSQLPETSSPTSI" gene 20826919..20830305 /locus_tag="BRARA_H02532" /db_xref="Phytozome:Brara.H02532" mRNA join(20826919..20828194,20828285..20828536, 20828621..20828713,20828838..20829209,20829293..20829637, 20829702..20829929,20830014..20830305) /locus_tag="BRARA_H02532" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02532" CDS join(20827007..20828194,20828285..20828536, 20828621..20828713,20828838..20829209,20829293..20829637, 20829702..20829929,20830014..20830184) /locus_tag="BRARA_H02532" /codon_start=1 /product="hypothetical protein" /protein_id="RID51896.1" /db_xref="Phytozome:Brara.H02532" /translation="MNYRFQNLLGTPYRGGNAVITQNTQLISPVGNRVSVTDLSKHHS ITLPVNTSSNICRLAASPDGTFLIAVDENNRCLFINVPRRAVLHRMRFDGKVGALKFS PDGKFFAVGVGKLVEIWESPGFSRAAFAFKRARTFANSDDKVVSLEWSVDSEYLLVGA KDLAARLFCVRKLKGVLNKPYLFLGHRDSVVGCFFGVDKVSNKVNRAFTIARDGYMFS WGYSGKDDESEDEPMSPDTPERADEVMVENKKRKEYDGRGCESEDEGEEYMHRGKWSL LRKDGFNQGSAKVTACDYHQGLDMVVVGFSNGVFGLYQMPDFICIHLLSISREKLTTA VFNGRGNWLTFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCVTYSSDSQFLATGADD NKVKVWNVASGACFITFTEHTNAVTALHFMADNHSLLSASLDGTVRAWDFRRYKNYKT YTTPTPRQFVSLTADPSGDVVCAGTLDSFEIFVWSKKTGQIKDILNGHEAPVHGLMFS PLTQILASSSWDNTVRLWDVFASKGTVETFQHNHDVLTVTFRPDGKQLASCTLDGQIH FWDTVDGVLMYTIEGRRDIAGGRSMTDRRSAANSSSGKCFTTLCYSADGSYILAAGNS RYICMYDISDQVLLRRFQISHNLSLDGVLDFLSSKKMTEAGPMDLIDDDNSDEEDGID KQSRGNLGYDLPGSKPNRGRPIIRTKGLSIAPTGRSFAAATTEGVLIFSIDESFIFDP TDLDIDVTPEAVEDAIKEEEVSRALALSMRLNEDSLIKKCIFAVSPPDIKAVAMSVPQ KYLERLMEALVDLLENCPHLEFLLHWCQEICKVHGSSIQRNYRTLLPALKSLQKAITR AHQDLADMCSSNEYTLRYLCSVPNSH" gene complement(20830004..20831859) /locus_tag="BRARA_H02533" /db_xref="Phytozome:Brara.H02533" mRNA complement(join(20830004..20831249,20831692..20831859)) /locus_tag="BRARA_H02533" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02533" CDS complement(20830491..20831240) /locus_tag="BRARA_H02533" /codon_start=1 /product="hypothetical protein" /protein_id="RID51897.1" /db_xref="Phytozome:Brara.H02533" /translation="MHKERQVSPVSSTRNNRASPYPLRSSRSKKQKEAESPPLASESV SEWEDVRCVICMEPPHNAVLLQCSSFSKGCRAYMCDTSSRHSNCFKQYRGNKKTSSKT LRCPYCRGEVHGTMKSTSARRFMNARPRCCSMDECDFSGTYAQLKTHLRAEHPGFITP MMDPEQHTWEQLQRDREAEYVETLNALHRWEADQRLLLAGPLYQFPPLHHHHHHPYLS LDAFVNRFNSARGQASAASYPHGTMYPSWTP" gene 20833974..20835668 /locus_tag="BRARA_H02534" /db_xref="Phytozome:Brara.H02534" mRNA join(20833974..20834059,20834150..20834248, 20834337..20834557,20834642..20834724,20834804..20834915, 20835014..20835076,20835270..20835668) /locus_tag="BRARA_H02534" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02534" CDS join(20834017..20834059,20834150..20834248, 20834337..20834557,20834642..20834724,20834804..20834915, 20835014..20835076,20835270..20835470) /locus_tag="BRARA_H02534" /codon_start=1 /product="hypothetical protein" /protein_id="RID51898.1" /db_xref="Phytozome:Brara.H02534" /translation="MAKEKLKPLLTSDGGEIAETPPREKKHKKKNKKRAEPDPDLPST RDYDIGVDEDRDGVLVDDAHNEPTMGDKFESLNLLGGEKVITDKPPTAASVNVLLRQA LHADDRSLLLDCLYNRDEQVIANSVAKLNSAEVLKLLNSLLPILQSRGAVLACAIPWI KCLLLTHSSGIMSQESSLLALNSMYQLIESRITTLHTAVQVSSELDLIVDDLDEEEEE DEGPVIYEDKDSDEEEGGAGAGAEEAMETDEEGDESEDEDVDGVSSFEDFDDMSD" gene <20835961..>20836427 /locus_tag="BRARA_H02535" /db_xref="Phytozome:Brara.H02535" mRNA join(<20835961..20836030,20836132..20836178, 20836266..>20836427) /locus_tag="BRARA_H02535" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02535" CDS join(20835961..20836030,20836132..20836178, 20836266..20836427) /locus_tag="BRARA_H02535" /codon_start=1 /product="hypothetical protein" /protein_id="RID51899.1" /db_xref="Phytozome:Brara.H02535" /translation="MASGQNEEFSVKAGQNVGQAQVSVDNNTNSSQTSGFLQQKGEEV KSMAQGASEAVKNKLGMNNDYKNKNPLDRKNPNNTTCPSMPGHPPSDI" gene <20838759..>20838950 /locus_tag="BRARA_H02536" /db_xref="Phytozome:Brara.H02536" mRNA <20838759..>20838950 /locus_tag="BRARA_H02536" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02536" CDS 20838759..20838950 /locus_tag="BRARA_H02536" /codon_start=1 /product="hypothetical protein" /protein_id="RID51900.1" /db_xref="Phytozome:Brara.H02536" /translation="MSSAQESGNGTTNIVITETRNKKVERNASMDVDQCAEAFITNFR KQLLLQRLESIENMLSRGL" gene complement(20839448..20840601) /locus_tag="BRARA_H02537" /db_xref="Phytozome:Brara.H02537" mRNA complement(join(20839448..20839868,20840167..20840272, 20840360..20840601)) /locus_tag="BRARA_H02537" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02537" CDS complement(join(20839605..20839868,20840167..20840272, 20840360..20840514)) /locus_tag="BRARA_H02537" /codon_start=1 /product="hypothetical protein" /protein_id="RID51901.1" /db_xref="Phytozome:Brara.H02537" /translation="MKENAGNPLHLTSLNHVSLLCRSIEESMNFYQKVLGFFPIRRPE SLNFEGAWLFGHGIGIHLLRALELEKLPKKNEINPKDNHISFQCESMEAVEKKLDEME IDYVRSKVEEGGIQVDQLFFHDPDGFMIEICNCDSLPIVPLVGGMVRSCSRVKLHQMV QPQPQTQINQVVHP" gene complement(20848781..20849998) /locus_tag="BRARA_H02538" /db_xref="Phytozome:Brara.H02538" mRNA complement(join(20848781..20849360,20849654..20849998)) /locus_tag="BRARA_H02538" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02538" CDS complement(join(20848838..20849360,20849654..20849733)) /locus_tag="BRARA_H02538" /codon_start=1 /product="hypothetical protein" /protein_id="RID51902.1" /db_xref="Phytozome:Brara.H02538" /translation="MVQTKKFRGVRQRHWGSWVAEIRHPLLKRRIWLGTFETAEEAAR AYDEAAVLMSGRNAKTNFPLNNNTGDTSEGKTDISASSSSSSLSSILSAKLRKCCKSP SPSLTCLRLDTASSHIGVWQKRAGSKSDSSWVMTVELGPSGSVELSPSGSSQEPTSTT ASKDDVVGPATEVGGGGEEGLMDEEEKVALQMIEELLNTN" gene 20852200..20853698 /locus_tag="BRARA_H02539" /db_xref="Phytozome:Brara.H02539" mRNA join(20852200..20852341,20852418..20852521, 20852729..20852969,20853044..20853137,20853222..20853291, 20853381..20853698) /locus_tag="BRARA_H02539" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02539" mRNA join(20852200..20852341,20852729..20852969, 20853044..20853137,20853222..20853291,20853381..20853698) /locus_tag="BRARA_H02539" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02539" CDS join(20852867..20852969,20853044..20853137, 20853222..20853291,20853381..20853434) /locus_tag="BRARA_H02539" /codon_start=1 /product="hypothetical protein" /protein_id="RID51903.1" /db_xref="Phytozome:Brara.H02539" /translation="MDNTTFPSQGSISSSNQTFDSQSGAAAPPEFVNQGLLLWNQTRE RWVGKERRSNNPPDPTQGAKINWDAASYDSLLGSNKLFSQPIPLNEMVDFLVEVWEQE GLYD" CDS join(20852867..20852969,20853044..20853137, 20853222..20853291,20853381..20853434) /locus_tag="BRARA_H02539" /codon_start=1 /product="hypothetical protein" /protein_id="RID51904.1" /db_xref="Phytozome:Brara.H02539" /translation="MDNTTFPSQGSISSSNQTFDSQSGAAAPPEFVNQGLLLWNQTRE RWVGKERRSNNPPDPTQGAKINWDAASYDSLLGSNKLFSQPIPLNEMVDFLVEVWEQE GLYD" gene 20854216..20856156 /locus_tag="BRARA_H02540" /db_xref="Phytozome:Brara.H02540" mRNA join(20854216..20854429,20854985..20856156) /locus_tag="BRARA_H02540" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02540" CDS join(20854367..20854429,20854985..20855977) /locus_tag="BRARA_H02540" /codon_start=1 /product="hypothetical protein" /protein_id="RID51905.1" /db_xref="Phytozome:Brara.H02540" /translation="MENTDELVSIELPAPASWKKLFYPKRAGTPRKTEIVFMAPTGEE ISSRKQLEQYLKAHPGNPVISEFDWTTGETPRRSSRISQKVKATTPTPEREPHMKKRR SSLTKKDLKEAAEKENKKEGEKEGGAEVAEAEKENKEGEKTEAEGEVVTEKKEPMEVD TSEGEKKIEEENKEGEAVTDKKEPMEVDTSEVEKKSEEENKEGEAVTDKKEPMEVDAS EVEKKTESGGIVEEPSKVVTDGENKPAEKETENKGSIAAEANGEKNEPNLDAEVNKGN ETKEAEEKKTDGEPNLDAEVNKGNGVQEAEEKKAEAAAAVSEEKSNDVKGEDTNRSGE ANQVQQQQGAAAASVSC" gene <20857247..>20859379 /locus_tag="BRARA_H02541" /db_xref="Phytozome:Brara.H02541" mRNA <20857247..>20859379 /locus_tag="BRARA_H02541" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02541" CDS 20857247..20859379 /locus_tag="BRARA_H02541" /codon_start=1 /product="hypothetical protein" /protein_id="RID51906.1" /db_xref="Phytozome:Brara.H02541" /translation="MDPNPSSELVDNKVNLEIESSDTQPIKRRRKRKSMVWDHFTTET TTSGTTKAFCKHCNKSYAYMSGGKASGTSHLKRHIELGICDKCPNTNLITQRKDETAS CPPPKKRQRRCNVAMDQDGCNHEMAKMIIMHGYPLHMVEHSGFSSFVKTLRPQFGMPR FDTVHGDCVGMFLSEKQKLSEFIGEIPGGVSLSVDLWLSKESVGYAFVSGHFVDKDWN LSSRLLNVVVVACPDSDVALNQPLAACLSEWKLEGKVSSLTVSQSQVNKTCVDNLRGF LSFKNQHVLNGQLLMGECYARLISSMAQEALAAEEVQGPVKKVRDSVKYVLTNDACGE KFEEVKRLFPASAPYKDLVIDNIGRWDTSYKMLLVAYEHRQVFTCLETCYPGYKISIS NEEWRKIESLCLCLKVLFEASEVLIMPKRLTANTFYDVMTKLQLELSRIAMGEEELGN VVSSLRERFDLYWRGCFLVVAVAVVLDPRFKMQHVKETFTESYGEDAEQWIKTVTDAL HDLYQNYSEHNLLDSYVVDHGFAETEVVQEPHFQQQDMPQDANADEISPQSEQTTESS HQHETQPKVEKQQNVSEEYQDHTTFMDDVLLEEGSTLVTVGDSFSDFDIEISEMKPEL DQYLDDCLVLKSEDFDVLSWWRLNSNNYPTLSKMAADLLSIPFSTVSPESVFDTEVKQ MDSYRTSLPRGTLEALLCTKDWLKNQTL" gene complement(20860095..20864299) /locus_tag="BRARA_H02542" /db_xref="Phytozome:Brara.H02542" mRNA complement(join(20860095..20860285,20860507..20860640, 20860875..20860935,20861242..20861297,20861488..20861589, 20861928..20862030,20862248..20862951,20863040..20863236, 20863374..20863476,20863731..20864299)) /locus_tag="BRARA_H02542" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02542" mRNA complement(join(20860095..20860285,20860507..20860640, 20860875..20860935,20861242..20861297,20861488..20861589, 20861928..20862030,20862248..20862951,20863040..20863239, 20863374..20863476,20863731..20864299)) /locus_tag="BRARA_H02542" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02542" CDS complement(join(20860613..20860640,20860875..20860935, 20861242..20861297,20861488..20861589,20861928..20862030, 20862248..20862951,20863040..20863236,20863374..20863476, 20863731..20864146)) /locus_tag="BRARA_H02542" /codon_start=1 /product="hypothetical protein" /protein_id="RID51908.1" /db_xref="Phytozome:Brara.H02542" /translation="MAQADAGEQEYESDPEELKRSLATRRREASDDEDEEEEEEEEED EEVKNQRAEIDSESGQSADNDENKSDDGEGSYDEEEEGDGDDDDDDKRSKPAEDESAG RVDGEEDKEKQSSAVPTGGAFYMHDDRFQELSAGRSRRGRGGRRSWGSGDERKWGHDK FEEMNTTQEKHHDKTPRGGFRGRGRGRGQGRGYSRGRSSNASSSNGHQIFVPKATTRV GEARKDEIPLSKGSQVHSSKPLRNSRGSQHWHEKKSHHDSRRSPSAPTQTGNEDSHTK KNVVVSSLSSASPPFYPSVSSSNLVHGIQVGMERLQTNESATPSGKKLRNTKSVYLPV HTAQTFQPTSQVRGAPTTGNVFYPQSHSQGGKFSSPMQLNGDSKGTSKGYIRPSGQDF DQHTAVIRPLSSSTQRTNSSGNRYLPGEIESASDTGASFAKGKGTLQATGSGSLMFSG SQVMGNAESLASSDNSNFPAFLPVMQFGRQHGGVPTFGMAFPGYVQPENGIGNPEMTW MPVLTGPGALGASYSPPYAAVDGSYQAHKPGSSSKENSTNNLHDLEKPMERPELSESG VSKRQNNNSSKQPRRYSKMSFSK" CDS complement(join(20860613..20860640,20860875..20860935, 20861242..20861297,20861488..20861589,20861928..20862030, 20862248..20862951,20863040..20863239,20863374..20863476, 20863731..20864146)) /locus_tag="BRARA_H02542" /codon_start=1 /product="hypothetical protein" /protein_id="RID51907.1" /db_xref="Phytozome:Brara.H02542" /translation="MAQADAGEQEYESDPEELKRSLATRRREASDDEDEEEEEEEEED EEVKNQRAEIDSESGQSADNDENKSDDGEGSYDEEEEGDGDDDDDDKRSKPAEDESAG RVDGEEDKEKQSSAVPTGGAFYMHDDRFQELSAGRSRRGRGGRRSWGSGDERKWGHDK FEEMNTTQEKHHDQKTPRGGFRGRGRGRGQGRGYSRGRSSNASSSNGHQIFVPKATTR VGEARKDEIPLSKGSQVHSSKPLRNSRGSQHWHEKKSHHDSRRSPSAPTQTGNEDSHT KKNVVVSSLSSASPPFYPSVSSSNLVHGIQVGMERLQTNESATPSGKKLRNTKSVYLP VHTAQTFQPTSQVRGAPTTGNVFYPQSHSQGGKFSSPMQLNGDSKGTSKGYIRPSGQD FDQHTAVIRPLSSSTQRTNSSGNRYLPGEIESASDTGASFAKGKGTLQATGSGSLMFS GSQVMGNAESLASSDNSNFPAFLPVMQFGRQHGGVPTFGMAFPGYVQPENGIGNPEMT WMPVLTGPGALGASYSPPYAAVDGSYQAHKPGSSSKENSTNNLHDLEKPMERPELSES GVSKRQNNNSSKQPRRYSKMSFSK" gene 20864580..20865460 /locus_tag="BRARA_H02543" /db_xref="Phytozome:Brara.H02543" mRNA join(20864580..20864671,20864777..20864832, 20864946..20864987,20865224..20865460) /locus_tag="BRARA_H02543" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02543" CDS join(20864656..20864671,20864777..20864832, 20864946..20864987,20865224..20865304) /locus_tag="BRARA_H02543" /codon_start=1 /product="hypothetical protein" /protein_id="RID51909.1" /db_xref="Phytozome:Brara.H02543" /translation="MSSKQGGKAKPLKQPKADKKEYDEHDMANLQKKKDEEKALKELR AKASQKGSFGGSGLKKSGKK" gene 20866492..20867744 /locus_tag="BRARA_H02544" /db_xref="Phytozome:Brara.H02544" mRNA join(20866492..20866615,20866750..20866897, 20866983..20867114,20867193..20867744) /locus_tag="BRARA_H02544" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02544" CDS join(20866754..20866897,20866983..20867114, 20867193..20867396) /locus_tag="BRARA_H02544" /codon_start=1 /product="hypothetical protein" /protein_id="RID51910.1" /db_xref="Phytozome:Brara.H02544" /translation="MEKRDEDLKKAQMLDARARNISHNVRCTECGSQSIEDSQADVAI LLRQLIRDEIGAGKTDKEIYSKLEDEFGETVLYAPKFDMQTAALWLTPVLIAGGTAAG LVYSKHRQRTNVHIMALDLIRVVSLTPKERVTILDVLIPPPPPPQGVASRLRRWLNR" gene 20868993..20874925 /locus_tag="BRARA_H02545" /db_xref="Phytozome:Brara.H02545" mRNA join(20868993..20869838,20869932..20870062, 20870543..20870935,20871422..20872291,20872376..20872529, 20872614..20872670,20872755..20872861,20872942..20873783, 20873897..20874925) /locus_tag="BRARA_H02545" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02545" CDS join(20869007..20869838,20869932..20870062, 20870543..20870935,20871422..20872291,20872376..20872529, 20872614..20872670,20872755..20872861,20872942..20873783, 20873897..20874824) /locus_tag="BRARA_H02545" /codon_start=1 /product="hypothetical protein" /protein_id="RID51911.1" /db_xref="Phytozome:Brara.H02545" /translation="MDYDPAQAMSRGGSMRRSINRSVSRASRNLEDIFSSSSRRTKSV NEDEEALKWAAIEKLPTYSRLRTSLMPALGEDDIYSNQILNKEVDVTKLDGDDRQKFI DMVFKVAEQDNERILTKLRNRINRVGIKLPTVEVKYEHLTVKADCYTGDRSLPSLLNS VRNMGESVLAMVGIQFAKKAQLTILKDVSGIIKPSRMTLLLGPPSSGKTTLLLALAGK LDKSLDISGDVTYNGYRLNEFVPIKTSAYISQNDLHVGIMTVKETLDFSARCQGIGTR YDLLNELARREKDAGIFPEADVDLFMKASAAQGVKSSIITDYTLKILGLDICKDTIVG DDMMRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHLNEA TVVISLLQPAPETFDLFDDIILLSEGQIVYQGPRDHILEFFESFGFKCPERKGTADFL QEVTSKKDQEQYWVDERRPYRYIPVHEFATKFKNFHVGTKLSNELSVPFEKSKGHKAA LVFDKYSVKKTELLKSCWDKEWMLMKRNSFFYVFKTVQIIIIAAITSTVFLRTEMNTR NESDANMYVSALLFGMIVNMFNGLAEMAMTIQRLPVFYKQRDLLFHPPWTYTLPTFLL GIPISIFETTAWMGVTYYSIGFAPEADRFFKQFLVVFLIQQMAAGIFRLIASICRTMT IANTGGMLVLLVVFLTGGFLLPRREIPVWWRWAFWASPLSYAFSAISNTELLAPRWMN KMSSDNTTRLGTAVLNIWDVFDDKNWYWVGVGALLAFAVLFNCLFTLALTYLDALGKP QAILPKEEDHDGSKKEIPMENMNTKKGMVLPFTPLALSFDDVKYFVDMPAEMRDQGVQ ETRLQLLKGVTSTFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRVSGFPKK QETFARISGYCEQTDIHSPQVTVRESLIFSAFLRLDKEVSKDEKMMFVDQVMELVELV DLRDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVR NTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIVEYFEAIPG VPKIPEKYNPATWMLEASSLAAELKLGVDFAELYNSSSLCQRNKQLVQELSVPPQGAS DLYFATQFSQNTWGQYKSCLWKQWWTYWRSPDYNVVRFIFTLATSLLIGTIFWQIGGK KSNVQDLTMVLGAIYAAVIFVGVNNCSTVQPMVAVERSVFYREKAAGMYSAIPYAISQ VTCELPYVLVQTIYYSLIVYALVGFEWKASKFLWFLFINYFSFLYWTYYGMMTVSLTP NQQVASIFASAFYGIFNLFSGFFIPRPKIPKWWIWYYWICPVAWTVYGLITSQYGDVD TPIALPGGPPGLTVKQYLKDQYGFESSFLGPVAAVLVAFPVFFAFIFAFCIKTLNFQT R" gene complement(<20875117..>20875851) /locus_tag="BRARA_H02546" /db_xref="Phytozome:Brara.H02546" mRNA complement(<20875117..>20875851) /locus_tag="BRARA_H02546" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02546" CDS complement(20875117..20875851) /locus_tag="BRARA_H02546" /codon_start=1 /product="hypothetical protein" /protein_id="RID51912.1" /db_xref="Phytozome:Brara.H02546" /translation="MATTSLSCAIFLAALILCFPHASAGVPLEEFERAITVLRVRGRA LFANAIITSDLLFDLLSVESLTLFVPTDSMLFDLDMTHSSYFYVSTLRLHCVPLRLPF SDLRSLPNATSLPTLLPSHHIRLTRPSSSSSNDSISLDGVPVLLPGLFYGEQLAVHGL AGLISLTNLSSPELSVDFPPPVVDSPAESPYYSRFSPAPQPYDYFLGLSPAEAPRVGD VSPSPWRDDMIVEDEGGPLDWWRNRF" gene complement(20876068..20879115) /locus_tag="BRARA_H02547" /db_xref="Phytozome:Brara.H02547" mRNA complement(join(20876068..20876387,20876499..20876704, 20876875..20877113,20877631..20877717,20877801..20878345, 20878781..20879115)) /locus_tag="BRARA_H02547" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02547" mRNA complement(join(20876068..20876387,20876499..20876704, 20876875..20877113,20877356..20877412,20877631..20877717, 20877801..20878345,20878781..20879115)) /locus_tag="BRARA_H02547" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02547" CDS complement(join(20876352..20876387,20876499..20876704, 20876875..20877113,20877631..20877717,20877801..20878345, 20878781..20879050)) /locus_tag="BRARA_H02547" /codon_start=1 /product="hypothetical protein" /protein_id="RID51914.1" /db_xref="Phytozome:Brara.H02547" /translation="MSDAESSTKDSLLLRVDRDDKVTCMDIQDGSFTEELKRLIYFAA PMAAVVIAQFTLQIISMVMVGHLGNLALASASLASSFCNVTGYSSIIGLSCALDTLSG QAYGAKLYRKLGVQTYTAMFCLTLVCIPISIIWLNIEKLLVFLGQDQAIAHEAGRYAA WLIPGLFSYAVTQPLTRYFQNQSMISPLLITSTLVFCFHAPVCWLLVYKSGLGFLGGA AAMGLSNWLCAIILGSIMCFSSACSETRAPLSMEMFNGVGEFFRYALPSAAMVCLEWW SFELIILLSGLLPNPELENSVLSICTRISNELGAGNSRAAHIVVYTAMFLAVMESLVV SMSLLVGRSVFGYVFSSDERTVDYVAKMAPLLYVSILLDGLQAVLAGIARGCGWQHIG AYINLGSFYLCGIPFAATLAFWFNLEGVGLWIGIQAGALVQNLLLGLFTGFTNWQNQA LEARKRMALA" CDS complement(join(20876352..20876387,20876499..20876704, 20876875..20877113,20877356..20877412,20877631..20877717, 20877801..20878345,20878781..20879050)) /locus_tag="BRARA_H02547" /codon_start=1 /product="hypothetical protein" /protein_id="RID51913.1" /db_xref="Phytozome:Brara.H02547" /translation="MSDAESSTKDSLLLRVDRDDKVTCMDIQDGSFTEELKRLIYFAA PMAAVVIAQFTLQIISMVMVGHLGNLALASASLASSFCNVTGYSSIIGLSCALDTLSG QAYGAKLYRKLGVQTYTAMFCLTLVCIPISIIWLNIEKLLVFLGQDQAIAHEAGRYAA WLIPGLFSYAVTQPLTRYFQNQSMISPLLITSTLVFCFHAPVCWLLVYKSGLGFLGGA AAMGLSNWLCAIILGSIMCFSSACSETRAPLSMEMFNGVGEFFRYALPSAAMVCLEWW SFELIILLSGLLPNPELENSVLSICLQTIATVSAIPIAIAAAASTRISNELGAGNSRA AHIVVYTAMFLAVMESLVVSMSLLVGRSVFGYVFSSDERTVDYVAKMAPLLYVSILLD GLQAVLAGIARGCGWQHIGAYINLGSFYLCGIPFAATLAFWFNLEGVGLWIGIQAGAL VQNLLLGLFTGFTNWQNQALEARKRMALA" gene 20879887..20881877 /locus_tag="BRARA_H02548" /db_xref="Phytozome:Brara.H02548" mRNA join(20879887..20880513,20881078..20881177, 20881336..20881431,20881510..20881590,20881680..20881877) /locus_tag="BRARA_H02548" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02548" CDS join(20879951..20880513,20881078..20881177, 20881336..20881431,20881510..20881590,20881680..20881742) /locus_tag="BRARA_H02548" /codon_start=1 /product="hypothetical protein" /protein_id="RID51915.1" /db_xref="Phytozome:Brara.H02548" /translation="MSTLSFSPSITTTHAQFSHPLSPMFILRRLPLARNLRLSSRRNN NRVASVVTAAALRQDAALWTPAPLSLIESAAESLFHVSIDVSGSPDLAASYTRPGQYL QLRVPDVEKPSFLAIASPPSLAASRGAFEFLVKSIAGSTAEILCGLKKGETVELSAVM GNGFDMGRVDPPEEYPTVLIFATGSGISPIRSLIETGFGADRRSDVRLYYGARNLKRM AYQEKFKEWESSGVKVVPVLSQPDDGWTGETGYVQAAFARAKQVSKPEATGVVLCGQK QMAEEITAMLEADGVSNDKMLKNF" gene complement(20882238..20883298) /locus_tag="BRARA_H02549" /db_xref="Phytozome:Brara.H02549" mRNA complement(join(20882238..20882483,20882579..20882668, 20882789..20882873,20883075..20883093,20883196..20883298)) /locus_tag="BRARA_H02549" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02549" CDS complement(join(20882451..20882483,20882579..20882668, 20882789..20882873,20883075..20883076)) /locus_tag="BRARA_H02549" /codon_start=1 /product="hypothetical protein" /protein_id="RID51916.1" /db_xref="Phytozome:Brara.H02549" /translation="MADDEVVDPKKYLEESCNPKCVKPLLEYQACVKRIQGDDSGHKH CTGQYFDYWQCIDKCVAPKLFTKLK" gene 20883487..20886588 /locus_tag="BRARA_H02550" /db_xref="Phytozome:Brara.H02550" mRNA join(20883487..20883780,20884015..20884092, 20884171..20884818,20884908..20885010,20885087..20885187, 20885281..20885455,20885673..20885843,20886116..20886216, 20886325..20886588) /locus_tag="BRARA_H02550" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02550" CDS join(20883508..20883780,20884015..20884092, 20884171..20884818,20884908..20885010,20885087..20885187, 20885281..20885455,20885673..20885843,20886116..20886216, 20886325..20886384) /locus_tag="BRARA_H02550" /codon_start=1 /product="hypothetical protein" /protein_id="RID51917.1" /db_xref="Phytozome:Brara.H02550" /translation="MATIPPQFPFEIRSALRRAASSTVYFLRPITTATTPSLRHRTTK LLRPRAFSSSAKLPTKPPLCTADELHYVTVPNSDWRLALWRYFPSPQAPTRNHPLLLL SGVGTNAIGYDLSPGCSFARHMSGEGFETWILEVRGAGLSTRVSDLKDVQDSAHELSR QIESTAKAAAKEAKATDVTDSAPDVSVVGEASASAWDESKIVARLTATFMRLSERLSG FLSEGQSVFMSAKLFDRIAMLLEDSRLYERFLEIRSKLLSLIESRQNSGLGNQIRELT QRLVNLLDDGQRSVSPQLIDLQERLTSTIEDFQKQLDLIVKYDWDFDNYLEEDVPAAI EYVRAQCKPKDGKLLAIGHSMGGILLYAMLSRCAFEGREPCLAAVATLASSLDYTTSD SALKLLIPLADPAQALSVPVVPLGALLAAAYPLSSRPPYVLSWLNDLISATDMMHPEQ LEKLVLNNFCTIPAKLLIQLTTAFRAGGLRDRSGKFYYKDHLSRTSVPVLALAGDRDL ICPPVAVEDTAKLFPENLVTYKVLGEADGPHYAHYDLVGGRLAVEQVYPCITEFLSHH DSA" gene complement(<20886794..>20887213) /locus_tag="BRARA_H02551" /db_xref="Phytozome:Brara.H02551" mRNA complement(<20886794..>20887213) /locus_tag="BRARA_H02551" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02551" CDS complement(20886794..20887213) /locus_tag="BRARA_H02551" /codon_start=1 /product="hypothetical protein" /protein_id="RID51918.1" /db_xref="Phytozome:Brara.H02551" /translation="MEGILISSSPLRFQPLMKLSGTKKRIRTTVCGGYYYRGGRVVDE NMVILRKRIHERKMVERNYEPPSHWMQWEKRFYCNYDANICAALSLLQNFLMNSRPSV AFGTMLLLFVSVPVSTTLFAFRMLDIVLWLMDATHAG" gene complement(20888699..>20891549) /locus_tag="BRARA_H02552" /db_xref="Phytozome:Brara.H02552" mRNA complement(join(20888699..20889108,20889188..20889290, 20889403..20889498,20889769..20889995,20890107..20890222, 20890303..20890558,20890662..20890827,20890925..20891000, 20891087..20891148,20891226..20891286, 20891373..>20891549)) /locus_tag="BRARA_H02552" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02552" CDS complement(join(20888856..20889108,20889188..20889290, 20889403..20889498,20889769..20889995,20890107..20890222, 20890303..20890558,20890662..20890827,20890925..20891000, 20891087..20891148,20891226..20891286,20891373..20891549)) /locus_tag="BRARA_H02552" /codon_start=1 /product="hypothetical protein" /protein_id="RID51919.1" /db_xref="Phytozome:Brara.H02552" /translation="MKFRGLDLIVFLLAVVSCKANKEITCEENEPFTCNNTDRLNSKG FPKDFIFGVSSAAYQIEGGRGRGLNIWDGFTHRYPEKGGSDLGNGDTTCESYTMWQKD IDIMDEMNATGYRFSFAWSRIIPKGKVSRGVNKGGLEYYHRLIDGLIAKNITPFVTLY HWDLPQTLQDEYDGFLNRQVIEDFRDFADLCFKEFGGKVKNWLTINQLYSVPTRGYST GADAPGRCSPKVDARCYGGNSSTEPYIVAHNQLLAHAAVVNLYRTKYRFQRGRIGPVM ITRWFLPFDETNKASIDAAERMKEFFLGWYMEPLTRGRYPDIMRRMVGNRLPNFTEAE ARLVAGSYDFLGLNYYATQYVQPTPNPLPVTSERYTAMMDPGTRLTWENSYYYPKGIY YVMDYFKTKYRNPLIYITENGFSTSGDQTRQEAVADSKRIDYLCSHLCFLRKVIMEKR VNIKGYFAWALGDNYEFGKGFTVRFGLSYVNWTDVSDRNLKDSGKWYQRFINVTTKIT AHQDFLRSGLSFENKMKTLTDA" gene <20895295..>20896863 /locus_tag="BRARA_H02553" /db_xref="Phytozome:Brara.H02553" mRNA join(<20895295..20895789,20895826..>20896863) /locus_tag="BRARA_H02553" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02553" CDS join(20895295..20895789,20895826..>20896863) /locus_tag="BRARA_H02553" /codon_start=1 /product="hypothetical protein" /protein_id="RID51920.1" /db_xref="Phytozome:Brara.H02553" /translation="MTKKKKPKRGISGKGTSASPSSSASSHSSGASNQASDKTYTRSP PIKLDLVASGSVDLPISDLPLEPRATVQIESVSVDTPAAAVNSALPITATFHNDGAQD TPVSPSAKATTVANPDKELQADNPELQPATKDTPLVSKETSPVTNKPVAEETPAPANK PVDEWKKGTPFTLPSGEKCIKIPNSLIEKNKKSWESFVIGQFYSDPPAQSLIHNIVNG IWSKQYRDITVSKLEGFAFLFRIPHAGMRNHVINQRLWQIEGQTMFVANWEPGNLPEK PALTSAPIWLELRNVPLQFFNEDGLERIAGLVGHPKYLHPTTANKSNLEVAKVLTIID PREPLPEAVNVQFDSGHICRVTVSSPWMPPVCSHCKEIGHSIKRCPSAPITCKNCKST VHTSEACTRVKVPSSKKAKRPRQPANPRGHALLATQEDSSPPAAATRELNLQADRGKG IASSTDRGKGIANSRPPKSGANHALNASNAESSKANGSHSVSEAESDSSDVPSSDPDA EEG" gene 20899883..20900853 /locus_tag="BRARA_H02554" /db_xref="Phytozome:Brara.H02554" mRNA join(20899883..20900044,20900127..20900266, 20900468..20900853) /locus_tag="BRARA_H02554" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02554" CDS join(20899940..20900044,20900127..20900266, 20900468..20900519) /locus_tag="BRARA_H02554" /codon_start=1 /product="hypothetical protein" /protein_id="RID51921.1" /db_xref="Phytozome:Brara.H02554" /translation="MMKHLVPTFNRILVQRVIQPAKTESGILLPEKASKLNSGKVIAV GPGSRDKDGKLIPVSVKEGDTVLLPEYGGTQVKLGEKEYHLFRDEDVLGTLHED" gene complement(<20901538..>20902143) /locus_tag="BRARA_H02555" /db_xref="Phytozome:Brara.H02555" mRNA complement(<20901538..>20902143) /locus_tag="BRARA_H02555" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02555" CDS complement(20901538..20902143) /locus_tag="BRARA_H02555" /codon_start=1 /product="hypothetical protein" /protein_id="RID51922.1" /db_xref="Phytozome:Brara.H02555" /translation="MARQLYTTAFLHLATLLFISRTISAVRFPPQPTPTNDLDFIRTS CNATLYPDVCFTSLAGYASAVQDNPARLAKLAIGVSLSRAKHTASYLSKLSRTAASAA VHDCVSNVGDAVEQMRGSLQQLREMNHRRQGAPAFRFQMSNVQTWMSAALTDEETCTD GITEEMEDGDTKTAVCERVGDVKRFTSNALALVNTYANNGA" gene 20905286..>20909162 /locus_tag="BRARA_H02556" /db_xref="Phytozome:Brara.H02556" mRNA join(20905286..20905575,20905651..20905714, 20905807..20905914,20905991..20906092,20906264..20906314, 20906401..20906462,20906630..20906720,20906816..20906880, 20906978..20907172,20909037..>20909162) /locus_tag="BRARA_H02556" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02556" CDS join(20905444..20905575,20905651..20905714, 20905807..20905914,20905991..20906092,20906264..20906314, 20906401..20906462,20906630..20906720,20906816..20906880, 20906978..20907172,20909037..20909162) /locus_tag="BRARA_H02556" /codon_start=1 /product="hypothetical protein" /protein_id="RID51923.1" /db_xref="Phytozome:Brara.H02556" /translation="MATMKSLIGLINKIQRACTVLGDHGGEGMSLWEALPTVAVVGGQ SSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTDEGTTEYAEFLHAPRKKFTDFA AVRKEIEDETDRITGKSKQISNKPIQLSIYSPNVVNLTLIDLPGLTKVAVEGQPDSIV QDIENMVRSYVEKPNCIILAISPANQDIATSDAIKLAREVDPTGERTFGVATKLDIMD KGTDCLDVLEGRSYRLQHPWVGIVNRSQADINKRVDMIAARRKEREYFETSPEYGHLA SRMGSEYLAKLLSQKEKLGGMLDEDPQLMERRGTLAKRLELYKQARDDIDAVAWK" gene 20909778..20911986 /locus_tag="BRARA_H02557" /db_xref="Phytozome:Brara.H02557" mRNA join(20909778..20910384,20910463..20910549, 20910627..20910671,20910768..20910863,20910969..20911070, 20911384..20911538,20911630..20911986) /locus_tag="BRARA_H02557" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02557" CDS join(20909824..20910384,20910463..20910549, 20910627..20910671,20910768..20910863,20910969..20911070, 20911384..20911538,20911630..20911711) /locus_tag="BRARA_H02557" /codon_start=1 /product="hypothetical protein" /protein_id="RID51924.1" /db_xref="Phytozome:Brara.H02557" /translation="MTTLTHHTPHTPFLSRLPLRPKPRAFSARVKMSLQESAPSLAVV GVTGAVGQEFLSVLSDRDFPYSSVKMLASKRSAGKRVAFDGREYTVEELTADSFEGVD IALFSAGGSISKEFGPRAAERGTIVVDNSSAFRMVDGVPLVIPEVNPEAMKGIKVGTG KGALIANPNCSTIICLMAVTPLHHHAKVKRMVVSTYQAASGAGAAAMEELVQQTREVL AGKPPTCNIFSQQYAFNLFSHNAPITENGYNEEEMKLVKETRKIWNDTEVKVTATCIR VPVMRAHAESVNLQFENPLDENTARELLRKAPGVYIIDDRASNTFPTPLDVSNKDDVA VGRIRRDVSQDGNFGLDIFVCGDQIRKGAALNAVQIAEMLL" gene 20912243..20915016 /locus_tag="BRARA_H02558" /db_xref="Phytozome:Brara.H02558" mRNA join(20912243..20913183,20913345..20913512, 20913644..20913810,20914057..20914185,20914275..20914455, 20914552..20914596,20914692..20915016) /locus_tag="BRARA_H02558" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02558" CDS join(20912299..20913183,20913345..20913512, 20913644..20913810,20914057..20914185,20914275..20914455, 20914552..20914596,20914692..20914718) /locus_tag="BRARA_H02558" /codon_start=1 /product="hypothetical protein" /protein_id="RID51925.1" /db_xref="Phytozome:Brara.H02558" /translation="MKEIATRISKRKAEPTPFPGKKLRSFPSRRKRAQISPVSVEPPL YHKETGVSAASVDSCSNLLSAVDDNVSCGSSRVEKSSKKNRIDEEEEVSRPGKDVKKE TIADPKFRRITRSYSKLTKEKIIDEAEVSESSFTRSDVTFAESKESDVVSFVSAVESC SKLGGDNEETEISKPSGFVEEVKETKPEVETVGCVSDLACTETFSGEDVSDDYEDELS EQRSEMFSLSSDLDSSDYTPSMFFDSGSQFSEKSSFDSPISHTRSLYLQYKEQFCRST IANGFESSRHDQNRVIHSELVRFEDKEVEESYQMLRERERSHAYLRDCAKAYCSRMDH ADFIPRLRLIMVQWIVEQCSDMGFQQETLFLGVSLLDRFLSKGSFNSERTLVLVGIAS LTLATRIEENQLYNSIRKRNFYIENLKYSRHEVVAMEWLILEVLNFKCCSPTIFNFLW FYLKAARANREVERVAKSFAVASLSDHTQLCFWPSSVAAGLVVLACIKHNKTSAYQRV VKVHVRTKDNDLHECVKSLEWFLGQ" gene 20915867..20918969 /locus_tag="BRARA_H02559" /db_xref="Phytozome:Brara.H02559" mRNA join(20915867..20916133,20916272..20916831, 20917000..20917110,20917199..20917284,20917360..20917477, 20917555..20917662,20917729..20917841,20917932..20918086, 20918173..20918969) /locus_tag="BRARA_H02559" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02559" CDS join(20916351..20916831,20917000..20917110, 20917199..20917284,20917360..20917477,20917555..20917662, 20917729..20917841,20917932..20918086,20918173..20918731) /locus_tag="BRARA_H02559" /codon_start=1 /product="hypothetical protein" /protein_id="RID51926.1" /db_xref="Phytozome:Brara.H02559" /translation="MAMPPGSVAPGNWIPDGRDGFISWIRGEFAAANAIIDSLCQHLV AIGDQNEYEGVVSAIQHRQSSWSPVLYMQHFFPIADVSYALEQAAWKRQQKMMMPQRH YNSDQIGKFGGRRSGNGFNKHHNHGGGGGYRGGETMVRNGLDCHKTEAKAVAVVEEKR DGSEKLKSDGKDKEESESTGAETQAEVVKHSCYSASKEQKQDEKDKECTASMAKTFVV QEMYEAKMVNVVEGLKLYDSMVDPKEVSQLISLANNLRNAGRRGQLQSDAFVGYKRPN RGHGREMIQLGLPIADTRPDDETIKDRRIEPIPSFLSDIIERLVSNQIIPVKPDACII DFFNEGDHSQPHMFPPWFGRPVGILSLSECDLTFGRVIVSDQPGDYKGSLKLSLSPGL VLVVEGKSADLAKFAIHSIRKQRILITFTKSQPGNGLNWGPPPLSRSPNHHHNRQPKH YPVVIPTTTGVLPTPSVQPVFIAPSPPLPPPMPFPGGVGVVPGATSWPLLPHTRHQAP PQPRMPIPGTGVFLPPGSAQEQVVQGSSNGNNSAEGKVEMKTKEGACNGNAADECGGS SSGGDGKQVN" gene complement(20920796..20923253) /locus_tag="BRARA_H02560" /db_xref="Phytozome:Brara.H02560" mRNA complement(join(20920796..20921132,20921293..20921360, 20921474..20921602,20921725..20921852,20921946..20922053, 20922169..20922325,20922995..20923253)) /locus_tag="BRARA_H02560" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02560" CDS complement(join(20920916..20921132,20921293..20921360, 20921474..20921602,20921725..20921852,20921946..20922053, 20922169..20922325,20922995..20923192)) /locus_tag="BRARA_H02560" /codon_start=1 /product="hypothetical protein" /protein_id="RID51927.1" /db_xref="Phytozome:Brara.H02560" /translation="MASSKYKPINFIFHVYYLIFIFSAHSSTAELKRLLQPAKPDGTV SFLVIGDWGRGGSYNQSNVALQMGEVGEKLNIDFVISTGDNFYDNGLLGLDDPAFQDS FTNIYNASSLQKPWYTVLGNHDYRGDVEAQLSPMLRTMDNRWVCMRSFVVNAEIVEFL FIDTTPFVDKYFVQPNKHVYDWRGVLPRQTYLNNLLEEVDVALKESTAKWKIVVGHHT IKSAGHHRNTIELEKQILPILHANDVDLYVNGHDHCLEHISSVESKTQFMTSGGGSKA WKGDVNHLDPQELKFYYDGQGFMSVDISEAELRAVFYDVSGNVLHHWKTYKEALYFAS " gene 20925139..20927103 /locus_tag="BRARA_H02561" /db_xref="Phytozome:Brara.H02561" mRNA join(20925139..20925277,20925488..20925561, 20925653..20925705,20925804..20925928,20926006..20926104, 20926182..20926319,20926408..20926461,20926553..20926671, 20926752..20927103) /locus_tag="BRARA_H02561" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02561" CDS join(20925488..20925561,20925653..20925705, 20925804..20925928,20926006..20926104,20926182..20926319, 20926408..20926461,20926553..20926671,20926752..20926887) /locus_tag="BRARA_H02561" /codon_start=1 /product="hypothetical protein" /protein_id="RID51928.1" /db_xref="Phytozome:Brara.H02561" /translation="MLQIAIYLSCGATACCYLWGRRIKGKADSFNSITRVADIKCLDD LLKEKASNLLVVLSGNVASATPFNCKPDNDSSSGDVFEAKFEMEYETKKDDDGGLIHK SHNFLLQINETPWYLEDGTGLVKVVRAELADGYVDTMKPQFDMLSMSEIFQRFENPQE GSKVICRCALDTGTSLTIVGEAARDESGTLSIQNPKEQSFMIFSGEGSFDKMVANLKS NSEFYFFYSKIFGTIAFAIVVFKGVSFIRRVLRERAENADSDDEETP" gene complement(20927347..20929048) /locus_tag="BRARA_H02562" /db_xref="Phytozome:Brara.H02562" mRNA complement(join(20927347..20928351,20928860..20929048)) /locus_tag="BRARA_H02562" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02562" mRNA complement(join(20927347..20928351,20928545..20929048)) /locus_tag="BRARA_H02562" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02562" CDS complement(join(20927520..20928351,20928545..20928609)) /locus_tag="BRARA_H02562" /codon_start=1 /product="hypothetical protein" /protein_id="RID51929.1" /db_xref="Phytozome:Brara.H02562" /translation="MLVPTRKCSYVGISYKSSLDFISLKMDDDGFRNWGYYEPAAATF KGNLGLQLMSSADRNTKPFLPGRDPNLMIGQNGSYHHPEPPIHMSYNWINQQKDKFFN MLPVTTTPNYGNVLPEASSAPSMHHHHHHQTEDNPVKCEEEEEIVQPNKKRKTNSKAS ATAKGKKPRKPKEENDSKTNVSRVKPAKKSVDLVINGVNMDISGLPVPVCTCTGAPQQ CYRWGCGGWQSACCTTNISMHPLPMSTKRRGARISGRKMSQGAFKKVLEKLSSDGFNF GSPIDLKSHWARHGTNKFVTIR" CDS complement(20927520..20928341) /locus_tag="BRARA_H02562" /codon_start=1 /product="hypothetical protein" /protein_id="RID51930.1" /db_xref="Phytozome:Brara.H02562" /translation="MDDDGFRNWGYYEPAAATFKGNLGLQLMSSADRNTKPFLPGRDP NLMIGQNGSYHHPEPPIHMSYNWINQQKDKFFNMLPVTTTPNYGNVLPEASSAPSMHH HHHHQTEDNPVKCEEEEEIVQPNKKRKTNSKASATAKGKKPRKPKEENDSKTNVSRVK PAKKSVDLVINGVNMDISGLPVPVCTCTGAPQQCYRWGCGGWQSACCTTNISMHPLPM STKRRGARISGRKMSQGAFKKVLEKLSSDGFNFGSPIDLKSHWARHGTNKFVTIR" gene complement(<20931034..20932417) /locus_tag="BRARA_H02563" /db_xref="Phytozome:Brara.H02563" mRNA complement(join(<20931034..20931118,20931188..20931773, 20931905..20931945,20932165..20932417)) /locus_tag="BRARA_H02563" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02563" CDS complement(join(<20931034..20931118,20931188..20931773, 20931905..20931945,20932165..20932307)) /locus_tag="BRARA_H02563" /codon_start=1 /product="hypothetical protein" /protein_id="RID51931.1" /db_xref="Phytozome:Brara.H02563" /translation="MTKLLLLLGALILSSVGYVRSDASDHRYKEGDIVPLYANKVGPF HNPSETYRYFDLPFCVPEGVKEKKEALGEVLNGDRLVSAPYKLNFREEKDSEVYCKKK LSKEEVRKFRQAVEKDYYFQMYYDDLPIWGFIGKVDKEIKSDPSEYKYFLYKHIEFEI LYNNDRVIEISARMDPHSLVDLTEDKEVDAEFMYTVKWKETETPFEKRMDKYSMSSSL PHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFTKYAQDEEAADDLEETGWKYI HGDVFRFPT" gene complement(20932757..20936203) /locus_tag="BRARA_H02564" /db_xref="Phytozome:Brara.H02564" mRNA complement(join(20932757..20933119,20933207..20933845, 20933927..20936203)) /locus_tag="BRARA_H02564" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02564" CDS complement(join(20933588..20933845,20933927..20936023)) /locus_tag="BRARA_H02564" /codon_start=1 /product="hypothetical protein" /protein_id="RID51932.1" /db_xref="Phytozome:Brara.H02564" /translation="MLSSMQILPLEAPPTDGNLGPLPPSQLTDQEIEERELQSEQKSS NQAPESVATHTRTIGIIHPPPDIRSIVEKTAQFVSKNGLEFEKRIMASNAKNAKFNFL TSSDPYHAFYQHKLAEYRAQNEDGAQGSDADGADLQVDGGGDEGEAGEAQPDLQAQFR VPPKALEPPEPEKYTVRLPEGITGEELEYIKLTAQFVARNGKSFLTGLQSRENNNPQF FFMKPTHSMFPLFTALVDAYFDVLKPPEDLKEKLRKSAADLTTVLERCLHRLEWDRSQ EQQRKKEEDEKEQERVQMAMIDWHDFVVVESIDFADDEDEELPPPMTLEEVIRRSKVS AAMEEDDVVEPGKEVEMEMDEEEMKLVADGMRAANLEENGGYVKIDNMNEEAPMRIVK NWKRPEDRIPTERDPTKVVISPITGELIPINEMSEHMRISLIDPKFKEQKDRMFAKIR ETTLAQDDEIAKNIVGLARLRPDIFGTTEEEVSNAVKAEIEKKKDEQPSQVIWDGHTG SIGRTANQALAQNANGEEQGNGVYGDPNSFPGPAALPPPRLGVPVVRPLPPPPNLALN LPRPPPSVQYPGPPRPLGVPMMQGMHPQHQLSMPGQPGHPSMMMMNRPPQMQAGMHVP PPPGSQFAHLQVPRPYGQLAPPPMGMMQPPPMHGMPPPPPPGEAPPPLPEEPEPKRQK FDESALIPEEQFLAQHPGSATIRVSVPSVDDGQVIEITVQSLSENVGSLKEKIAGETQ IPANKQKLSGKAGFLKDNMSLAHYNVGAGEILTLSLRERGGRKR" gene complement(<20937952..20939253) /locus_tag="BRARA_H02565" /db_xref="Phytozome:Brara.H02565" mRNA complement(join(<20937952..20938036,20938108..20938693, 20938772..20938812,20939020..20939253)) /locus_tag="BRARA_H02565" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02565" CDS complement(join(<20937952..20938036,20938108..20938693, 20938772..20938812,20939020..20939162)) /locus_tag="BRARA_H02565" /codon_start=1 /product="hypothetical protein" /protein_id="RID51933.1" /db_xref="Phytozome:Brara.H02565" /translation="MTKLLLLLGALILSSVGYVRSDASDHRYKEGDIVPLYANKVGPF HNPSETYRYFDLPFCVPEGVKEKKEALGEVLNGDRLVSAPYKLNFREEKDSEVYCKKK LSKEEVRKFRQAVEKDYYFQMYYDDLPIWGFIGKVDKEIKSDPSEYKYFLYKHIEFEI LYNNDRVIEISARMDPHSLVDLTEDKEVDAEFMYTVKWKETETPFEKRMDKYSMSSSL PHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFTKYAQDEEAADDLEETGWKYI HGDVFRFPT" gene complement(<20939792..>20942251) /locus_tag="BRARA_H02566" /db_xref="Phytozome:Brara.H02566" mRNA complement(join(<20939792..20940043,20940185..20940301, 20940524..>20942251)) /locus_tag="BRARA_H02566" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02566" CDS complement(join(20939792..20940043,20940185..20940301, 20940524..20942251)) /locus_tag="BRARA_H02566" /codon_start=1 /product="hypothetical protein" /protein_id="RID51934.1" /db_xref="Phytozome:Brara.H02566" /translation="MDSQTRPKNPGNTNPKPYGSTVRGQKRGSRSYADGDPNNLPLKK PRTMVNPRQTGNKTVEPRTRRLSRQFWKAGDDKEDERPPRNCGNDAAIRVHPQFLHAN ATSHKWALGAFAELLDNSLDEACNGATYVHVDSTTNQKDGKSSMLIVQDNGGGMDPNR FRECLSLGYSRKRNVANTVGQYGNGFKTSTMRLGADAIVFTRSRGANGGNTTQSVGML SYTYLYETRKSEAVVPTVDFELVDNDWVALTHDDRDKWFDNLETIVKWSPYVSQQAMF DQFDLLEEQGTQIVIYNLWDDDEGKLELDFDTDGHDIQLRGVNRDEKKIEMAKAYPNS RHFLTYRHSLRSYASILYLRLPPNFRIILRGKEVEHHSLLEDMMMTEDITYKPVASPE LSLDEDMVAALKIGFVKDAHHHIDIQGFNVYHKNRLIKPFWRVWNAAGSDGRGVIGLL EANFIQPAHNKQGFERTALLARVENRLNKYQKTYWCKRCHEIGYAPRRLQKNLESGDT EAPASAEPAQCRPKRSSQKEATQPSVANTRHEIGLRNKTNGLGVSCKETRSVHKAAEF QKQKAQLEPQVKDYESAKLVVELQRHKGQLELQLKESQSKIQDLEKRNKLQRDRGLLE SQLKVSQAKNQEFEKSQKAVMDVFQEERGRRDVTEDALRKKLRGAYDVMDTLKMKVNA LEADQAFCKKVHMHML" gene <20943507..>20948222 /locus_tag="BRARA_H02567" /db_xref="Phytozome:Brara.H02567" mRNA join(<20943507..20943676,20943776..20943848, 20943919..20943984,20944106..20944189,20944292..20944366, 20944453..20944536,20944664..20944743,20944877..20944934, 20945016..20945318,20945403..20945573,20945676..20945875, 20945953..20946355,20946432..20946683,20946957..20947451, 20947516..20947722,20947817..20947965,20948051..>20948222) /locus_tag="BRARA_H02567" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02567" CDS join(<20943507..20943676,20943776..20943848, 20943919..20943984,20944106..20944189,20944292..20944366, 20944453..20944536,20944664..20944743,20944877..20944934, 20945016..20945318,20945403..20945573,20945676..20945875, 20945953..20946355,20946432..20946683,20946957..20947451, 20947516..20947722,20947817..20947965,20948051..20948222) /locus_tag="BRARA_H02567" /codon_start=1 /product="hypothetical protein" /protein_id="RID51935.1" /db_xref="Phytozome:Brara.H02567" /translation="AKEVKDVPKKSTKKSSKRDAPEENPEDFVDPETPVGERKRLSSQ MAKQYSPASVERAWYAWWERAGFFVADAKSSKPAFVIVLPPPNVTGVLHIGHALTTAI QDLIIRWKRMSGFNVLWVPGMDHAGIATQTVVEKELMTRKLTRHDLGREKYIKEVWKW KEENGSKILIQLRRLGASLDWSRECFTMDEQRSKAVTEAFVRFYKEGLIYRDIRLVHW DCHLKTAVSDDEVEHIDIKEKTLLKVPGYDKPVEFGLITSFAYPLEGGLGEVVVATTR VETMLGDTAIAIHPDDARYTHLHGKVAVHPFNGRKLPIICDGELVDPEFGTGCVKITP AHDPDDFKLGKRHNLEFINIFTDDGKINTNGGADFTGMPRFAAREAIVEALKNQGLFR GSQNKEMRLGLCQRTSDVIEPMIKPQWYVNCSTIAKEALDVATSDENKKLEFIPKQYT AEWRRWLENIRDWCISRQLWWGHRIPAWYATLEEDQLREIGAYNDHWVVARTEEEARE EAAEKFSGKKFDLAQDDDVLDTWFSSGIFPLSALGWPYETDDFKAFYPTSVLETGHDI LFFWVARMVMMGMKLSGDVPFSKVYLHPMIRDAHGRKMSKSLGNVIDPIEVIDGATLE ALHKRLKKGNLDQRELVVAKEGQEKDFPNGISECGADALRFALVSYTAQSDKINLDIL RVVGYRQWCNKLWNAVRFALIRLGDGYSPPLDLSPETMPFSCQWILSVLNKAISKTVE SLNAFEFSDAANTVYAWWQYQFCDVFIEAIKPYFVNPALASERAHAQHALWVSLETGL RLLHPFMPFITEELWQRLPSPKDYERKASIVICDYPSATENWKNEKVETEMETVIASV KCLRALRAELLEKQKNERLPAFALCENNVTFEIVKSHELEIRTLANLSSLEVLLKGEH AAPAGSAVETVNENLKVYLKVDRAINAEAEQGKIRNKIAELQKQKEKLQMMMSASGYE EKVPANIKEDNVTKLAKILQEFDFFEKESVRLVAETGQFRK" gene complement(20951361..20954606) /locus_tag="BRARA_H02568" /db_xref="Phytozome:Brara.H02568" mRNA complement(join(20951361..20952298,20953211..20953610, 20953907..20954116,20954537..20954606)) /locus_tag="BRARA_H02568" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02568" mRNA complement(join(20951361..20952298,20953211..20953610, 20953907..20954400)) /locus_tag="BRARA_H02568" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02568" CDS complement(join(20951470..20952298,20953211..20953610, 20953907..20954090)) /locus_tag="BRARA_H02568" /codon_start=1 /product="hypothetical protein" /protein_id="RID51936.1" /db_xref="Phytozome:Brara.H02568" /translation="MSSSYNNSISSSSTQSFLLASAATGANNSNREETAMTMIQQPNS VAPLPPPKKRRNQPGNPNPDAEVIALSPKTIMATNRFLCEVCNKGFQREQNLQLHRRG HNLPWKLKQKSKQEVIRRKVYLCPEPTCVHHDPSRALGDLTGIKKHYYRKHGEKKFKC EKCSKRYAVQSDWKAHSKTCGTKEYRCDCGTIFSRRDSYITHRAFCDALIQESTRNPT VSFTAMAAAAGGGGSRPGFYGSAASALSHNHFGNNSNTSFAPLAAGYNLNRSSTEKFE AFLPQSSNPNPGPTNFLMQCPSNQGFLSQNDQTLMNQHGLISLGDNINNNNNNNSLFN LGYFQDNTKNTDHTSVPSLFTNADNNDPSALLRGLTSSSSSSAVVNDFGDSDNGNFQG LMNSLAATTDHQGRSGSSLFDLHFGNNLSMGGSDRLTLDFLGVSGGNVSNVNGSGGRS GAPLDVDMKFPRPNNPFEKS" CDS complement(join(20951470..20952298,20953211..20953610, 20953907..20954090)) /locus_tag="BRARA_H02568" /codon_start=1 /product="hypothetical protein" /protein_id="RID51937.1" /db_xref="Phytozome:Brara.H02568" /translation="MSSSYNNSISSSSTQSFLLASAATGANNSNREETAMTMIQQPNS VAPLPPPKKRRNQPGNPNPDAEVIALSPKTIMATNRFLCEVCNKGFQREQNLQLHRRG HNLPWKLKQKSKQEVIRRKVYLCPEPTCVHHDPSRALGDLTGIKKHYYRKHGEKKFKC EKCSKRYAVQSDWKAHSKTCGTKEYRCDCGTIFSRRDSYITHRAFCDALIQESTRNPT VSFTAMAAAAGGGGSRPGFYGSAASALSHNHFGNNSNTSFAPLAAGYNLNRSSTEKFE AFLPQSSNPNPGPTNFLMQCPSNQGFLSQNDQTLMNQHGLISLGDNINNNNNNNSLFN LGYFQDNTKNTDHTSVPSLFTNADNNDPSALLRGLTSSSSSSAVVNDFGDSDNGNFQG LMNSLAATTDHQGRSGSSLFDLHFGNNLSMGGSDRLTLDFLGVSGGNVSNVNGSGGRS GAPLDVDMKFPRPNNPFEKS" gene complement(20957986..20960193) /locus_tag="BRARA_H02569" /db_xref="Phytozome:Brara.H02569" mRNA complement(join(20957986..20958227,20958316..20958882, 20958978..20959055,20959158..20959452,20959544..20959656, 20959955..20960193)) /locus_tag="BRARA_H02569" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02569" CDS complement(join(20958060..20958227,20958316..20958882, 20958978..20959055,20959158..20959452,20959544..20959656, 20959955..20960056)) /locus_tag="BRARA_H02569" /codon_start=1 /product="hypothetical protein" /protein_id="RID51938.1" /db_xref="Phytozome:Brara.H02569" /translation="MERMLSSRDQQSLVSSFLDIAVGQTAKTARQFLQATSWNINEAV QLFYAGGEGGSMLASGTHTHPMGRRSRRAAARARRERKRNDADGVRAPLPVVTETLYR DSMYYVGNYERELASLIDFIDFSEEPKRSGVWEPDEVGSSASTSGPRNSLSSLYRPPF HLMTHGSFEQVKITSTAEDKWLLVNLQSTTEFSSHMLNRDTWANEAVSQTIKANFIFW QAYDDTTEGRKVCTYYKLESIPVILVIDPTTGQKMRMWSGMVEPETLLESLVPFLDGG PGTHFASLSKKHPRGSFSLAPHSKPKEEELQRALAATLENNGMKESSSDDTSPITTPE EAAVEATVLPTYPPLPEEPKGGDRSVQCRVGIRLPNGQRLQRNFLKTDSIQLLWSFCY SQLEESEGEKPLKLTQAIPGESKTLEYESNLTLEQSGVANSMISATWE" gene complement(20961448..20963778) /locus_tag="BRARA_H02570" /db_xref="Phytozome:Brara.H02570" mRNA complement(join(20961448..20961724,20961830..20961964, 20962046..20962230,20962433..20962565,20962670..20962877, 20962965..20963050,20963147..20963778)) /locus_tag="BRARA_H02570" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02570" CDS complement(join(20961632..20961724,20961830..20961964, 20962046..20962230,20962433..20962565,20962670..20962877, 20962965..20963050,20963147..20963302)) /locus_tag="BRARA_H02570" /codon_start=1 /product="hypothetical protein" /protein_id="RID51939.1" /db_xref="Phytozome:Brara.H02570" /translation="MGSSQGSTLSADVMSLVDTLPVLAKTLIAGGVAGAVAKTAVAPL ERIKILLQTRTNDFRTLGVSQSLKKVLQCDGPLGFYKGNGASVIRIIPYAALHYMTYE VYRDWILENNLPLGSGPVVDLVAGSAAGGTAVLCTYPLDLARTKLAYQVSDASQSFRG GANGVYRQHAYSGIKEVLSMAYKEAGPRGLYRGIGPTLIGILPYAGLKFYIYEELKRH VPEEHQNSVRMHLPCGALAGLFGQTLTYPLDVVRRQMQVENLQPMTSDGSNKRYKNTF DGLNTIVRTQGWRQLFAGLSINYIKIVPSVAIGFTVYESMKSWLRIPPRERSKPA" gene 20965446..20967377 /locus_tag="BRARA_H02571" /db_xref="Phytozome:Brara.H02571" mRNA join(20965446..20965677,20965843..20965875, 20966137..20966365,20966675..20966801,20966881..20967377) /locus_tag="BRARA_H02571" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02571" CDS join(20965581..20965677,20965843..20965875, 20966137..20966365,20966675..20966801,20966881..20967147) /locus_tag="BRARA_H02571" /codon_start=1 /product="hypothetical protein" /protein_id="RID51940.1" /db_xref="Phytozome:Brara.H02571" /translation="MEGIQNPIHRTVEEVFSDFKGRRAGLLKALTTDGQKFFLQCDPE KENLCLYGLPNETWEVNLPVDEVPPELPEPALGINFARDGMPEKDWITLVAVHSDSWL ISVAFYFGARFGFGKNERKRLFQMINELPTIFELITGNAKQSKDQSANHNSSRSKSSG VKPRQSESHTKASKMSPPPREDDESGEDEEDDEQGAVCGACGDNYDDFWICCDACEKW FHGKCVKITPAKAEHIKHYKCPTCSTNKKMRA" gene <20969297..>20970136 /locus_tag="BRARA_H02572" /db_xref="Phytozome:Brara.H02572" mRNA join(<20969297..20969583,20969943..20969988, 20969993..>20970136) /locus_tag="BRARA_H02572" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02572" CDS join(20969297..20969583,20969943..20969988, 20969993..20970136) /locus_tag="BRARA_H02572" /artificial_location="low-quality sequence region" /codon_start=1 /product="hypothetical protein" /protein_id="RID51941.1" /db_xref="Phytozome:Brara.H02572" /translation="MALIVEGKSVKRLSKFLQSPTRSTDQQSRSHFIIKDYHQQSRKL LHHFGEFISNLSLHLHTHLSLSTIGVEFATQNLKVDEKVIKAQIWDTAGQERYRAFTS AYYRGAFENTGGLRSLKNHTDPNIVVMLVGNKSDLRHLLAVPTEDGKSYAEQESLW" gene 20972814..20974985 /locus_tag="BRARA_H02573" /db_xref="Phytozome:Brara.H02573" mRNA join(20972814..20973104,20973195..20973879, 20974224..20974985) /locus_tag="BRARA_H02573" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02573" CDS join(20972896..20973104,20973195..20973879, 20974224..20974706) /locus_tag="BRARA_H02573" /codon_start=1 /product="hypothetical protein" /protein_id="RID51942.1" /db_xref="Phytozome:Brara.H02573" /translation="MTAAFLNIGGYLFVFCLSLLATLTPHVGANVAVFDNYWLQRQGD ALKQTIASFDPNPLNVTDHLNYHVALAVDATESINSTRRELSQVRNGRKLKRSGKCVA YNPIDRCWRCNGDWEKNRKKLADCVLGFGRRTTGGKDGPIYVVNDASDDDLINPKPGT LRHAVTRDGPLWIIFGSSMIINLQQELIITSDKTIDGRGARVYIMEGAGLTLQFVNNV IIHNIYVKHVVPKSGGLIRDSEQHIGLRTVSDGDGISLFGATNVWIDHVSMTRCSDGM IDAILGSTAITISNSHFTDHEEVMLFGANDKHVIDKKMQITVAFNHFGKRLDQRMPRC RFGTIHVVNNDYTHWEMYAIGGNMNPTIISQGNRFIAPPNEQAKQITKREYTPYVDWK MWNWQSEGDYFLNGAYFVQSGKQNAWSPKPVNPVPKKFAIRPQPGTMVRKLTMDAGAL GCKPGTPC" gene complement(<20976727..>20977843) /locus_tag="BRARA_H02574" /db_xref="Phytozome:Brara.H02574" mRNA complement(join(<20976727..20977536,20977643..>20977843)) /locus_tag="BRARA_H02574" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02574" CDS complement(join(20976727..20977536,20977643..20977843)) /locus_tag="BRARA_H02574" /codon_start=1 /product="hypothetical protein" /protein_id="RID51943.1" /db_xref="Phytozome:Brara.H02574" /translation="MCKQECMSMEPLLHHDLVERIMEMLPVKSLLRFKAVSKQWQSTI ESRYFQKSQLNHREQSRDPDVLMNTFYSVCGNSCDGLVCLYHSDLCFAVNPATRWHRT LPRCHFQQNKSILGTYNVGFGKDKLTGIYKPVWLYNAVGPGREEATTCERFDFSTNTW RFITTASAPYWISSYYDPVYVDGKLHWFTQCKEPKVLSLDLHTENFQVISNVPFANVV PYNNNIVMCNLDNRLSVSQKTMSEQVIWLFSSGNKTWNKLCSIDLELTSLQFDIHISI PFLPLALWERRKKKKLLLCPTDFGRTLVIHDLETKSYDAPFSAESIGYPVCYFQSLIS IL" gene 20978208..20979941 /locus_tag="BRARA_H02575" /db_xref="Phytozome:Brara.H02575" mRNA join(20978208..20978508,20978643..20978743, 20978837..20978929,20979037..20979131,20979207..20979280, 20979359..20979447,20979543..20979941) /locus_tag="BRARA_H02575" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02575" CDS join(20978271..20978508,20978643..20978743, 20978837..20978929,20979037..20979131,20979207..20979280, 20979359..20979447,20979543..20979662) /locus_tag="BRARA_H02575" /codon_start=1 /product="hypothetical protein" /protein_id="RID51944.1" /db_xref="Phytozome:Brara.H02575" /translation="MSQLLSSPLMPVSHSPFTSPRFLSSSSSSVLVAGGGLAVKRHGL ASKPVRTVNLSVKSRQTDYFEKQRFGDSSSSSSQNGEGGPARFYVGHSIYKGKAALTV EPRAPEFVSLDSGAFKLSKDGFLLLQFAPAAGVRQYDWSKKQVFSLSVSEIGTLVSLG PRESCEFFHDPNKGKSDEGKVRKVLKVEPLPDGSGHFFNLSVQNKLLNVDENIYIPIT RAEFTVLTSAFNFVLPYLIGWHAFANSIKPEESNRTNNASPNYGGDYEWNR" gene 20980285..20981915 /locus_tag="BRARA_H02576" /db_xref="Phytozome:Brara.H02576" mRNA join(20980285..20980474,20980817..20980954, 20981044..20981069,20981167..20981256,20981362..20981450, 20981541..20981915) /locus_tag="BRARA_H02576" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02576" CDS join(20980830..20980954,20981044..20981069, 20981167..20981256,20981362..20981450,20981541..20981669) /locus_tag="BRARA_H02576" /codon_start=1 /product="hypothetical protein" /protein_id="RID51945.1" /db_xref="Phytozome:Brara.H02576" /translation="MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPD DTPWDGGTFKLSLQFSEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDV AAILTSIQSLLCDPNPNSPANSEAARMYSESKREYNRRVRDVVEQSWTAD" gene 20982901..>20983730 /locus_tag="BRARA_H02577" /db_xref="Phytozome:Brara.H02577" mRNA join(20982901..20983038,20983129..20983154, 20983238..20983327,20983426..20983514,20983602..>20983730) /locus_tag="BRARA_H02577" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02577" CDS join(20982914..20983038,20983129..20983154, 20983238..20983327,20983426..20983514,20983602..20983730) /locus_tag="BRARA_H02577" /codon_start=1 /product="hypothetical protein" /protein_id="RID51946.1" /db_xref="Phytozome:Brara.H02577" /translation="MSTPARKRLMRDFKMLEQDPPAGINGSPQDNSIMLWNAVIFGPD DSPWDGGTFKLSLQFSEDYPNKPPRVRFVSRMFHPNIYLDGRICLDILQNQWTPVYDC AAILISIQSLLCDPNTNSSANSEAALMYSENKREYNRRVRDVVEQSWTAD" gene complement(20984026..20985724) /locus_tag="BRARA_H02578" /db_xref="Phytozome:Brara.H02578" mRNA complement(join(20984026..20984626,20984704..20984817, 20984891..20984966,20985066..20985184,20985471..20985724)) /locus_tag="BRARA_H02578" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02578" mRNA complement(join(20984026..20984626,20984704..20984817, 20984891..20984966,20985066..20985227,20985471..20985724)) /locus_tag="BRARA_H02578" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02578" CDS complement(join(20984201..20984626,20984704..20984817, 20984891..20984966,20985066..20985184)) /locus_tag="BRARA_H02578" /codon_start=1 /product="hypothetical protein" /protein_id="RID51947.1" /db_xref="Phytozome:Brara.H02578" /translation="MDHQNGFGVEVTGLSPAVTEKDLIDFFSFSGAIEDIDIVRSGEQ ACTAYVMFKDSYSQETAVLLSGATILEQRVCITRWGQHHEEFDFWNATQRGFVDDTNS HPHPQRGDFTAGEAVTKAQEVVKSMLATGFVLGKEALAKAKGFDESHGVSAAAAARVS QLDQRIGLTDKIFAGVEAVRLTDQKYQVSDKARSAVSATGRTAAAAATSVVSSSYFSS GALWLSGALERAAKAASDLGSRGSRQ" CDS complement(join(20984201..20984626,20984704..20984817, 20984891..20984966,20985066..20985184)) /locus_tag="BRARA_H02578" /codon_start=1 /product="hypothetical protein" /protein_id="RID51948.1" /db_xref="Phytozome:Brara.H02578" /translation="MDHQNGFGVEVTGLSPAVTEKDLIDFFSFSGAIEDIDIVRSGEQ ACTAYVMFKDSYSQETAVLLSGATILEQRVCITRWGQHHEEFDFWNATQRGFVDDTNS HPHPQRGDFTAGEAVTKAQEVVKSMLATGFVLGKEALAKAKGFDESHGVSAAAAARVS QLDQRIGLTDKIFAGVEAVRLTDQKYQVSDKARSAVSATGRTAAAAATSVVSSSYFSS GALWLSGALERAAKAASDLGSRGSRQ" gene complement(20986028..20987227) /locus_tag="BRARA_H02579" /db_xref="Phytozome:Brara.H02579" mRNA complement(join(20986028..20986253,20986347..20986428, 20986515..20987016,20987158..20987227)) /locus_tag="BRARA_H02579" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02579" CDS complement(join(20986185..20986253,20986347..20986428, 20986515..20987016,20987158..20987167)) /locus_tag="BRARA_H02579" /codon_start=1 /product="hypothetical protein" /protein_id="RID51949.1" /db_xref="Phytozome:Brara.H02579" /translation="MGRRPARCYRQIKGKPYPKSRYCRGVPDPKIRIYDVGMKKKGVD EFPFCVHLVSWEKENVSSEALEAARIACNKYMVKSAGKDAFHLRIRVHPFHVLRINKM LSCAGADRLQTGMRGAFGKALGTCARVAIGQVLLSVRCKDGHGHHAQEALRRAKFKFP GRQKIIVSRKWGFTKFNRADFTKLRQEKRVVPDGVNAKFFSCHGPLANRQPGTAFLPA TY" gene <20988252..>20990255 /locus_tag="BRARA_H02580" /db_xref="Phytozome:Brara.H02580" mRNA join(<20988252..20988467,20988592..20988668, 20988911..20989018,20989141..20989223,20989305..20989438, 20989543..20989592,20989675..20989767,20989851..20989942, 20990025..20990131,20990214..>20990255) /locus_tag="BRARA_H02580" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02580" CDS join(20988252..20988467,20988592..20988668, 20988911..20989018,20989141..20989223,20989305..20989438, 20989543..20989592,20989675..20989767,20989851..20989942, 20990025..20990131,20990214..20990255) /locus_tag="BRARA_H02580" /codon_start=1 /product="hypothetical protein" /protein_id="RID51950.1" /db_xref="Phytozome:Brara.H02580" /translation="MVSQIISCLSKSSSLLCISGSRSLIQPKTYNRGGLNRFSPGLAT QRLSTVIRKKWRSASIFNSGKEPGGEGKWPILERWEVPWEWQTVSLTSLACVLSFVLT GLAEIAALPYLGVDVEKLSLDQKAEILFLDQGITTAVILAVIFTVAKTFEPLPEDILR YDLKQPFNLQKGWLVWGGIGLAAGVGGIALTGVALSLFSTETPEREVDSLMQLLPLIG SSNISTLSLVGITGVLAPLLEETVFRGFFMVSLTKWVPTPIAIIISSAAFALAHLTPG EFPQLFILGSVLGLTYAQTRNLITPMVIHGFWNSGVILLLTFLQVQGYDIKELLQGS" gene 20991148..20992244 /locus_tag="BRARA_H02581" /db_xref="Phytozome:Brara.H02581" mRNA join(20991148..20991261,20991383..20991538, 20991633..20991831,20991914..20992244) /locus_tag="BRARA_H02581" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02581" CDS join(20991154..20991261,20991383..20991538, 20991633..20991831,20991914..20992137) /locus_tag="BRARA_H02581" /codon_start=1 /product="hypothetical protein" /protein_id="RID51951.1" /db_xref="Phytozome:Brara.H02581" /translation="MGPKGWFILKLLMFQGLFISHSQEQEDFDFFYLVLQWPGAYCDT KRSCCYPTSGKPAADFGIHGLWPNYKDGTYPSNCNPDSEFDKSQITDLVSSLKKTWPT LACPSNEGFKFWKHEWEKHGTCSESVMDQHEYFENSLKLRDRANLLQALTNSGIKPDD RFYDLEKIRKAIKDEIGFTPGIECNKDPERNDQLHQIYICVDTSGTEFIKCPILPRER CPSRLQFAKF" gene <20992736..>20993095 /locus_tag="BRARA_H02582" /db_xref="Phytozome:Brara.H02582" mRNA join(<20992736..20992802,20992911..>20993095) /locus_tag="BRARA_H02582" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02582" CDS join(20992736..20992802,20992911..20993095) /locus_tag="BRARA_H02582" /codon_start=1 /product="hypothetical protein" /protein_id="RID51952.1" /db_xref="Phytozome:Brara.H02582" /translation="MSSSTLSMVSCVLMFLILHHTKEVCSFTDQLPGKCGNDGSNKCV NAMQKKALLPEVKVRCACFDHPTVILGRKKHICNCRQDC" gene 20994224..20996250 /locus_tag="BRARA_H02583" /db_xref="Phytozome:Brara.H02583" mRNA join(20994224..20994332,20994736..20995105, 20995496..20996250) /locus_tag="BRARA_H02583" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02583" CDS join(20994762..20995105,20995496..20996066) /locus_tag="BRARA_H02583" /codon_start=1 /product="hypothetical protein" /protein_id="RID51953.1" /db_xref="Phytozome:Brara.H02583" /translation="MAKTNGVIPTIDLGEVSDKILNQQIREASERWGCFRVINHGVPL SLMSDMKKTVMDLFERPHEVKVRNTDVLLGSGYRAPNEINPYYEALGLYDMASPQAVN IFCDQLEASADQREIMVKYAKAIDGLAKDLARRLAESYGLAETNFFKGWPSQFRINKY HFQPEAVGKLGVQLHTDSGFLTILQDDENVGGLEAMDHSSGTFFPISPLPNTLAINLG DMATIWSNGRLCNVKHRVQCNEATKRFSIASFLLGPMDTDLEPPSEFVDAEHPRMYKA ISHDGVRNIRMTTKLHDGEALKLITYEE" gene complement(20996319..20998524) /locus_tag="BRARA_H02584" /db_xref="Phytozome:Brara.H02584" mRNA complement(join(20996319..20998128,20998269..20998524)) /locus_tag="BRARA_H02584" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02584" CDS complement(join(20996675..20998128,20998269..20998431)) /locus_tag="BRARA_H02584" /codon_start=1 /product="hypothetical protein" /protein_id="RID51954.1" /db_xref="Phytozome:Brara.H02584" /translation="MYHILKIFGYVFKSLGLKMKILITFIFSSLLIGSVILLSFSNNF NDQLLDATINGSSESETSHDKLIGGLLKAGFDEGSCVSRYTKSLLYRKPSPYKPSPYL VSKLRSYEMLHKRCGPGTEAYKKATEQLDENQVRSSDKECRYVVWVATEYGLGNRIIS LVSSFLYALLTERIILVDQRKDINDLFCEPFPDTSWLLPLDFPLMGQIDSYYKDYSLC YGTMLKNHAINSTTTPPSHIYLHLLHDYREEDKMFYCEANQAFIKNVPWLVVKSNLYF APSLWLIPSFQTKLIKLFPQKDTVFHHLSRYLFHPTNQVWDMVTSTYNANLSKADEVL GLQIRVFSTPSGYFQHVMDQIMSCTQREKLLPEIATNGSSQVINTTRSKKLKAVLVAS LHPEYSDELGKMFLERPSSTGEIIQVYQPSGERVQQTDEKLHDQKALADIYLLSLTDN IVTTVRSTFGYVAHGLGGLKPWILYEPKNQKVPEPPCVRALSMEPCFIRAPLHGCQAK TIKTTHFIRPCENWNLGIKLVDAPDKFWWW" gene complement(<20999456..>21001130) /locus_tag="BRARA_H02585" /db_xref="Phytozome:Brara.H02585" mRNA complement(join(<20999456..21000903,21001016..>21001130)) /locus_tag="BRARA_H02585" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02585" CDS complement(join(20999456..21000903,21001016..21001130)) /locus_tag="BRARA_H02585" /codon_start=1 /product="hypothetical protein" /protein_id="RID51955.1" /db_xref="Phytozome:Brara.H02585" /translation="MEKLTVTIATCFILCSVLLLLPPSSNIFNRLKSNLTTTGSYDSK KPREKLLGGGLLATEFDDESCLSRYHQASLRKPSPHKPSVYLVSKLRSYEKLHKRCGP GSDAYKSATEKLGLKPGNGSESVGECRYIVWVPYSGLANRIISLVSVFLYALLTERVI LVDQRSGISDLFCEPFPATSWLLPRNFPLLGGKKRDRFFDRRNSHRYGTMLRNSAPNL TETSLPSYLYLYLISDYSDDDKMFFCEEDQKTFIGEVPWLVVNSNIYFVPSLWLIPSF QTELIKMFPEKETVFHHLSRYLLHPTNQVWGLVTRSYDAYLSRADERLGFQVRVFDRH AGYLQHVMDQIVACTQREKLLPELSTQVTNTSRSKRLLKVVLVTSLHPEYSVKLKRMF WEQPTSTGESIEVYQPSEERVQQTDKKLHDQKALAEVYLLSLTDNIVTSARSTFGYFA YSLGGLRPWILYKPVNRTAPDPPCVKAVSMEPCFHRPPLYGCQAKTIEITPFVMTCED SNPGLKLVDAPE" gene complement(<21001508..>21003088) /locus_tag="BRARA_H02586" /db_xref="Phytozome:Brara.H02586" mRNA complement(<21001508..>21003088) /locus_tag="BRARA_H02586" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02586" CDS complement(21001508..21003088) /locus_tag="BRARA_H02586" /codon_start=1 /product="hypothetical protein" /protein_id="RID51956.1" /db_xref="Phytozome:Brara.H02586" /translation="MTKLTITFATCLVLSMVLLLPSYNISNLHKSHLTTTGNKFSNSF QTSLEKNINAHLNYLFFHVLLLGGLLATGFDEESCLSRYHQSSLRKPSPFKPSTNLVS KLRSYEMLHKRCGPGSDAYKRATKRLGNNNVINSNGDDCQYVVWTPMFGLGNRILSMV SVFTYALITDRVMLVDQRNDIADLFCEPFPGTSWLLPLDFPLTDQIDSFNRTHSHCYG TMLKNHTVNSTTTSSHLYIDIFHDSRDHDKMFFCEENQAFIKNVPWLVVKSNLYYAPS LWLIPSFQTKLIELFPQKDTVFHHLSHYLFHPTNQVWGMVTRSYNAYLSRADEVLGLQ IRVFSTPAGYFQHVMDQIVSCTQREKLLPELATKGSQNITKTPRLKAVLVTSLHPEYS DELKNMFLERPSLTGEIIEVYQPSGERVQQTDKKVHDQKALAEIYLLSLTDKLVTSTR STFGYVAQGLGGLKPWILYEPRHNKAPDPPCVRAMSMEPCSLKAPISACQAETIKTTP FVKYCEDRITGIKLVDEL" gene complement(21003462..21006133) /locus_tag="BRARA_H02587" /db_xref="Phytozome:Brara.H02587" mRNA complement(join(21003462..21004597,21005351..21005770, 21005872..21006133)) /locus_tag="BRARA_H02587" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02587" CDS complement(join(21003567..21004597,21005351..21005770, 21005872..21006058)) /locus_tag="BRARA_H02587" /codon_start=1 /product="hypothetical protein" /protein_id="RID51957.1" /db_xref="Phytozome:Brara.H02587" /translation="MLKQTKVNMYHIFQISGDVFRALGLKIKILITVIFSGLLIGSVI LLSFSNNFNDQLLDATLNGSSESETLHDKFIGGLLKPGFDEGSCVSRYTQSLLYRKPS PYKPSPYLVSKLRSYEKLHKRCGPGTKAYKKATKNLGHDDENYASISVGKCRYIVWVA VYGLGNRILTLASVFLYALLTNRVVLVDQSKDISDLFCEPFPGTSWLLPRDFPLMKQI DGYNKEYSRCYGTMLNNHAISTNSTPRHLYLHILHDSRDEDKMFFCTKDQDMIDKVPW LIVKANVYFVPSLWFNPTFQTELMKLFPQKEAVFHHLARYLYHPTNQVWGMITRYHDA HLARADDRLGIQIRVFSDKAGYFQHVMDQILSCTQREKLLPKVVSQEESKLNMSESQK LKAVLVTSLYPEYADRLKNMFWEQPSSTGELIEVYQPSGERYQQTDNKLHDQKALAEM YLLSLTDNIVTSARSTFGYVAHSLGGLKPWLLYQPRDASAPDPPCVRSTSIDPCHLTP PSHGCDADWGTDSGKVVPFVKHCEDRDNDGLKLFDEL" gene 21007641..21008886 /locus_tag="BRARA_H02588" /db_xref="Phytozome:Brara.H02588" mRNA 21007641..21008886 /locus_tag="BRARA_H02588" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02588" CDS 21007722..21008183 /locus_tag="BRARA_H02588" /codon_start=1 /product="hypothetical protein" /protein_id="RID51958.1" /db_xref="Phytozome:Brara.H02588" /translation="MSSPDPKTENSDSQPPSEELGDSSTVWKDPVESGSPNEEGSDPP KEGGDAEEEEEEEGECGFCLFMKGGGCKESFTAWEVCVEEAEKNKEDIVTKCMEVTST LKKCMDEHSDYYQPILAAERAAEEQVKKELEAEKEKEVSEEEAAAMKQARG" gene complement(21008939..21011438) /locus_tag="BRARA_H02589" /db_xref="Phytozome:Brara.H02589" mRNA complement(join(21008939..21009468,21009548..21009705, 21009808..21010012,21010087..21010376,21010457..21010619, 21010700..21010788,21010866..21010933,21011008..21011438)) /locus_tag="BRARA_H02589" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02589" CDS complement(join(21009192..21009468,21009548..21009705, 21009808..21010012,21010087..21010376,21010457..21010619, 21010700..21010788,21010866..21010933,21011008..21011254)) /locus_tag="BRARA_H02589" /codon_start=1 /product="hypothetical protein" /protein_id="RID51959.1" /db_xref="Phytozome:Brara.H02589" /translation="MEVRSDSNQGRSGDNKLPSPAAPKPRVQVWFVTVCSTILIWTCL VQLFAAGELWRTRIFTGQVSRFSAPVEPVPLPPPLPPARNYTSNGVLLVSCNGGLNQM RSAICDMVTVARLLNLTLVVPELDKTSFWADPSGFEDIFDVRHFIDSLRDEVRIFRRL PKRYSSKYGYKMFEMPPVSWSDENYYLKQVLPLFSKHKVVHFNRTDTRLANNGLSLPL QWLRCRVNFQGLKFTPQLEALGSKLVRILQQRGPFVALHLRYEMDMLAFSGCTHGCSE EEAEELKKMRYTYPWWREKEINSEERRAQGLCPLTPEEVALVLKALGFDKNTQIYIAA GEIYGSEHRLSVLREAFPRIVKKEMLLESSELQQFQNHSSQMAALDFMVSVASNTFIP TYDGNMAKVVEGHRRYLGFKKTILLDRKRLVELLDLHHNKTLTWDQFAVAVKEAHERR TGAPTHRRVISDKPKEEDYFYANPQECLCEGTNCHDLYGHRNNSSLTR" gene 21012190..21014399 /locus_tag="BRARA_H02590" /db_xref="Phytozome:Brara.H02590" mRNA join(21012190..21012650,21012898..21013107, 21013886..21013962,21014059..21014399) /locus_tag="BRARA_H02590" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02590" CDS join(21012441..21012650,21012898..21013107, 21013886..21013962,21014059..21014203) /locus_tag="BRARA_H02590" /codon_start=1 /product="hypothetical protein" /protein_id="RID51960.1" /db_xref="Phytozome:Brara.H02590" /translation="MDLHRSSTIILLILSILSPATLSMRYELLSGHTKCISEEIHANA MSVGKYSIVNPHEDHPLPASHKITVKVTSPQGTAYHEADGVSTGQFSLTAVETGDYIT CISAVDHKPETMLTIDFDWRTGVHSKDWPSVAKRSQVENMESEVKKLFDTVTSIHDEM FYLRDREEEMHELNISTNSKMAWLSFLSLGVCLSVAGLQFWHLKTFFEKKKLI" gene complement(21014607..21016861) /locus_tag="BRARA_H02591" /db_xref="Phytozome:Brara.H02591" mRNA complement(join(21014607..21014982,21015049..21015219, 21015294..21015844,21016291..21016861)) /locus_tag="BRARA_H02591" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02591" CDS complement(join(21014736..21014982,21015049..21015219, 21015294..21015844,21016291..21016704)) /locus_tag="BRARA_H02591" /codon_start=1 /product="hypothetical protein" /protein_id="RID51961.1" /db_xref="Phytozome:Brara.H02591" /translation="MSEKAELPSTSNSTGALSRPTLSLPPRPFSETFFNGGVGFSPGP MTLVSNMFSDSDESSRSFSQLLAGVVMPSPATGSEGNSNSSSSSVDAVDPRFKQSRPT GLMVSQSPSVFTVPPGLSPAMLLDSPSFLGLFSPLQGSYGMTHQQALAQVTTQAVQAN ANMQPPSSQVQSQNPTSAPDSSLLAQRETSDTTIIEHNRSQQPLNVDKPAVDGYNWRK YGQKQVKGSEFPRSYYKCTNPGCPVKKKVERSLDGQVTEIIYKGQHNHEPPQNNKRGN KDSNNNSNKTTREQHEVASQATTEQMSEASDSEEVGNVEEPDPKRRSTEVRVLEPAAA VAASHRTVAEPRIIVQTTSEVDLLDDGYRWRKYGQKIVKGNPYPRSYYKCTTQGCGVR KHVERAATDPKAVVTTYEGKHNHDPPAAKSSSHAAAAAAQLRHGGLANLNQQQQQPVA CLRLKEEQTI" gene 21020950..21024888 /locus_tag="BRARA_H02592" /db_xref="Phytozome:Brara.H02592" mRNA join(21020950..21021335,21021898..21022076, 21022146..21022761,21022834..21024888) /locus_tag="BRARA_H02592" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02592" CDS join(21021900..21022076,21022146..21022761, 21022834..21024740) /locus_tag="BRARA_H02592" /codon_start=1 /product="hypothetical protein" /protein_id="RID51962.1" /db_xref="Phytozome:Brara.H02592" /translation="MGTKVHSESLLPGRYHHSMRDLNNDSNGCSRLPFLNNDQSYKDV VRRTMLQHEAVFKSQVLELHRVYGIQKDMMDELKRKQFNKELFPVEASCSSQATNDDV RKWKTPSFPLANSVYDRPSMSVVEDNGHSPMKGGSSQNVEALEVRPTKIRRKMIDLCL PADEYIDDNEDVVELKDHRQLPNGDSLRVGFGSSSRSNGLADLNEPLKAQETNEVAYG NFQSHVRDYGKVLNSGSVREHVPVISLHPNENGKPKVWPQHQPLRIDHYSGTHKSQPV NSSQRVMGLPNAGPPPSKADLWRGKTFIDLEADTDTTNTSHESSFASHHQPQRHLYPY NPTPDSAVPWNRLHSSWQNPSFGFPQRVERYPVLNLSDTNAQKLGGLGDRLQFDSNPR YNSGSGSTTRSNHNMFYNECSSSLKSKGTGTGYNYPNGGRSDHSLLDLNGSEVKSVRD LNLNVTLSNTSVVEVRNEHVATLPWLVKPKPACISEVADGRWNLNSNDAVPSPLKPSN IKEEGGNLMWLERLKSGSCSSKPKTEEKIKAGTACRNESNAERDKVRIMLDINEPCEP LSDEDQQTEQQTETKVSVSSKCQFDLNMSVSEEEEDESWSAPPTSSRLSSKRPMIDLE TVPESDAEEDGEKPSEETPKTIEKPPEFEKTAAETIVAISSEVVASSEAPETVILHWF AETVDTHKENLDQKLASFSRNQARSIEEIDYFESMTLQLPEITEEEYTPTPLVPEDIK LEETSVVTSQRPRRGNARKGKQRRDFQRDILPGLLSLSKHEVTEDIQLFDGFMRAATG SSWTPTGLTRKKTGARGRPRRVITNPEPVVYYPVQAPPCPTSVQQHVGNNNGEIEDGS FGGWGKMTRRPRRQRCPSSSTVTTTSVTTNVHHHTRMSRDGWE" gene complement(21025324..21026240) /locus_tag="BRARA_H02593" /db_xref="Phytozome:Brara.H02593" mRNA complement(21025324..21026240) /locus_tag="BRARA_H02593" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02593" CDS complement(21025575..21025907) /locus_tag="BRARA_H02593" /codon_start=1 /product="hypothetical protein" /protein_id="RID51963.1" /db_xref="Phytozome:Brara.H02593" /translation="MSQTNTKPVEGTETANRPASPSELMASAKVVAEAAQDAARNESD KLDKAKVAGDSADILDVDQEYGRFDKSDAGQYFEKAEKYLKDFESSGAVVTPYTPDIG APPPSASR" gene complement(21027629..21028667) /locus_tag="BRARA_H02594" /db_xref="Phytozome:Brara.H02594" mRNA complement(21027629..21028667) /locus_tag="BRARA_H02594" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02594" CDS complement(21027837..21028307) /locus_tag="BRARA_H02594" /codon_start=1 /product="hypothetical protein" /protein_id="RID51964.1" /db_xref="Phytozome:Brara.H02594" /translation="MNFLSDQVKKFSDSKPEEPDHNKPVEGTEAANRPASSSELMASA KVVAEAAQAAARNESDKLDKAKVAGASADILDAAQKYGKLDEKSGAGQYLDKAEKYLN DFESSHSSGAAGTPPPAAGAPPPVSQAEPEAKKAEEESGGGLGGYAKMAQGFMK" gene complement(21029653..>21031385) /locus_tag="BRARA_H02595" /db_xref="Phytozome:Brara.H02595" mRNA complement(join(21029653..21029998,21030090..21030171, 21030256..21030658,21030775..21030838,21030918..21031075, 21031178..21031275,21031360..>21031385)) /locus_tag="BRARA_H02595" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02595" mRNA complement(join(21029653..21030001,21030090..21030171, 21030256..21030658,21030775..21030838,21030918..21031075, 21031178..21031275,21031360..>21031385)) /locus_tag="BRARA_H02595" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02595" CDS complement(join(21029804..21029998,21030090..21030171, 21030256..21030658,21030775..21030838,21030918..21031075, 21031178..21031275,21031360..21031385)) /locus_tag="BRARA_H02595" /codon_start=1 /product="hypothetical protein" /protein_id="RID51965.1" /db_xref="Phytozome:Brara.H02595" /translation="MDSLIKQTRRRHPAARVKTDEVDSATREKNVPPRKSVSFEEDKK KPSNWLEKQFSRQMSDQSYDSISDMDYASAVAATAYAITTFEETRLESYHSGREDAFP LEEPRSLSRRFSSGQLSFKEPELNDNKLPTPKSPVRKSSSVKKTPTFSMDFGGDHTRG REDSGEKHERPRKPASVVSKPPGAPMQIQPPVRTRSERRALPPPPPPLTPSPLRLPPR ETKRPSSGGTSRKDDSTADAWEKAELAKIKARYEKLNRKIDLWEAKKRDKARRKLDKS ESEQEQRRKRGLQRFREDMEYIEHIAAGARAQAEKQRQNEELKVKERAGIVRKTGKIP GKACSCF" CDS complement(join(21029804..21030001,21030090..21030171, 21030256..21030658,21030775..21030838,21030918..21031075, 21031178..21031275,21031360..21031385)) /locus_tag="BRARA_H02595" /codon_start=1 /product="hypothetical protein" /protein_id="RID51966.1" /db_xref="Phytozome:Brara.H02595" /translation="MDSLIKQTRRRHPAARVKTDEVDSATREKNVPPRKSVSFEEDKK KPSNWLEKQFSRQMSDQSYDSISDMDYASAVAATAYAITTFEETRLESYHSGREDAFP LEEPRSLSRRFSSGQLSFKEPELNDNKLPTPKSPVRKSSSVKKTPTFSMDFGGDHTRG REDSGEKHERPRKPASVVSKPPGAPMQIQPPVRTRSERRALPPPPPPLTPSPLRLPPR ETKRPSSGGTSRKDDSTADAWEKAELAKIKARYEKLNRKIDLWEAKKRDKARRKLDKS EQSEQEQRRKRGLQRFREDMEYIEHIAAGARAQAEKQRQNEELKVKERAGIVRKTGKI PGKACSCF" gene 21032346..21035251 /locus_tag="BRARA_H02596" /db_xref="Phytozome:Brara.H02596" mRNA join(21032346..21033172,21033750..21035251) /locus_tag="BRARA_H02596" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02596" CDS join(21032483..21033172,21033750..21035015) /locus_tag="BRARA_H02596" /codon_start=1 /product="hypothetical protein" /protein_id="RID51967.1" /db_xref="Phytozome:Brara.H02596" /translation="MVVNLSFFLLLLLFVSSANAKATVSISPKTLNRSGDSVAIQWSG VESPSDLDWLGIYSPPNSPHDHFIGYKLLNASSTWRSGSGSISLPLTNLRSNYSFRIF RWTQSEIDPKHLDHDQNPLPGTKHLLAESEEVSFGSGVGKPEQIHLAFEDGVNGMRVT FVAGDGEERFVRYGERKERLGNSAPARGVRYEREHMCNAPANTSIGWRDPGWIFDAVM KNLNGGVKYYYQVGSDSKGWSEIHSFIARDIYAEETIAFMFGDMGCATPYTTFIRTQD ESISTLKWILRDIEALGDKPALVSHIGDISYARGYSWVWDEFFAQIEPIASRVPYHVC IGNHEYDFPAQPWKPDWAAWTYGNDGGGECGVPYSMRFNMPGNSSEPTGTKAPPTRNL YYSYDVGSVHFVYISTETNFLRGGRQYEFVKRDLESVNREKTPFVVVQGHRPMYTTSN EVRDAMIRQKMVEHLEPLFVENNVTLALWGHVHRYERFCPMRNNTCGKLWRGSPVHLV IGMAGQDWQPIWEPRPNHPGLPIFPQPEQSMYRTGEFGYTRLVANKEKLTVSFVGNHD GEVHDTVEMLASGEVISGRKEDTVKTVPASATLVGKAESNVSWYVKGAGLMVMGVLLG FIIGFFTKGKKGSSSGNRWIPVKNEET" gene complement(21035748..21037952) /locus_tag="BRARA_H02597" /db_xref="Phytozome:Brara.H02597" mRNA complement(join(21035748..21037028,21037115..21037275, 21037803..21037952)) /locus_tag="BRARA_H02597" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02597" mRNA complement(join(21035748..21037031,21037115..21037275, 21037803..21037952)) /locus_tag="BRARA_H02597" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02597" CDS complement(join(21035947..21037028,21037115..21037262)) /locus_tag="BRARA_H02597" /codon_start=1 /product="hypothetical protein" /protein_id="RID51968.1" /db_xref="Phytozome:Brara.H02597" /translation="MGFKRTFEAEDVQQLNVKHERHISYANKLAKLDEGVPYRASLEK PGVAIGDDPSDLYGFKCEDNVETDGPFSWMTSGFEEDSQSGGTTQSTLFDESPESDCL WRPFCLADDVEWCQSSPRKAVPIGSDYQADIPECVKDEVRDHNEEEVMMGKCVIPMPD CETDEIGKGRKDCICMDKGSIRCVQQHIMENREGLFETIGDERGLNLGLGEMGEEVAG KLTEDEEDLFHEVVYSNPVSLDRDFWKQLKSAFPSRTMKEIVSYYFNVFILRRRAVQN RSRSLDIDSDDDEWQVEYDNTFHGPETPGKSLSRVEEEEEVNAEEDSCMSYDFKSSNA ISSRCPVRKREESNVGNYWRHCNDLVEDHPYSFDPCDSILEDHCWSKNIDLLPTSNII DEIFGQDPWEDDFFMGK" CDS complement(join(21035947..21037031,21037115..21037262)) /locus_tag="BRARA_H02597" /codon_start=1 /product="hypothetical protein" /protein_id="RID51969.1" /db_xref="Phytozome:Brara.H02597" /translation="MGFKRTFEAEDVQQLNVKHERHISYANKLAKLDEGVPYRASLEK PGVAIAGDDPSDLYGFKCEDNVETDGPFSWMTSGFEEDSQSGGTTQSTLFDESPESDC LWRPFCLADDVEWCQSSPRKAVPIGSDYQADIPECVKDEVRDHNEEEVMMGKCVIPMP DCETDEIGKGRKDCICMDKGSIRCVQQHIMENREGLFETIGDERGLNLGLGEMGEEVA GKLTEDEEDLFHEVVYSNPVSLDRDFWKQLKSAFPSRTMKEIVSYYFNVFILRRRAVQ NRSRSLDIDSDDDEWQVEYDNTFHGPETPGKSLSRVEEEEEVNAEEDSCMSYDFKSSN AISSRCPVRKREESNVGNYWRHCNDLVEDHPYSFDPCDSILEDHCWSKNIDLLPTSNI IDEIFGQDPWEDDFFMGK" gene 21038097..21039492 /locus_tag="BRARA_H02598" /db_xref="Phytozome:Brara.H02598" mRNA join(21038097..21038261,21038437..21039492) /locus_tag="BRARA_H02598" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02598" CDS 21038443..21039345 /locus_tag="BRARA_H02598" /codon_start=1 /product="hypothetical protein" /protein_id="RID51970.1" /db_xref="Phytozome:Brara.H02598" /translation="MALVVICGQPCSGKSIAAATLAESLKESDTKQSVRIIDEASFHL DRNQNYANMPAEKNLRGKLRSDVDRSVSRGDIVIVDSLNSIKGYRYELWCIARAAGIR YCVVYCDVDEARCREWNKERSDRGEACYEDGVFEDLVRRFERPERRNRWDSPLFELYP YRDGIERSSLVILEAVTYLTKTVDSKTQDVRVLQPSIATQSARFSEANSLYELDRATQ EVINAVVEQQGLGGVISRVTLGNELPPIEICRPVGLPELRRLRRTFVKLMGQASLSGP PLPTDADSAKRRFVDYLNREFGGQ" gene 21040497..21041596 /locus_tag="BRARA_H02599" /db_xref="Phytozome:Brara.H02599" mRNA join(21040497..21040743,21040868..21041110, 21041180..21041596) /locus_tag="BRARA_H02599" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02599" CDS join(21040692..21040743,21040868..21041110, 21041180..21041403) /locus_tag="BRARA_H02599" /codon_start=1 /product="hypothetical protein" /protein_id="RID51971.1" /db_xref="Phytozome:Brara.H02599" /translation="MRVFLGLLLLLALTKSSSAIYCLCKDGVGEKELQTAIDYACGSL ADCNPIHDNGPCYQPNNIKSHCDWAVNSYFQKASQVSGSCNFSGTATTNQNPPSNLVT GCIYPSSASTTSPTGTTLTNGTTPAFGPTGTGGFQGNGASSLVISHVLTHCFSSLVFL WGSDVRLGFSHA" gene complement(<21043264..>21043914) /locus_tag="BRARA_H02600" /db_xref="Phytozome:Brara.H02600" mRNA complement(join(<21043264..21043280,21043392..>21043914)) /locus_tag="BRARA_H02600" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02600" CDS complement(join(21043264..21043280,21043392..21043914)) /locus_tag="BRARA_H02600" /codon_start=1 /product="hypothetical protein" /protein_id="RID51972.1" /db_xref="Phytozome:Brara.H02600" /translation="MENSETSGCSSWESVEPEYLEFIEKGGCIEPLVEYVDCKGEAEK KNEDVFPKCKEAKDRLNNCFIAHRDYHQPILEIMEPAIELVVNKIDALFPLVDTVSTE SADQPEEGDGPLHVFMNGGACTESYMALEDCLVEVMETNEDICNCTKAFTMLMKCMDA HSDYYQPILDAVYDVLILF" gene 21045278..21046475 /locus_tag="BRARA_H02601" /db_xref="Phytozome:Brara.H02601" mRNA 21045278..21046475 /locus_tag="BRARA_H02601" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02601" CDS 21045322..21046299 /locus_tag="BRARA_H02601" /codon_start=1 /product="hypothetical protein" /protein_id="RID51973.1" /db_xref="Phytozome:Brara.H02601" /translation="MNEKELPDHLREDNLSEETKTLISSLPSTKDFLGKLYNYQGCWY YPNTLLGVRNFQKGFKPQETDIVIASFPKSGTTWLKALTVALLERLKNNSSNVPHPLQ SDNPHGLVPFLETNLYLNSSTPDLTKFSSPRLFSTHMPLHTLQVPFKDSPCKIVYVCR DVKDVLVSQWFFRCAYLQKELDKSLLESSFESLCSGVVYFGPFWENVLSYWKGSLEDP EHVLFMRYEEMKSDPAAQVKRLAKFLGCPFTEEEEGGSVDKILELCSLRSLSSMEINK TGKTSNNVHYSNFFRKGEVGDSKNHLTPEMDNKIDMIVEEKYKGSGLKY" gene 21049186..21050615 /locus_tag="BRARA_H02602" /db_xref="Phytozome:Brara.H02602" mRNA join(21049186..21049510,21049659..21050615) /locus_tag="BRARA_H02602" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02602" CDS join(21049188..21049510,21049659..21050502) /locus_tag="BRARA_H02602" /codon_start=1 /product="hypothetical protein" /protein_id="RID51974.1" /db_xref="Phytozome:Brara.H02602" /translation="MYITRRLSEYRRNPSELTQPPPEGPSSGVLVIHDQHSQIQSTCC FGSCEVVECNHSGLPLTQNLKLAVLFNSGGDDSTNDPIVFIPVLDKPLSSNCYYAIRR RGKYSGEASTSAKEEDIVSCCLCLTQVPEAKPKQLDPYDIYQQFEIHQKKPSSRYYHA TYVAPDGVPPWFLKKKEWTVSYSRSQEFELRDDAKGLNKELRGKLPALGESVVVGKWY VPFIFVKERDAKDQIKRSVYYSLTLEQRWEEVFSHENDKSENHDVVVDVEVEDEVVKL GGQEITRGVNENGFVWFGVGDRKIGLRSVVVERMKWEEERFGWRSKGEQERAMVVKRL EEKPKDGSFWKSYHCYVLIESFVLKRMDESLVLTYEFRHADKLKSKWSELVGAT" gene <21051330..>21055394 /locus_tag="BRARA_H02603" /db_xref="Phytozome:Brara.H02603" mRNA join(<21051330..21051649,21054554..>21055394) /locus_tag="BRARA_H02603" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02603" CDS join(21051330..21051649,21054554..21055394) /locus_tag="BRARA_H02603" /codon_start=1 /product="hypothetical protein" /protein_id="RID51975.1" /db_xref="Phytozome:Brara.H02603" /translation="MYVTRHLSEYQKNTSNLTQSLPEGPNSGVLVIQDEESKPTCCFG SCYDGELKGLPFPQNAKLTVTYRTGTNDNRRSYHDPVLFIPVPGQPLSLNRYYVIRRR GTHSGGASASAKEEDRVPCCFCFSYVPEAKPQEADPYDIYQQFEIHQRPASSGRYTAT SVAPNGIPPKFLKRKYWTVNYSNSQDFGLTDDAKGISAELRSELPKDVNTSVVVGKWY VPFIFVKEGDAKGQIKNSTYYRMTLKQRWEEVYSCSYNEEASEVVVDVEVETEVVKLD GEVTNLRETRADGIVLFSVLRDERQDMKIGLGSVVVERIKWEEERFGWLNRGDEVRSR IKRSERFEGGSSQWKSYKCYVLVESFELKRTDGSLVLTYEFTHVDKLKSKWV" gene 21058212..21060803 /locus_tag="BRARA_H02604" /db_xref="Phytozome:Brara.H02604" mRNA join(21058212..21058630,21058719..21058751, 21058825..21058925,21059133..21059248,21059326..21059425, 21059542..21059688,21059783..21059843,21059933..21060173, 21060256..21060339,21060439..21060803) /locus_tag="BRARA_H02604" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02604" CDS join(21058627..21058630,21058719..21058751, 21058825..21058925,21059133..21059248,21059326..21059425, 21059542..21059688,21059783..21059843,21059933..21060173, 21060256..21060339,21060439..21060571) /locus_tag="BRARA_H02604" /codon_start=1 /product="hypothetical protein" /protein_id="RID51976.1" /db_xref="Phytozome:Brara.H02604" /translation="MADNKKIKIGINGFGRIGRLVARVILQRNDVELVAVNDPFITTE YMTYMFKYDSVHGQWKHNELKIKDEKTLLFGEKPVTVFGIRNPEEIPWGEAGADFVVE STGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEHEYKSDLNIVSNASCTTN CLAPLAKVINDRFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGA AKAVGKVLPQLNGKLTGMSFRVPTVDVSVVDLTVRLEKAATYDEIKKAIKEESEGKLK GILGYTEDDVVSTDFVGDNRSSIFDAKAGIALSDNFVKLVSWYDNEWGYSTRVVDLII HMSKA" gene complement(<21061125..>21062797) /locus_tag="BRARA_H02605" /db_xref="Phytozome:Brara.H02605" mRNA complement(join(<21061125..21062499,21062703..>21062797)) /locus_tag="BRARA_H02605" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02605" CDS complement(join(21061125..21062499,21062703..21062797)) /locus_tag="BRARA_H02605" /codon_start=1 /product="hypothetical protein" /protein_id="RID51977.1" /db_xref="Phytozome:Brara.H02605" /translation="MITSVRESSPHVVVSRESITHASCSTECLNQLSNPYVGTKLIEM YSCAGVLASANKVFCEMGERNVVTWTSMINGYILNKDLVSARRFFDLSPERDTVLWNT MVAGYIQTGNMMEEARSLFDLMPCKDIMSWNTVLEGYANSGDVEACERVFEEMPERNV FSWNGLIKGYTQSGRLDKVLDCFKRMVDEDKVVPNDATLTSVLSACAKLGAFEFGKRV HKRGESLGFDKVNVNFMNALVDMYAKCGAIEMAMEVFNTIKRRDLISWNTVINGLAAH GHGTEALDFFSEMRTCGVRPDKITFVGVLCACRHMGLVEDGLAYYSSMFNDYSITPQI EHCGCMVDLLSRAGLLTQAVEFINKMPVKADAVIWATLLGASKVYKKVEVGELALGEL VKLEPRNPANFVMLSNIYGDLGRFDDAARLKVAMRDTGFKKEAGVSWIETDDGLVKFY SSGEKHRRTEELQRVLRELKSFSILLDEEGDEELQEHLI" gene complement(<21065937..>21067383) /locus_tag="BRARA_H02606" /db_xref="Phytozome:Brara.H02606" mRNA complement(join(<21065937..21066207,21066300..21066381, 21066473..21066586,21066711..21066806, 21067371..>21067383)) /locus_tag="BRARA_H02606" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02606" CDS complement(join(21065937..21066207,21066300..21066381, 21066473..21066586,21066711..21066806,21067371..21067383)) /locus_tag="BRARA_H02606" /codon_start=1 /product="hypothetical protein" /protein_id="RID51978.1" /db_xref="Phytozome:Brara.H02606" /translation="MAINNNNTFDLNNLPDDPSIDFFPFFKEGSSSSSSSGRFREKHI KDGKEYECRFCSLKFFKSQALGGHMNRHRQERETESLNKARELLRNNTFPPHQGPPFS YQHGDMHIRDPITPYKPMMYPPRLFSPSALLTPPPLVQPYLYPPWSPTPPLSLPYRCT DDYYLHNNGTNHQTSTNSGCSPPESRYTFIG" gene 21068548..21070501 /locus_tag="BRARA_H02607" /db_xref="Phytozome:Brara.H02607" mRNA join(21068548..21068740,21069474..21069615, 21069708..21069771,21069878..21070501) /locus_tag="BRARA_H02607" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02607" mRNA join(21069369..21069615,21069708..21069771, 21069878..21070501) /locus_tag="BRARA_H02607" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02607" CDS join(21069479..21069615,21069708..21069771, 21069878..21070171) /locus_tag="BRARA_H02607" /codon_start=1 /product="hypothetical protein" /protein_id="RID51979.1" /db_xref="Phytozome:Brara.H02607" /translation="MNSCGVQQNAFEVMRRSRDAVVCPKPRRAGVLNHHHLARSLRWQ VSHQMELCESNSRSDILDFILAKGGGCEQDPTPELFFTGSPPSRVSNPLTKDSLFQDE LLAVAPPPPSTPRATKPPPPSSPRNGGGCVRATTNFGNNPAVRVVGFNCLDMDRRNSV PTLA" CDS join(21069479..21069615,21069708..21069771, 21069878..21070171) /locus_tag="BRARA_H02607" /codon_start=1 /product="hypothetical protein" /protein_id="RID51980.1" /db_xref="Phytozome:Brara.H02607" /translation="MNSCGVQQNAFEVMRRSRDAVVCPKPRRAGVLNHHHLARSLRWQ VSHQMELCESNSRSDILDFILAKGGGCEQDPTPELFFTGSPPSRVSNPLTKDSLFQDE LLAVAPPPPSTPRATKPPPPSSPRNGGGCVRATTNFGNNPAVRVVGFNCLDMDRRNSV PTLA" gene 21081788..21083251 /locus_tag="BRARA_H02608" /db_xref="Phytozome:Brara.H02608" mRNA 21081788..21083251 /locus_tag="BRARA_H02608" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02608" CDS 21082004..21082549 /locus_tag="BRARA_H02608" /codon_start=1 /product="hypothetical protein" /protein_id="RID51981.1" /db_xref="Phytozome:Brara.H02608" /translation="MAGETLTRTNSAEPTDKKRVRDESDGAILDSPEVKRLRDDLFDV FDDSDPEPVSQDLDSVMKSFEDELSSAQPPGETQPDLGYLLEASDDELGLPPPVSVVK EVETTETVADLLRASSDSSGIDELWGFEDHAPDYGSLDFGSGFGDGGDYVTVEGLFDF SGECFDSGDLFSWRSESLPAE" gene 21085559..21087151 /locus_tag="BRARA_H02609" /db_xref="Phytozome:Brara.H02609" mRNA join(21085559..21086081,21086197..21086319, 21086399..21087151) /locus_tag="BRARA_H02609" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02609" CDS join(21085620..21086081,21086197..21086319, 21086399..21086992) /locus_tag="BRARA_H02609" /codon_start=1 /product="hypothetical protein" /protein_id="RID51982.1" /db_xref="Phytozome:Brara.H02609" /translation="MGKKLDALLGRSFKTNKFKSLLNLALTRLSILKNQRQVRCSQAT SDVTELLKLGHHENAYHRVDQVIKDQNTLDVLFFIHGYFTLLIDRVHLFEHNRDCPDE ILEAVSSLLFAASRIGEFPELQEIRNVLISRFGKDMAARSIELRSNCGVNPKIIQKLS TRHPPREVRMKVLMEIAAENNIVLKLEEASSTSTERASSEVSKAKLTSEDGEGYELSD SVKRGKKKYKDVADAAQAAFESAAHAADAARAAVELSQFSPRGGGSGSENKKSEQERN DDDFRGGEVDARSESKRSMSDSDEIIEEVPVMSFREDPVKLLEKDVVIYDSEEEIQYT TKPNTTTNVKEKQQVMDLPNRADTGHVDHMVHSVGDPFMRKVGLKGPVSVRTRQVRGY " gene 21088570..21090716 /locus_tag="BRARA_H02610" /db_xref="Phytozome:Brara.H02610" mRNA join(21088570..21088676,21088908..21089075, 21089157..21089397,21089485..21089651,21089743..21089895, 21090254..21090716) /locus_tag="BRARA_H02610" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02610" CDS join(21088638..21088676,21088908..21089075, 21089157..21089397,21089485..21089651,21089743..21089895, 21090254..21090598) /locus_tag="BRARA_H02610" /codon_start=1 /product="hypothetical protein" /protein_id="RID51983.1" /db_xref="Phytozome:Brara.H02610" /translation="MMHYLIPEPKTKEIVFEKVLSCDGPTTLQEVKNLSSKRKAVEES VNRSSNATDAIAREMNRGLASSCEQDLLKLGEYLPLLFNLVHHADKIKHVSGLKIRWS SGLISQTLIQRKCPKFFQVDNIMFELGMVLYFYALKLRERAMELVSTDVKKSITLYRE ASGVFHHLSHELLPSLLPSLPQGKLPELTPSLCTSLSLLCLAEGQAVTTKNAEESGRS ASLLSKLHYGTTQMLSEASALLSSRANGECKDLSSRFLEYVSTMRALHELKSQKHLAE VLESEERVGEAVGVLRRASAGARRSMPSKEDKWITIFKNEREEVSKKMAKYEKLNDFL LERIPVETELPFPKGETIVKLIPYIPTRWEQELRFK" gene complement(21092814..21094682) /locus_tag="BRARA_H02611" /db_xref="Phytozome:Brara.H02611" mRNA complement(join(21092814..21093291,21093410..21093486, 21093604..21093799,21093895..21094169,21094381..21094682)) /locus_tag="BRARA_H02611" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02611" CDS complement(join(21092941..21093291,21093410..21093486, 21093604..21093799,21093895..21094169,21094381..21094522)) /locus_tag="BRARA_H02611" /codon_start=1 /product="hypothetical protein" /protein_id="RID51984.1" /db_xref="Phytozome:Brara.H02611" /translation="MIKKLSNMDYKQKQERCGQYIKALEEERRKIHVFQRELPLCLDL VTQAIEACKRELPGTATENMYGQSECSEQTTGECTPVLEQFLTIKDSSTSNEEEELTD EHGNHDPDNDSEDKNMKSDWLKSVQLWNQPDPLLPKEEGTQEKMVDTVVKKDESMKKE AMANGGERRKREAEKDGGRKQRRCWSSQLHRRFLNALQHLGGPHVATPKQIKELMKID GLTNDEVKSHLQKYRLHTRRPSQTVSSNGNSQTQHLVVVEGIWVPKSDHSTGRTTGGA TTSGTTTRSTTGIYGAMAAPPLPQWPSPSSFRPSIIVEEKGSGSPSEEVVVRCSSPAM SSSTRNHYVKNI" gene complement(21096237..21097378) /locus_tag="BRARA_H02612" /db_xref="Phytozome:Brara.H02612" mRNA complement(join(21096237..21096940,21097026..21097378)) /locus_tag="BRARA_H02612" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02612" CDS complement(join(21096411..21096940,21097026..21097242)) /locus_tag="BRARA_H02612" /codon_start=1 /product="hypothetical protein" /protein_id="RID51985.1" /db_xref="Phytozome:Brara.H02612" /translation="MIMASSAAAASISLVRNLSRHHQTPLLGYSSSFHNLRISSNGPA LSARSRSTTSSTPGFFRTMCSSSENSRPTKIQELNVYEFNEGDRHSPAVLKLGKNPQH LCIGDLVPFTNKLYTGDLKKRVGITAGLCVLIQHVPEKKGERFEASYSFYFGEYGHIS VQGPYLTYEDTLLAITGGSGVFEGAYGQVKLHQLVYPTKLFYTFYLKGVVADLPVELT GKHVEPSKDVKPAAEAQAAQPGATILNFTE" gene complement(21097772..21100463) /locus_tag="BRARA_H02613" /db_xref="Phytozome:Brara.H02613" mRNA complement(join(21097772..21098021,21098129..21098176, 21098301..21098398,21098512..21098591,21098702..21098773, 21098850..21098891,21098977..21099138,21099232..21099469, 21099998..21100082,21100256..21100463)) /locus_tag="BRARA_H02613" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02613" CDS complement(join(21097876..21098021,21098129..21098176, 21098301..21098398,21098512..21098591,21098702..21098773, 21098850..21098891,21098977..21099138,21099232..21099469, 21099998..21100082,21100256..21100400)) /locus_tag="BRARA_H02613" /codon_start=1 /product="hypothetical protein" /protein_id="RID51986.1" /db_xref="Phytozome:Brara.H02613" /translation="MASTGLLSSFSPSSSLQLRSSFNGDYVSPSSSFIGAAPFASSSL SLLSGQKNSYPPRKLHVSAKKVSGLEEAIRIRRMRELEKTSKVRRNPPLRRGRVSPRL LVPDHIPRPPYVESSVLPDISPEFQIPGPEGIVKMRAACELAARVLNFAGTLVKPSVT TNEIDKAVHDMIVEAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLQSGDIINID VTVYLDGYHGDTSRTFFCGEVDEGFKRLVKVTEECLERGIAVCKDGASFKKIGKRISE HAEKNGYNVVERFVGHGVGPVFHSEPLIYHYRNDGPGQMVEGQTFTIEPILTIGTTEC VTWPDNWTTLTADGGVAAQCEHTILITRTGSEILTKC" gene 21103160..21103791 /locus_tag="BRARA_H02614" /db_xref="Phytozome:Brara.H02614" mRNA 21103160..21103791 /locus_tag="BRARA_H02614" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02614" CDS 21103234..21103371 /locus_tag="BRARA_H02614" /codon_start=1 /product="hypothetical protein" /protein_id="RID51987.1" /db_xref="Phytozome:Brara.H02614" /translation="MGSSQSMGGSKRRVSSRGLGAVLKEQRAKLYIIRRCVVMLLCWN D" gene complement(21106429..>21111222) /locus_tag="BRARA_H02615" /db_xref="Phytozome:Brara.H02615" mRNA complement(join(21106429..21106814,21106884..21107063, 21107135..21107187,21107265..21107394,21107482..21107559, 21107632..21107691,21107812..21107867, 21110910..>21111222)) /locus_tag="BRARA_H02615" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02615" CDS complement(join(21106668..21106814,21106884..21107063, 21107135..21107187,21107265..21107394,21107482..21107559, 21107632..21107691,21107812..21107867,21110910..21111222)) /locus_tag="BRARA_H02615" /codon_start=1 /product="hypothetical protein" /protein_id="RID51988.1" /db_xref="Phytozome:Brara.H02615" /translation="MAALSSTSCLHSLAGHERYFLSCKTSQFSKPSFIKPSLKKPRFS VPFCIKQSDRDQKQIQQESSREEDKEDDEDYWVVTAVRSKYNEIVIVDTVDARYLLLD STKNAHSVINKGGDNWTDSYWDEFASLPPIVPDGPIAIYGLGGGTAARLILELYPSVQ LEGWEIDDILIEKAREYMELSELEKPTPKGGSLRVLVDDALSPSEDVSGKYAGIIVDL FADGKVLDQLQQVQMWHDLASRLMPNGRIMVNCAGIEEEKVVTNEKPKLVLGDSVWML NHTIKVMSEAFPGQVCWKRTPDSEGLNFVALTGGVPDLSDWSTKVPARLSEPVKQWKL CEDL" gene <21112438..>21113712 /locus_tag="BRARA_H02616" /db_xref="Phytozome:Brara.H02616" mRNA <21112438..>21113712 /locus_tag="BRARA_H02616" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02616" CDS 21112438..21113712 /locus_tag="BRARA_H02616" /codon_start=1 /product="hypothetical protein" /protein_id="RID51989.1" /db_xref="Phytozome:Brara.H02616" /translation="MEAAENRVVVASSEGKRKRRKRRRRNEKLVIAPSSLPKEVIEEI FLRLPVKVLIRLRSLSKQWRVTMESLCFAERHLKIAKQSRVKLIMVIDSRLVPKPPPD SNVGFRTFCLESASLLSFTLINFSQGFDSWIFISGNCDGLFCIHSPKTQSIYVVNPAT RWLRQLPPARFQILIHKFDPTLEEWIAMDSLFHLAFVKKAAADYKLVWLYNSDSYNVD PSCPNEGVTKCEVFDFRANAWRYLACTPSYRIFPNQKPAYANGSVYWLTELHEGRIEV VDFDIHTETFRLLPKIIPAIASSDPSHIDMCTLDNHLCMSKREHVTKIQEIWRLKPTE GTWEMIFSIDLLSCPSPRTEIRDQFEWSQKDLVEPSTPVAVCKNKKILLSHCYSRGLV KYDPLTKSLDFFYRDPMAWRKVTYFPSLISHI" gene complement(21117711..21120710) /locus_tag="BRARA_H02617" /db_xref="Phytozome:Brara.H02617" mRNA complement(join(21117711..21117992,21118164..21118262, 21118375..21118500,21118592..21118702,21118914..21119033, 21119158..21119250,21119469..21119615,21119845..21120304, 21120388..21120710)) /locus_tag="BRARA_H02617" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02617" mRNA complement(join(21117711..21118000,21118164..21118262, 21118375..21118500,21118592..21118702,21118914..21119033, 21119158..21119250,21119469..21119615,21119845..21120304, 21120388..21120710)) /locus_tag="BRARA_H02617" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02617" CDS complement(join(21117920..21118000,21118164..21118262, 21118375..21118500,21118592..21118702,21118914..21119033, 21119158..21119250,21119469..21119615,21119845..21120304, 21120388..21120434)) /locus_tag="BRARA_H02617" /codon_start=1 /product="hypothetical protein" /protein_id="RID51990.1" /db_xref="Phytozome:Brara.H02617" /translation="MDPTSGPAVVIDNGTGYTKMGFAGNVEPCFILPSVVAANESFLS QPKSSLKGTWAAQHNAGVAADMDFFIGDEALAKSRSSSTYNLRYPIEHGQVEDWDAME RYWQQCVFNYLRCDPEDHYFLLTESPLTPPESREYTGEILFETFNVPGLYIAVNSVLA LAAGYTTSKCEMTGVVVDVGDGATRVVPVAEGYVIASCIKSIPIAGKDVTLFIQQLMR ERGENIPPEDSFDVARKVKEMYCYTCSDIVKEYNKHDKEPGKYIKQWKGVKPKTGAPY TCDVGYERFLGPEVFFNPEIYSNDFITSLPAVIDKCIQSAPIDTRRALYKNIVLSGGS TMFKDFGRRLQRDLKKIVDARVLANNARTGGEITSQPVEVNVVSHPVQKFAVWFGGSV LSSTPEFFASCRTKEEYEECGASICRTNPVFKGMY" CDS complement(join(21117975..21117992,21118164..21118262, 21118375..21118500,21118592..21118702,21118914..21119033, 21119158..21119250,21119469..21119615,21119845..21120304, 21120388..21120434)) /locus_tag="BRARA_H02617" /codon_start=1 /product="hypothetical protein" /protein_id="RID51991.1" /db_xref="Phytozome:Brara.H02617" /translation="MDPTSGPAVVIDNGTGYTKMGFAGNVEPCFILPSVVAANESFLS QPKSSLKGTWAAQHNAGVAADMDFFIGDEALAKSRSSSTYNLRYPIEHGQVEDWDAME RYWQQCVFNYLRCDPEDHYFLLTESPLTPPESREYTGEILFETFNVPGLYIAVNSVLA LAAGYTTSKCEMTGVVVDVGDGATRVVPVAEGYVIASCIKSIPIAGKDVTLFIQQLMR ERGENIPPEDSFDVARKVKEMYCYTCSDIVKEYNKHDKEPGKYIKQWKGVKPKTGAPY TCDVGYERFLGPEVFFNPEIYSNDFITSLPAVIDKCIQSAPIDTRRALYKNIVLSGGS TMFKDFGRRLQRDLKKIVDARVLANNARTGGEITSQPVEVNVVSHPVQKFAVWFGGSV LSSTPEFFANERGV" gene <21121557..21123276 /locus_tag="BRARA_H02618" /db_xref="Phytozome:Brara.H02618" mRNA <21121557..21123276 /locus_tag="BRARA_H02618" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02618" CDS 21121557..21123134 /locus_tag="BRARA_H02618" /codon_start=1 /product="hypothetical protein" /protein_id="RID51992.1" /db_xref="Phytozome:Brara.H02618" /translation="MSLTERVFNHLSLFDLSLALLGLFVFCCLREKLANKHGPMLWPV FGITLEFFIHKNDVYGWVTKSLKKSRNTFLYRGFWLDGSHGAVTCSPANVEYMLKTNF KNFPKGTFFKDRFKDLLEDGIFNADDESWKEQRRVIITEMHSTRFMEHSFQTTQRLVR KKLLKVMESFARSQEAFDLQDVLLRLTFDIICIAGLGDDPETLAQDLPQVPFAKAFDE ATESTLFRFMIPPFIWKPMRFLDIGYEKGLRKAIDVVHGFVNKMIMDRICMVNNDETL DNRSVLTRIIQIESHKKGNEIGPSTIRFFRQFCTSFILAGRDTSSVAISWFFWVIQRH PQVENKIIQEIREILKQRGDPSDSSLFTVRELNNMVYLQAAISETLRLYPPIPMEMKQ AIEDDMFPDGTFIRKGSRVYFSIYAMGRMESVWGKDCEEFRPERWIQAGKFVSDDQYK YVVFNAGPRLCLGKTFAYLQMKMIAASVLLRYSIKVAQDHVVVPRVTTNLYMKYGLKV TITPRSLEEKILKSFPM" gene complement(21123600..21125354) /locus_tag="BRARA_H02619" /db_xref="Phytozome:Brara.H02619" mRNA complement(join(21123600..21124285,21124360..21125354)) /locus_tag="BRARA_H02619" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02619" CDS complement(join(21123698..21124285,21124360..21125259)) /locus_tag="BRARA_H02619" /codon_start=1 /product="hypothetical protein" /protein_id="RID51993.1" /db_xref="Phytozome:Brara.H02619" /translation="MLIFLCFLFLFPLILIVLQNLKPSKWNLPPGPLKLPIIGNLHQR GELHPRNRLNLTQKYGPVVLLRFGFVPVVVISSRDAAEEMLKIHDLECCSRPETVGTR TISYNCKGIGFMPYGEEWKALRKLAVIELFSMKKLQSFRYIREEESNVLVKKLSESAS SQSLVSLNKTLFTLVASIVCRIGFRLNLQDCEFIDEDSIAEFVQKCESVTRTSMFSDF FPGRFGRFIDMISGQNKRLESIFSEVDSFLQNILDDHLKPGRRRVEESSDDIIDVMID MMRNQDKDGDSFKPTTDNLKGMISDIFIAGVNTSASTMIWAMTELIRNPRVMKKVQDE IRTTLGNNKERITEQDVTKLHYFKLMVKETLRLHPAAPLLLPRETLTHIKIQGYDIPA KTKILINAYAIARDPELWTDPDEFNPDRFLDSSVDYKGLNFELLPFGSGRRICPGMAL GVAIVELGLLNLLYFFDWGLPEKEEADKIITGNEVALDLFQVLHH" gene complement(21126706..21128748) /locus_tag="BRARA_H02620" /db_xref="Phytozome:Brara.H02620" mRNA complement(join(21126706..21127449,21127829..21128748)) /locus_tag="BRARA_H02620" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02620" CDS complement(join(21126838..21127449,21127829..21128725)) /locus_tag="BRARA_H02620" /codon_start=1 /product="hypothetical protein" /protein_id="RID51994.1" /db_xref="Phytozome:Brara.H02620" /translation="MEILPCFFLALFLTLVSSIFLKKTKNSKFNLPPSPSSLPIIGNL HHLAGLPHRCFHNLSIKYGPVVLLRLGSVPVVVISSSEAAEAVLKTHDLECCSRPKTV GTGKLSYGFKDITFSQYGAYWREMRKLAVIELFSLKKVQSFRYIREDEVGFVVKKVSE AALTQSPVDLSKTFFTLTASIICRVALGQNFHESDFFIDQEKIEELVTEATVALGDFT FSDFFPGVFGRFLDLLFQRHKRISKVFEELDAFYQHVIDDHLKPEGRKNQDIVSMMLD MIDEQGDDDSFKLNMDNVKAILMDVFLAGIDTSAVTMIWAMAELVKNPRVMKKAQENI RTTLGLNRERITEDDIGKVDYLKLIVKEIFRLHPALPFIIPRETMSHVKIQGYDIPPK TQIQINVWTIGRDPERWTDPEEFNPERFTDSSVDFRGQHYELLPFGSGRRMCPAMPMG VANVELALMNLLYFFDWGLPDGMKVGELDMEEAGNISIVKKIPLQLVPLRRY" gene complement(<21130095..>21131686) /locus_tag="BRARA_H02621" /db_xref="Phytozome:Brara.H02621" mRNA complement(join(<21130095..21130706,21130790..>21131686)) /locus_tag="BRARA_H02621" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02621" CDS complement(join(21130095..21130706,21130790..21131686)) /locus_tag="BRARA_H02621" /codon_start=1 /product="hypothetical protein" /protein_id="RID51995.1" /db_xref="Phytozome:Brara.H02621" /translation="MDVLLYFLLVSLLALVSSIFLTNIKTSKLKLPPSPSSLPIIGNL HHFAGCPSRYFHSLSIKHGPVMLLRLGFLRVVVISSSEAAEEVLKTHDMECCSRPNTL VSGKLSYGFKDVNFAPYGEYWREMRKLVVIELFSLKKVQSFRYIREEESYLMVKKVSE SALKRSPVDLNKTFFSLTASIICRVALGLNFHESSFVIDQERIEELVTEAGEVLGTFT FSDYFPSRLGSFVEWLFQQHKKINKVVEELDAFYQHVIDEHLKPEGRKNPDIVSLMLD MIDKQGSEDYFKLDMDNVKAIIMDVFLAGIDTGATTMIWAMTELVRNPNVMKKAQENI RATLGLKRERITEEDLGKVDYMTFIIKETFRLHPPVPFLLPRETMSHVKIQGYDIPPK TQIKVNVWTIGRDPKRWTDPEDFIPERFADSSVDFRGQHFELLPFGSGRRICPAMAMG TATVELGLMNLLYFFDWGLPDGMNIEEFDMEEADNPTYVKKLPLQLVPFQRH" gene complement(21135065..21136802) /locus_tag="BRARA_H02622" /db_xref="Phytozome:Brara.H02622" mRNA complement(join(21135065..21135791,21135865..21136802)) /locus_tag="BRARA_H02622" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02622" CDS complement(join(21135180..21135791,21135865..21136761)) /locus_tag="BRARA_H02622" /codon_start=1 /product="hypothetical protein" /protein_id="RID51996.1" /db_xref="Phytozome:Brara.H02622" /translation="MAILLCFFLVSLLTLLTSICLKRMTNSKLNLPPSPSSLPIIGNL HHLAGLPHRCFHNLSIKHGLVMLLRLGSVPVVVISSSEAAEAVLKTHDLECCSRPKTV GTGKLSYGFKDINFGPYGEYWREMRKLVVTELFSLRKVQSFRYIREEESDFMVKKVSE SALKQSSVDLNKTFFSLAASVICRVALGQNIHESNFLTDQEKIEGLVTEAAEALGTFT FSDFFPGALGRFVDWLFQRHKKINKVFEDLDVFYQQVIDEHLKPEGRENQDIVSLILD MIDKQGSEDSFKLDIDNVKAVLMDIFLAGVDTSAITMIWVMTELARNPRVMKKAQENI RATLGLKRERITEEDLGKVDYLKLVIKETFRLHPPVPLLLPRETMSHVKIQGYDIPPK TQIQVNVWTIGRDPKRWTDPEDFNPERFTDSSVDFRGQHFDLIPFGSGRRVCPAMVLG IATVELGLMNLLYYFDWKLPDGMKVGDIDMEEAGILSTVKKQPLELVPLRRH" gene complement(21137062..21139441) /locus_tag="BRARA_H02623" /db_xref="Phytozome:Brara.H02623" mRNA complement(join(21137062..21137383,21137465..21137565, 21137638..21137741,21137815..21137949,21138364..21138470, 21138702..21138831,21138921..21139049,21139252..21139441)) /locus_tag="BRARA_H02623" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02623" CDS complement(join(21137256..21137383,21137465..21137565, 21137638..21137741,21137815..21137949,21138364..21138470, 21138702..21138831,21138921..21139040)) /locus_tag="BRARA_H02623" /codon_start=1 /product="hypothetical protein" /protein_id="RID51997.1" /db_xref="Phytozome:Brara.H02623" /translation="MKLDTSGFETSMPTIGFGSSNDMLDGFTTVPSFDLPRTTDFDGF QKEAVQMVKPAKGTTTLAFIFKEGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTM AGGAADCQFWHRNLGIKCRLHELANKRRISVSGASKLLANMLYSYRGMGLSVGTMIAG WDETGPGLYYVDNEGGRLKGDRFSVGSGSPYAYGVLDSGYKFDMSVEEASELARRSIY HATFRDGASGGVASVYHVGPNGWKKLSGDDVGELHYHYYPVPPSTAEQVMEEAAAE" gene complement(<21140559..>21141521) /locus_tag="BRARA_H02624" /db_xref="Phytozome:Brara.H02624" mRNA complement(<21140559..>21141521) /locus_tag="BRARA_H02624" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02624" CDS complement(21140559..21141521) /locus_tag="BRARA_H02624" /codon_start=1 /product="hypothetical protein" /protein_id="RID51998.1" /db_xref="Phytozome:Brara.H02624" /translation="MSYHHYETNPHLVQFSSQDQHPGGPSTSWPSPDHHQNPQAHPVA PSGPRIKTRGRHQSEPPELIHEPPSSRPMPLRPEEPLPPRRNPNPGRPSLLSPEDQQR PQPNGGHGPEPTPWRTAPTRPTHHQQGPKRTKPMKLPATVCCAILLVILILSGLVLLL VYLSNRPHTPYFDIAAANLNSANLEMGYVLNGDLAVVVNFTNPSKKRSVDFSYIMFEL YFYNTLIATQRIEPFIVPKGMSMFTSFHLVSSQVPIQMIQSQELQLQLGTGPVLLNLR GTFHARSNVGSLMRYSYWLHTRCSISLKNPPLGYMRARRCITKR" gene complement(21142157..21144234) /locus_tag="BRARA_H02625" /db_xref="Phytozome:Brara.H02625" mRNA complement(join(21142157..21142357,21142450..21144234)) /locus_tag="BRARA_H02625" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02625" CDS complement(21142600..21144153) /locus_tag="BRARA_H02625" /codon_start=1 /product="hypothetical protein" /protein_id="RID51999.1" /db_xref="Phytozome:Brara.H02625" /translation="MHKTLGAVRLAYRSRIAYLVKSGTIDNAAQVFDEMRHSSYRVFS CDYNRFIGVLVKDSRFELAEALYKDMTPMGFSLIPFTYSRFISGLCKVKNFDLIDALL RDMEALGYIPDVWAFNIYLDLLCRELKVGFAVQTFFCMVRRGREPDVVSYTILINGLF RAGKVTDAVEIWSVMIRSGVGPDNKACAALVVGLCHARRVDLAYEMVADEIKSGRVKL GTVVYNALISGFCRAGRIEKAEALKSFMSKSGCEPDLVTYNVLLNFYYDNNMVKKAEG VMGEMVRSGIQPDVYSYNQLLKRRCRVGHPDKGYSFMVKEMEPRGLCDVVSYSTLIET FCRASNTKKAYKLFEEMRQKGIATNVVTYTSLIKAFLREGNSSVAKKLLDQMTGLGLS PDRIFYTTILDHLCKSGNLDKAYGVFSDMIEHGIAPDAVSYNALISGLCRSCRVTEAL KLFKDMQSKECCPDELTFKFIIGGLVRENKLSAAYEIWDQMMEKGFTLDRDVSDTLIK ASCSVSADA" gene 21144678..21147172 /locus_tag="BRARA_H02626" /db_xref="Phytozome:Brara.H02626" mRNA join(21144678..21146044,21146241..21146657, 21146816..21147172) /locus_tag="BRARA_H02626" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02626" CDS join(21144813..21146044,21146241..21146657, 21146816..21146843) /locus_tag="BRARA_H02626" /codon_start=1 /product="hypothetical protein" /protein_id="RID52000.1" /db_xref="Phytozome:Brara.H02626" /translation="MSKPWGGIGASWADEAERADEEQAATAADEQSFPSLKEAATSTK SKKKKKMTLSEFTKGAGSGSVGMTREQMIQLPTGPRQRSEDEMQRGGIGGGFSSYGGG RSSGMSRGGDDSNGSWGGGGGGRRGYGGFDDDQRGSSRVSEFPQVSRADEVDDWGKGK KSVAAFDQGRQGRYGGLGGGGGGGGGTFGGGGGSYAGGGGSGGSYGGGGGGSYGGGGG ASYGGGGGGSYGGGGGLSKADEIDNWGAGKSHSSLTKSSTFGSGPEPDRWARGVSSGG VQEERRRLVLEPRKVVVVDSGVSETQTDAKTSKPSPFGAARPREQVLAEKGLDWKKLD SDIEAKKGSSQTSAQSSRPSSSQSNRSESLASNNNVEKPRPKVNPFGDAKPREVLLEE QGKDWRKMDSELEHRSVDRPETEEERLLKEEIEELRKKLEKGADIAPENKETQQESSD SDNHNLLREKEKDLELLIRELDDKVRFRPRAAERPGSGAGRTGSNYGERPHSRGGSVD ESRSVESSMERPRSRGAGGGDDRRNFQGSKERGFFSNRNFDRSSTSRNGW" gene complement(21147292..21149316) /locus_tag="BRARA_H02627" /db_xref="Phytozome:Brara.H02627" mRNA complement(join(21147292..21148769,21148965..21149316)) /locus_tag="BRARA_H02627" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02627" CDS complement(join(21147672..21148769,21148965..21149045)) /locus_tag="BRARA_H02627" /codon_start=1 /product="hypothetical protein" /protein_id="RID52001.1" /db_xref="Phytozome:Brara.H02627" /translation="MWWMMGEAGGHYCSKKTDSICGGVCSQETGRFFSFSRLCCALRG VDMKTYIFLLVIVPTCVLAGYIHGQKISYFLRPLWESPPKPFHDIPHYHHENATMETL CKLHGWGVRDYPRRVYDAVLFSNELDILAVRWRELYPYITQFVLLESNSTFTGLPKPL VFAAHRDEFEFVEPRLTYGSLGGRFVKGQNPFYEEAYQRVALDQLLRIAGITDDDLLL MSDVDEIPSRHTINLLRWCDEVPKILHLRLKNYLYSFEFLVDNKSWRASVHRYETGKT RYAHYRQSDEILADAGWHCSFCFRRISEFIFKMKAYSHNDRVRFSHFLNPKRVQRVIC KGADLFDMLPEEYTFKDIIGKMGPIPHSFSAVHLPSYLLENAEKYRFLLPGNCVRESE " gene complement(21151035..21154101) /locus_tag="BRARA_H02628" /db_xref="Phytozome:Brara.H02628" mRNA complement(join(21151035..21151205,21151293..21151379, 21151476..21151594,21151698..21151936,21152024..21152080, 21152446..21152532,21152624..21153165,21153692..21154101)) /locus_tag="BRARA_H02628" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02628" CDS complement(join(21151137..21151205,21151293..21151379, 21151476..21151594,21151698..21151936,21152024..21152080, 21152446..21152532,21152624..21153165,21153692..21154051)) /locus_tag="BRARA_H02628" /codon_start=1 /product="hypothetical protein" /protein_id="RID52002.1" /db_xref="Phytozome:Brara.H02628" /translation="MEKDNSFMDPFLSSREDLDPTTHKALMSYLGVGSRASSLVSFSS TAVDIPPISNVGDFVREFRIESKKLWKLAGPAIFTSMAQFSLGAITQVFAGHISTIAL AAVSIENSVIAGFSFGIMLGMGSALETLCGQAFGAGKASLLGVYLQRSWVILSATALI LSLLYVFAAPILSFIGQTAAISAMAGIFSIYMIPQIFAYAINFPTAKFLQSQSKIMVM AGISGVALVIHTFLTWLVMSRLHWGLPGLALVLNASWWFIVVAQLVYIFGGTCGEAWS GFTWEAFHNLWGFVKLSLASAVMICLEVWYFMALVLFAGYLKNAEVSVAALSICMNIL GWAVMVSVGINAAVSVRVSNELGASHPRTAKFSLVVAVIVSTAFGMLIAAVLLFFQDE YPVLFVEDEVVRNVVRELTPMLAFCIVINNVQPVLSGVAVGAGWQAVVAYVNIACYYL FGIPFGLLLGFKLEYGVMGIWWGMMTGTFVQSIILTWMICTTNWAKEAAMAEERIREW GGETEKETLLN" gene complement(21155506..21157604) /locus_tag="BRARA_H02629" /db_xref="Phytozome:Brara.H02629" mRNA complement(join(21155506..21156041,21156120..21156595, 21156826..21157604)) /locus_tag="BRARA_H02629" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02629" CDS complement(join(21155699..21156041,21156120..21156595, 21156826..21157506)) /locus_tag="BRARA_H02629" /codon_start=1 /product="hypothetical protein" /protein_id="RID52003.1" /db_xref="Phytozome:Brara.H02629" /translation="MDVEGKGEESRTTTTTRRFALPVDSENKSTTFRLFSVAKPHMRA FHLSWFQFFCCFVSTFAAPPLLPVIRENLNLTATDIGNAGIASVSGSVFARLVMGTAC DLFGPRLASAALTLSTAPAVYFTAGIKSPIGFIMVRFFAGFSLATFVSTQFWMSSMFS GPVVGSANGIAAGWGNLGGGATQLIMPLVFSVIRQMGATKFTAWRIAFFIPGLFQTFS AFAVLLFGQDLPDGDYWAMHKSGEKEKDEVGKVITHGITNYRGWITALAYGYCFGVEL TIDNIIAEYFFDRFHLNLNTAGIIAASFGLANFFARPGGGILSDLMARRFGMRGRLWS WWIIQTLGGVLCASLGQIDSLTGSIVVMLIFSVFVQASCGLTFGVVPFISRRSLGVIS GMTGAGGNVGAVLTQLIFFKGSTYSRETGITLMGIMSIACTLPICLIYFPQWGGMFCG PSSKKVTEEEYYLAEWSSKEKEKNLHLSSQKFAENSVSERGRATTHPQS" gene 21162108..21163921 /locus_tag="BRARA_H02630" /db_xref="Phytozome:Brara.H02630" mRNA join(21162108..21162197,21162380..21163921) /locus_tag="BRARA_H02630" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02630" CDS 21162404..21163711 /locus_tag="BRARA_H02630" /codon_start=1 /product="hypothetical protein" /protein_id="RID52004.1" /db_xref="Phytozome:Brara.H02630" /translation="MADQEADTNIEIWKIKKLIKGLESARGNGTSMISLIMPPRDQVS RVTKMLGDEYGTASNIKSRVNRQSVLSAITSAQQRLKLYNKVPTNGLVLYTGTIVNDD GKEKKVTFDFEPFRPINASLYLCDNKFHTGALNELLESDDKFGFIVMDGNGTLFGTLS GNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPATSQ PNVAGLILAGSADFKTELSQSELFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILS NVKFIQEKKLIGKYFEEISQDTGKYVFGVEDTLKALEMGAIETLIVWENLDINRYELK NSTSGEIVVKHFGKDQETDQSNFHDAETNAELEVQEKMPLLEWFANEYKRFGCTLEFV TNKSQEGSQFCRGFGGIGGMLRYQLDMRTFDELSDGEVYEDSD" gene complement(21164227..21166496) /locus_tag="BRARA_H02631" /db_xref="Phytozome:Brara.H02631" mRNA complement(join(21164227..21164389,21164490..21164660, 21164754..21164969,21165301..21166496)) /locus_tag="BRARA_H02631" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02631" CDS complement(join(21164306..21164389,21164490..21164660, 21164754..21164969,21165301..21166131)) /locus_tag="BRARA_H02631" /codon_start=1 /product="hypothetical protein" /protein_id="RID52005.1" /db_xref="Phytozome:Brara.H02631" /translation="MNGNGTWLHDAGEPSQANDNDESRTWVRNTEENWFSNPQPLNPL HNNQNDFRFNSGAFPSNPSENLLLLLQQEQQQQQQQSFLTTKACMASLLNIPTSNTNN NINSNPFDELGFSSGFLGQPNQTPISMSFSGMSSPPDFLSSRSIPPPENSSFTPLEFA GVANGVFENRAKVLKPLEVLASSTSQPTLFQKRAAMRQSSSSKTCNSESSSEMRRSSY EPDIDDASTGIIDIISDEHNNKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKIS KMDRASILGDAIDYLKELLQRINDLHTELESTAPPSSSSLNPLTPTTQTLSYRVKEEL CPSSSFPSPRGEQARIEVKLREGKAVNIHMFCGRRPGLLLSTMRALDNLGLDVQQAVV SCFNGFALDVFRAEQCQEGHDVVPEQIKAVLLDTVGYTGLV" gene 21169517..21171216 /locus_tag="BRARA_H02632" /db_xref="Phytozome:Brara.H02632" mRNA join(21169517..21170170,21170365..21171216) /locus_tag="BRARA_H02632" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02632" CDS join(21169619..21170170,21170365..21170982) /locus_tag="BRARA_H02632" /codon_start=1 /product="hypothetical protein" /protein_id="RID52006.1" /db_xref="Phytozome:Brara.H02632" /translation="MGSSQSSQLLDEEEEEEDDEEDEPEDANDGLNRRRTELDNLLVK KVLEQEPEMLPCHASASPLSPQLSSLGTPRIGPSIKVWDPYNVLLSPPPPPPLFSGVS SSAEHDRAAAVTEVYLISHGECDLDLRPDLIGGRCHVAALTPNGKRQARALAVFLNSE GVRFSSVFASPLDRARSMAVSVCQEMNFPEEHVQSSEAIVEMSLGDWEGFHRSEIYTP ETLSLIERCQPDFSPPLGESLRQVEFRMSQFLNGLRSTHHSNARVGLSQSSTPHLLAA SSHRSSLTRKKSGKSRFQVMNTTGGEEMFNHQNDEQHLGDVNSKSSASQLSTCVGVFT HSLPIKCLLTGVLGCSSVMTHKICVEDSSVTVLQHSWKTGWQVKRLNDTAHLRLL" gene 21171483..21172060 /locus_tag="BRARA_H02633" /db_xref="Phytozome:Brara.H02633" mRNA 21171483..21172060 /locus_tag="BRARA_H02633" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02633" CDS 21171535..21171861 /locus_tag="BRARA_H02633" /codon_start=1 /product="hypothetical protein" /protein_id="RID52007.1" /db_xref="Phytozome:Brara.H02633" /translation="MALSSTFSVSVFLLIVVSVQWTLVCSEPTILASPAVLPYGNAPD MSSFFPSPTKDRSFETAASPAPDAPGPSSGQFNGKVAGISMRLRPDISLVLVIVGIGS FLSVLY" gene complement(21172233..21174713) /locus_tag="BRARA_H02634" /db_xref="Phytozome:Brara.H02634" mRNA complement(join(21172233..21172551,21172633..21172712, 21172813..21172896,21172979..21173104,21173259..21173375, 21173471..21173612,21173785..21173852,21174028..21174127, 21174211..21174338,21174405..21174473,21174546..21174713)) /locus_tag="BRARA_H02634" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02634" CDS complement(join(21172449..21172551,21172633..21172712, 21172813..21172896,21172979..21173104,21173259..21173375, 21173471..21173612,21173785..21173852,21174028..21174127, 21174211..21174338,21174405..21174473,21174546..21174659)) /locus_tag="BRARA_H02634" /codon_start=1 /product="hypothetical protein" /protein_id="RID52008.1" /db_xref="Phytozome:Brara.H02634" /translation="MTSRYWVVSLPVKDSSSSLWNRLQEQISKHSFDTPVYRFNIPNL RVGTLDSLLALGDDLLKSNSFVEGVSQKIRRQIEELERISGVESNALTVDGVPVDSYL TRFVWDEAKYPTMSPLKEVVENIQSQVAKIEDDLKVRVAEYNNVRGQLNAINRKQSGS LAVRDLSNLVKPEDIVASEHLVTLLAVVPKYSQKDWLACYETLTEYVVPRSSKKLFED NEYALYTVTLFTRVADNYRTSAREKGFQIRDFEHSVEAQETRKQELEKLVQDQESLRT SLLQWCYTSYGEVFSSWMHFCAVRIFAESIMRYGLPPAFLACVLSPAVKSEKKVRSIL ERLCDSTNSLYWKSEEDGGAAMAGLAGDSETHPYVSFTINLA" gene <21174983..>21175507 /locus_tag="BRARA_H02635" /db_xref="Phytozome:Brara.H02635" mRNA <21174983..>21175507 /locus_tag="BRARA_H02635" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02635" CDS 21174983..21175507 /locus_tag="BRARA_H02635" /codon_start=1 /product="hypothetical protein" /protein_id="RID52009.1" /db_xref="Phytozome:Brara.H02635" /translation="MADVENQQESSFPVKRKSDLCCQEEDHVPSKAQKLDPSSNSKDG EVNGSGVENLAAEEKKEGGDGEEEDDDEEEEEEVDRKGKGISREDKGKGKMIEVEESD DSDDDDDEDDEDGEEYDESDLSDDPLAEVDLDNILPSRTRRRSIQPGVFISNDRGGGV NEDDDDDSSDDSDA" gene 21184721..21187959 /locus_tag="BRARA_H02636" /db_xref="Phytozome:Brara.H02636" mRNA join(21184721..21185750,21185829..21186324, 21186742..21187959) /locus_tag="BRARA_H02636" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02636" CDS join(21185260..21185750,21185829..21186324, 21186742..21187527) /locus_tag="BRARA_H02636" /codon_start=1 /product="hypothetical protein" /protein_id="RID52010.1" /db_xref="Phytozome:Brara.H02636" /translation="MDRAIKLQLSTSEMNYFPDEVIEHIFDFIPSHRDRNSISLVSKS WHKIERYSRHQVFIGNCYAISPERLIRRFPCLRSLTLKGKPHFADFNLVPHEWGGFLH PWIDALSKARVGLEELRLKRMVVSDESLELLSRSFVGFKSLVLVSCDGFTTDGLASIA ANCRNLRELDLQENEIDDHRGQWLNCFPDSSTTLVSLNFACLKGETNLSALERLVARS PNLKSLKVNRAVPLDALTRLMSCAPQLVDLGVGCYENEAEPESFEKLMAAIKKCTLLR SLSGFSEVAPICLTAFYPICENLTSLNLSYAAELQGNHLIEFVQFCKRLQLLWILDSI GDRGLEVVASSCKELQELRVFPSDPHDEEDNNTAVTEVGLVAISAGCPKLHSILYFCK QMTNAALITVAKNCPNFIRFRLCILEPNKSDHITSQSLDEGFGAIVQACKGLRRLSVS GLLTDKVFLYIGMYAEQLEMLSIAFAGDTDKGMLYVLNGCKKLRKLEIRDSPFGNAAL LADVGKYETMRSLWMSSCEVTLGGCKRLARNAPWLNVEIINENENGRMERNEEDEREK VDRLYLYRTVVGTRKDAPPCVTIL" gene complement(21187656..21189278) /locus_tag="BRARA_H02637" /db_xref="Phytozome:Brara.H02637" mRNA complement(join(21187656..21187949,21188690..21188963, 21189034..21189278)) /locus_tag="BRARA_H02637" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02637" CDS complement(join(21187904..21187949,21188690..21188963, 21189034..21189079)) /locus_tag="BRARA_H02637" /codon_start=1 /product="hypothetical protein" /protein_id="RID52011.1" /db_xref="Phytozome:Brara.H02637" /translation="MSYEKVPPESYPPPPGYQSHYPPPGYPSAPPPPGYPPPHHEGYP PPQPHGYPPYPPPRPYEGGYQGYFAGNYPPPPPPPPQQCNHYQHDHHHYQDSNSDGSS FLRGCLAALCCCCLLEECF" gene complement(<21191441..>21191662) /locus_tag="BRARA_H02638" /db_xref="Phytozome:Brara.H02638" mRNA complement(<21191441..>21191662) /locus_tag="BRARA_H02638" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02638" CDS complement(21191441..21191662) /locus_tag="BRARA_H02638" /codon_start=1 /product="hypothetical protein" /protein_id="RID52012.1" /db_xref="Phytozome:Brara.H02638" /translation="MDVFISEEYVYRRRMEKKAAAVADKNVRLGFCTSKVQEKRKSHP RMSESRPEKEFQVTGGGVYESFVFQCFSP" gene 21194608..21196806 /locus_tag="BRARA_H02639" /db_xref="Phytozome:Brara.H02639" mRNA join(21194608..21195015,21195250..21195299, 21195386..21195521,21195612..21195713,21195784..21196011, 21196086..21196167,21196238..21196320,21196398..21196489, 21196586..21196806) /locus_tag="BRARA_H02639" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02639" CDS join(21194818..21195015,21195250..21195299, 21195386..21195521,21195612..21195713,21195784..21196011, 21196086..21196167,21196238..21196320,21196398..21196489, 21196586..21196670) /locus_tag="BRARA_H02639" /codon_start=1 /product="hypothetical protein" /protein_id="RID52013.1" /db_xref="Phytozome:Brara.H02639" /translation="MGSSVEQNILVTGGAGFIGTHTVVQLLKEGFKVSIIDNLDNSVI EAVDRVRELVGPDLSKKLEFTQGDLRNKGDIEKLFSKQRFDAVIHFAGLKAVGESVGN PRRYFDNNLVGTINLYETMAKHNCKMMVFSSSATVYGQPEKIPCMEDFELKAMNPYGR TKLFLEEIARDIQAAEPEWRIVLLRYFNPVGAHESGRIGEDPKGIPNNLMPCIQQVAV GRLPELNVYGHDYPTEDGSAVRDYIHVMDLADGHIAALRKLFDDPKIGCTAYNLGTGR GTSVLEMVAAFEKASGKKIPIKLCPRRLGDATAVYASTEKAEKELGWKAKYGVEEMCR DQWNWANNNPWGYQKKL" gene complement(<21196856..>21198754) /locus_tag="BRARA_H02640" /db_xref="Phytozome:Brara.H02640" mRNA complement(<21196856..>21198754) /locus_tag="BRARA_H02640" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02640" CDS complement(21196856..21198754) /locus_tag="BRARA_H02640" /codon_start=1 /product="hypothetical protein" /protein_id="RID52014.1" /db_xref="Phytozome:Brara.H02640" /translation="MTLMMRRRLITLHPRFMETGTLKTFRHEFLSCCERDFSCLNRSD RNLSYRERLSCGIADIKKDDAVDLFQSMIRSRPLPSIIDFSRLFSAVAKTKQYELVLS LCKQMELQGIAHSIYTLSIVINCFCRRRKLGFAFSVLGKILKLGYEPDTVTFSTLVNG LCLEGRVSEAVVIVDRMVEMKVRPNLVTLNTLVNGLCLKDQVSEAEALVDRMVEHGCQ PDQFTYGPILNRICKSGNTVSALDLLRKMEDKKVKPQVVTYNMIIDSLCKNGNYDEAL SLFNEMEREGIKADVITYNSLIGGFCNAGRWDDGAQLLRDMITRNITPNVVTFSALID CFVKEGKLKEAKELYNEMVPRGIDPDTITYTSLIYGLCMENRLDEANQMMDLMVSKGC EPSIVTYGTLINGYCKAKRVVDGMKLFREISLRGLVANTVTYSTLIQGFCEAGKLNVA KELFQEMVSRGVSPSVVTYGILLDGLCDNGELEEALEILEKMQKCKMGIDIGIYNIII HGMCNARRVDDAWELFSSLLPLKGVKPDVKTYNVMIGGLCKKGSLSEADKLFKKMGEE DETAPSECTYNTLIRAHLGGSGVATSVELIEEMKRCGFSADASTMKMVIDMLADGRLN KRFLDMLS" gene complement(<21199054..>21200706) /locus_tag="BRARA_H02641" /db_xref="Phytozome:Brara.H02641" mRNA complement(<21199054..>21200706) /locus_tag="BRARA_H02641" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02641" CDS complement(21199054..21200706) /locus_tag="BRARA_H02641" /codon_start=1 /product="hypothetical protein" /protein_id="RID52015.1" /db_xref="Phytozome:Brara.H02641" /translation="MAMSSVSTRFLVLLQDFSAFRKISWRSAATNYHRQSRLLCHVAK EDGSLTLASLELGNNSPRRSGKSKATKLEGSFVSEMSQQGKVRAATNYKVKVVKEKKP AEIVSPLFSAKSFEELGLPDSLLDSLEREGFSVPTDVQSAAVPAIIKGHDAVIQSYTG SGKTLAYLLPILSEIGPLSGKAKSSEKRAEIQAMIVAPSRELGMQIVREVEKLLGPDH RRMVQQLVGGANRMRQEEALKKNKPAIVVGTPGRIAEISKSGRLHTHGCRFLVLDEVD ELLSFNFREDIHRIIEHVGRRAGAGPKGEVDERANRQTILVSATVPFSVIRAAKSWSH EPVLVQANKVTPLDSVQTTAPAISLTPTTSEANGQIQTTIQSLPPALKHFYCISKHQH KVDALRRCVHALDAQSGIAFMNHSKQLKDVVYKLEARGMSCSELHGDLGKLGRSTVLK KFKNGEVRVLVTNELSARGLDVAECDLVVNLELPTDAVHYAHRAGRTGRLGRKGTVVT VCEESQVFIVKKMEKQLGLPFEYCEFVDGELVVTEEDKAIIR" gene complement(<21203204..>21204396) /locus_tag="BRARA_H02642" /db_xref="Phytozome:Brara.H02642" mRNA complement(join(<21203204..21203931,21203991..>21204396)) /locus_tag="BRARA_H02642" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02642" CDS complement(join(21203204..21203931,21203991..21204396)) /locus_tag="BRARA_H02642" /codon_start=1 /product="hypothetical protein" /protein_id="RID52016.1" /db_xref="Phytozome:Brara.H02642" /translation="MIRSAAKSLRSVHPRFMETGTLTTSLLHGRYEFLSCCGRDFSCL SSDRNLSYKERLTSGIAGINKDDAVHLFKSMLRSRPLPTVTDFNKLFSTVAKTKQHSL VLSLSKQMEFNGVAFDLYTLNITMNCFCRLRKLERKLKEAEELYNEMITRGIDPDTIT YNSLIDGLCIENRLDEANQMVDVVMVSKGCDPDIVTFNILINGYCKAKLVDEGMRVFR EISLRGLVADTVTYNTLVQGFCEAGKLDVAKELFQEMVSQGARPDIVTYRILLDGLCD NGELQEALDILEKMQKCKKGSLSEADKLFRKMGEEDGTAPSECTYNTLIRAHLGGSGV ATSVELIEEMKRCGFSADASTMKMVIDMLSDGRLNKSFLDMLS" gene complement(21204844..21207279) /locus_tag="BRARA_H02643" /db_xref="Phytozome:Brara.H02643" mRNA complement(join(21204844..21205272,21205367..21205534, 21205611..21205743,21205825..21205944,21206536..21207279)) /locus_tag="BRARA_H02643" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02643" CDS complement(join(21205099..21205272,21205367..21205534, 21205611..21205743,21205825..21205944,21206536..21207110)) /locus_tag="BRARA_H02643" /codon_start=1 /product="hypothetical protein" /protein_id="RID52017.1" /db_xref="Phytozome:Brara.H02643" /translation="MSTVTTENSASSRRLSSDAIDQSPLLLTGDEGGGSGNNGRRSSV RRQGLREAARFLSRASSGGHAMREQSVIVREAAAEQLEERQSDWAYSRPIVVLDIVWN LAFVSVAGAVLVMSRNEHPIMPLRVWLLGYALQCVLHMVCVCVEYKRRNRMRTNRRSS PSSSSSSSSSMEEDALGSRRNSDEHLESESSSFAKHLESANTMFSFIWWIIGFYWVSA GGQELAQESPRIYWLSIVFLGFDVFFVVFCVALACVIGIAVCCCLPCIIAVLYAVADQ EGASKEDIEQLTKFKFRKVGRVNKHAGDDAQANTEGKMTECGTDSPLEHTILQEDAEC CICLSAYEDGTELRELPCGHHFHCSCVDKWLYINATCPLCKYNILKSSNLDREEV" gene 21208791..21210885 /locus_tag="BRARA_H02644" /db_xref="Phytozome:Brara.H02644" mRNA join(21208791..21210075,21210163..21210885) /locus_tag="BRARA_H02644" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02644" CDS join(21209055..21210075,21210163..21210512) /locus_tag="BRARA_H02644" /codon_start=1 /product="hypothetical protein" /protein_id="RID52018.1" /db_xref="Phytozome:Brara.H02644" /translation="MRKKRKGSETECSEESSCAAASRTTNFRSHFSLEGYARLKKRCK ENDSVGSFKRRLAGVATAPPCGASSLVSSGRGLKRKILCVDVSTQTGRKNKIDDDYVF GPSIGKGKFGSVRICRSRNNGMDFACKTLKKWEETVHREVEIMQHLSGHPLVVTLHAV YEESDCFHLVMELCSGGRLVDQMVKCSEQRAANVFKELMLVISYCHEMGVVHRDVKPE NILLTGGGKIQLADFGLAMRIAKGQTLSGLAGSPAYVTPEVISENYSEKVDVWSAGVL LYALLSGVLPFRGDSLDAIFEAIKKVKLDFNSGVWQSVSKPARDLLSRMLTRDESARL TADEVLRHPWILFYTDRTLKTMCIKSKHKGQAGPPPPCLQIRSLNVNRTNIEKKTTSD SFSNTEEKEEEDESGVVDVLVVAISNVRISEPKRIRVCSPTNIPPIEQQHSSNLTATN TLCRAF" gene complement(<21217823..>21218389) /locus_tag="BRARA_H02645" /db_xref="Phytozome:Brara.H02645" mRNA complement(<21217823..>21218389) /locus_tag="BRARA_H02645" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02645" CDS complement(21217823..21218389) /locus_tag="BRARA_H02645" /codon_start=1 /product="hypothetical protein" /protein_id="RID52019.1" /db_xref="Phytozome:Brara.H02645" /translation="MATNGLASRKKDPVYRGIRCRSGKWVCEIREPKKTTRIWLGTYP TAEMAAAAYDVAAIALKGREALLNFPGSVGSYPVPLSTSAADIRSAAAAAASMKGCEE EEENAKKKSSSFSKSRSSDFHVHDDMMASSSSRCVIDFMDEEELLNMPNFLADMAEGM MVAPPSWIGSHPSDDSPENFNDEDLWGN" gene 21224194..21226536 /locus_tag="BRARA_H02646" /db_xref="Phytozome:Brara.H02646" mRNA join(21224194..21224312,21224428..21226536) /locus_tag="BRARA_H02646" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02646" CDS 21224470..21226293 /locus_tag="BRARA_H02646" /codon_start=1 /product="hypothetical protein" /protein_id="RID52020.1" /db_xref="Phytozome:Brara.H02646" /translation="MKRFFQTRLLLQTGTLFLSERASSTLSKGKKVSYKERLRSGVVD IKKDDAVELFQSMIVSRPLPTIIHFSRLFSSLAKTRQYELVLSLSKQMELQGVAHNNY TLNIMINCFSRRRKLGFAFSAMAKMLKLGYEPDTVTFSTLVNGLCLEGRVSEAVALVD RMVGPNLVTLNTLVNGLCLNGKVYEAVALVDRMVENGCQPDQFTYGPILNRICKSGNT SLALDLLRKMEDRKVKPEAVTYNMIIDSLCKDGSLEDALNLFNEMERKGIKANVITYN TLISNFCNAGRWDEAAQLLRDMITRGITPNVITFSALIDIFVKEGKHVEAKELYNEMI ARGIDPDTVTYNSLIYGLCMEKRLDEANQMMDVMVSKGCSPDSVTYNIIINGYCKAKR VEDGLKLFRKMSLREVVSYNTLVQGFCLSRKIEVAQELFQEMVSRGVDPDTVTYNILV DGLCENGKLEKALEIFEDLHKSEVELDIGIFNIIIHGMCNGSMIDKAWELFSSLAHKG VKADVKTYTIMIGGLCKKGSLSEADMLFRKMEEDGIAPNECTYNTLIRAHLGGSGVAT SVELIEEMKRCGFSADASTMKMVIDMLSDGRLNKNFLGMLS" gene 21228621..21229533 /locus_tag="BRARA_H02647" /db_xref="Phytozome:Brara.H02647" mRNA 21228621..21229533 /locus_tag="BRARA_H02647" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02647" CDS 21228702..21229310 /locus_tag="BRARA_H02647" /codon_start=1 /product="hypothetical protein" /protein_id="RID52021.1" /db_xref="Phytozome:Brara.H02647" /translation="MDNYDGTNLAEMRPKRRAGRKVFNETRHPVFRGIRRRNGDKWVC EVREPTHQQRIWLGTYPTADMAARAHDVAALALRGRSACLNFADSAWRLPVPESNHPD VIRRVAAEAAEMFRPTEYGSEITVLPSYGSEVYMGSGSGSEERNVYGYVEEEEEVSTT MMRLATEPLMSPPRSYMEGVTPNGYMEEEMSYEDMSLWSYRY" gene 21232146..21234857 /locus_tag="BRARA_H02648" /db_xref="Phytozome:Brara.H02648" mRNA join(21232146..21232273,21232356..21232487, 21232865..21232893,21232965..21233166,21233462..21233704, 21233789..21233890,21234161..21234268,21234470..21234857) /locus_tag="BRARA_H02648" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02648" CDS join(21232359..21232487,21232865..21232893, 21232965..21233166,21233462..21233704,21233789..21233890, 21234161..21234268,21234470..21234709) /locus_tag="BRARA_H02648" /codon_start=1 /product="hypothetical protein" /protein_id="RID52022.1" /db_xref="Phytozome:Brara.H02648" /translation="MKNSEEQMRSLFGISLSDKPRWQQFLICSSGFFFGYLVNGVCEE YVYNRLKFSYGWYFTFVQGLVYIVMIYLNGFTTKQMVNPWKTYVKLSAVLMGSHGLTK GSLAYLNYPAQIMFKSTKVLPVMVMGAFIPGLRRKYPVHEYISAMLLVIGLILFTLAD AHTSPNFSIIGVMMISGALVMDAFLGNLQEAIFTLNPDTTQMEMLFCSTVVGLPFLVA PMILTGELFRAWTSCAQHPYVYGVLVFEAMATFVGQVSVLSLIALFGAATTAMITTAR KAVTLLLSYLIFTKPLTEQHGTGLLLISMGIILKMVPDPNPNQKPKHSSSGQKLRKLE LVKSEKEGDEESRPLV" gene complement(21235147..21238045) /locus_tag="BRARA_H02649" /db_xref="Phytozome:Brara.H02649" mRNA complement(join(21235147..21235621,21235716..21236108, 21236184..21236480,21237010..21237665,21237828..21238045)) /locus_tag="BRARA_H02649" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02649" CDS complement(join(21235332..21235621,21235716..21236108, 21236184..21236480,21237010..21237604)) /locus_tag="BRARA_H02649" /codon_start=1 /product="hypothetical protein" /protein_id="RID52023.1" /db_xref="Phytozome:Brara.H02649" /translation="MGMELADKENTTSPLLQFRNCYKVASLTETILNPLNVSNLRDRY LLGEQLGWGQFGVIRVCSDKLTGERLACKSISKDRLVTQDDMKSIKLEIAIMTKLSGH PHVVDLKAVYEEEDYVHLVMELCAGGELFHKLEKYGRYSEVRARVLFKHLMQVVKFCH DNGIVHRDLKPENILMATVSSSSPIKLADFGLATYIKPGEKLSGTVGSPFYIAPEVLS GGYNEAADVWSAGVILYILLSGVPPFWGKTKSKIFDAVRAADLRFSGEPWDRITSHAK DLIRGMLCVDPSQRLSADDVLAHSWMEEVSGSGQEQYGEDGVGCEGLENGGCSFSTGY VSREQDYSFNMGQLEPLVDNDCRSSFSSFLPADGNNVQTASGFGGFSFDGEQPESTSV CFSVTGLTSMPSFSFFSPSLETTEKNNVHETDGKLSGSSPKRLLPSLDASSQLERGEE GGENQTEAGGKAETRRERGNWARMSGLHSKRNRTIGLGELDQLVVDVAVTESIIRWAS CTHIPTAPSLRLSLVC" gene 21240860..21244002 /locus_tag="BRARA_H02650" /db_xref="Phytozome:Brara.H02650" mRNA join(21240860..21240970,21241078..21241417, 21241720..21242021,21242142..21243084,21243177..21244002) /locus_tag="BRARA_H02650" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02650" CDS join(21240889..21240970,21241078..21241417, 21241720..21242021,21242142..21243084,21243177..21243222) /locus_tag="BRARA_H02650" /codon_start=1 /product="hypothetical protein" /protein_id="RID52025.1" /db_xref="Phytozome:Brara.H02650" /translation="MMDRVWLWRFFAALSLFLHSPICYSDKAPNYSFMRDATGSPTTS YYDYIIIGGGTAGCPLAATLSQNSSVLLLERGGAPYNNPNITRLSAFGAALSDLSEAS PSQRFISEDGVINARARVLGGGSALNAGFYTRAGTKYVRNMGWDGGLANESYSWVEAK VAFQPPMGRWQTAVRDGLLEVGIVPNNGFTYDHINGTKFGGTIFDHNGDRHTAADLLE YADPNGITVLLHATVHRILFRTRGTTKPIANGVVYRDRTGQAHRAYLKEGSSNEIIVS AGTLGSPQLLMLSGVGPSAQLQAQNITVVMDQPHVGQGMYDNPMNAVFIPSPVPVEVS LIEVVGITGEGTYVEAAGGENFGGGGSSGSGSSARDYYAMFSPKATLLESNSMKLSSA QPFQGGFILEKVMGPLSTGHLELRTRNPNDNPVVTFNYFQHPDDLKRCVRGIQTIERV VQSKAFARFKYADMPFEYLLNLTASTPVNLRPPRSGPGASLPPSAEEFCQHTVTTIWH YHGGCVVGRVVDGDYKVIGIDRLRVIDMSTVGYCPGTNPQATVMMLGRYMGVKIMRER LTNK" gene complement(21243419..21244709) /locus_tag="BRARA_H02651" /db_xref="Phytozome:Brara.H02651" mRNA complement(join(21243419..21243935,21244071..21244386, 21244496..21244709)) /locus_tag="BRARA_H02651" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02651" CDS complement(join(21243623..21243935,21244071..21244386, 21244496..21244658)) /locus_tag="BRARA_H02651" /codon_start=1 /product="hypothetical protein" /protein_id="RID52024.1" /db_xref="Phytozome:Brara.H02651" /translation="MGPVSSSWSFNKFFAIVFVVFAISGEFVAGYYKPSPWRYAHATF YGDETGSETMGGACGYGNLFNSGYGLATAALSTTLFKDGYGCGQCFQIMCVKSKHCYY GNPSTVVTATNLCPPNWYQDSNNGGWCNPPRTHFDMAKPAFMKLANWKAGIIPVAYRR VACKRSGGMRFQFQGNAYWLLIFVMNVGGAGDIKSMAVKGSRTNWISMSHNWGASYQA FSSLYGQSLSFRVTSYTTGETVYAWNVAPSNWNAGMTYKSSANFR" gene 21246602..21248243 /locus_tag="BRARA_H02652" /db_xref="Phytozome:Brara.H02652" mRNA join(21246602..21246863,21246965..21246991, 21247089..21247161,21247255..21247389,21247502..21247725, 21247817..21248243) /locus_tag="BRARA_H02652" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02652" CDS join(21246636..21246863,21246965..21246991, 21247089..21247161,21247255..21247389,21247502..21247725, 21247817..21248080) /locus_tag="BRARA_H02652" /codon_start=1 /product="hypothetical protein" /protein_id="RID52026.1" /db_xref="Phytozome:Brara.H02652" /translation="MASFLRSVATTTAAVAAASAIPIAIALSSSSSSSTKSQSPNLSF LPRSSPRRLGLSRSFASSPMTTVPVSDRNLRQEDRVMPQLLTEFMVDMKCEGCVNAVK NKLETVEGIEKVEVDLANQVVRILGSSPVKDMTQALEQTGRKARLIGQGVPQDFLVSA AVAEFKGPDIFGVVRFAQVSMELARIEANFTGLSPGKHSWCINEYGDLTNGAASTGNL YNPLQDHTDKEPLGDLGTLEADQSGEAFYTGKKEKLKVVDLIGRAVVVYETEDKNSGP GVSASVIARSAGVGENYKKLCTCDGTVIWEATDSDFVTSN" gene complement(<21250455..>21257192) /locus_tag="BRARA_H02653" /db_xref="Phytozome:Brara.H02653" mRNA complement(join(<21250455..21250459,21254048..21254126, 21254333..21254440,21254633..21254692,21254773..21254829, 21254990..21255109,21255222..21255365,21255486..21255630, 21255747..21255848,21255891..21255997,21256231..21256326, 21256513..21256652,21256736..21256948, 21257030..>21257192)) /locus_tag="BRARA_H02653" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02653" CDS complement(join(21250455..21250459,21254048..21254126, 21254333..21254440,21254633..21254692,21254773..21254829, 21254990..21255109,21255222..21255365,21255486..21255630, 21255747..21255848,21255891..21255997,21256231..21256326, 21256513..21256652,21256736..21256948,21257030..21257192)) /locus_tag="BRARA_H02653" /codon_start=1 /product="hypothetical protein" /protein_id="RID52027.1" /db_xref="Phytozome:Brara.H02653" /translation="MDQGRQVFAVDLLERYATKNRGMITCMASGNDVIVLGTSKGWII RHDFGLGSSYDIDLSVGRTGDQSIHKVFVDPGGSHCVATVTGVGGAETFYTHAKWPKP RVLSRLKGLLVNAVAWNKQQITEVSTKEIILGTQDGQLFEMAVDEKDKREKYVKFLFE LEELPEAFMDLQMETANISSGMRYYVMAVTPTRLYSFTGIGTLESVFASYKERAVRFM ELPGEIPNRQVLITLYERNHKAELHFFIKQRRAVHFAWLSGTGIYHGGLNFGAQHSNP NGDENFVESKALLDYSKLSDGTEVVKPSSMALSEYHFLLLIGNKVKVVNRISEQIIEE LHFDISADSASRGIIGLCSDASAGLFYAYDQNSIFQVSVIDESRDMWKVHLDLKDYAA ALANCRDPLQRDQVYLVQAEAAFANKEYLRAASFYAKVNYVISFEEVTLKFISINELE SLRTFLLRKLDTLSKNDKCQITMISTWATELYLDKINRLLLEDDTAIENRNSEYHSVI QEFH" gene complement(21257640..21259496) /locus_tag="BRARA_H02654" /db_xref="Phytozome:Brara.H02654" mRNA complement(join(21257640..21258000,21258094..21258311, 21258393..21258629,21258932..21259496)) /locus_tag="BRARA_H02654" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02654" CDS complement(join(21257814..21258000,21258094..21258311, 21258393..21258629,21258932..21259180)) /locus_tag="BRARA_H02654" /codon_start=1 /product="hypothetical protein" /protein_id="RID52028.1" /db_xref="Phytozome:Brara.H02654" /translation="MTYSDGVDKTVPELKLRIEDSEKGDYVKLRGGSDEEEQRSPAES SGCSLGSVWFWVKSIALVACVGVLAFVIIKWVAPFLIEKELIPFINWVRSTFSIPVLG LLLFASVALFPSILLPSSPSMWMAGLTFGYGKGFLLILSAASIGVTLPFLIGHLFLHK MQEWLKQYPKKAAILRAAGEGTWFHQFQAVALIRVSPFPYMVYNYCALATGVHYGPYI LGSLVGMVPEIFVSIYTGIMLRTLAVASDKRHTLSVVEIVVNVLGFCVTASATIVCTI YAKKKLSSMQSDEVETLPNV" gene <21264302..>21266500 /locus_tag="BRARA_H02655" /db_xref="Phytozome:Brara.H02655" mRNA join(<21264302..21264315,21264364..21264446, 21266020..21266048,21266144..>21266500) /locus_tag="BRARA_H02655" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02655" CDS join(21264302..21264315,21264364..21264446, 21266020..21266048,21266144..21266500) /locus_tag="BRARA_H02655" /codon_start=1 /product="hypothetical protein" /protein_id="RID52029.1" /db_xref="Phytozome:Brara.H02655" /translation="MNTPRLEHISRFENPELSVLFQIFKVFFPIKNKAAVERRASEGV RLELRHPDKQGLLAEVTRTFRENGLNVTRTEISTSCDMATNIFYVTDANGNGADSKLI ESVREKIGLEWLRVKEIPSVIHKKGDGEEEQQTKAVLVSFGSLVWRNLFSFGLIKSCS " gene complement(<21267886..>21268101) /locus_tag="BRARA_H02656" /db_xref="Phytozome:Brara.H02656" mRNA complement(<21267886..>21268101) /locus_tag="BRARA_H02656" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02656" CDS complement(21267886..21268101) /locus_tag="BRARA_H02656" /codon_start=1 /product="hypothetical protein" /protein_id="RID52030.1" /db_xref="Phytozome:Brara.H02656" /translation="MVNAIKGVFISWFVSQRLKLIVNMNNSMPSSQRFIIHVLDSTHL FVQPHVEQMIRSAIAEFRNQNSYEKPT" gene complement(21268575..21273213) /locus_tag="BRARA_H02657" /db_xref="Phytozome:Brara.H02657" mRNA complement(join(21268575..21268858,21268940..21269062, 21269147..21269328,21272919..21273213)) /locus_tag="BRARA_H02657" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02657" CDS complement(join(21268822..21268858,21268940..21269062, 21269147..21269328,21272919..21272990)) /locus_tag="BRARA_H02657" /codon_start=1 /product="hypothetical protein" /protein_id="RID52031.1" /db_xref="Phytozome:Brara.H02657" /translation="MGDIWTWLISFFFLIALVGIIVYQLVCLADLEFDYINPYDSASR INSVVLPEFIIQGVLCVFYLLTGHWFMSLLCLPYLYYNFQLYSKRQHLVDVTEIFNLL NWEKKKRLFKLAYIILNLFLTIFWMIYSAMDDYED" gene 21275602..21278308 /locus_tag="BRARA_H02658" /db_xref="Phytozome:Brara.H02658" mRNA 21275602..21278308 /locus_tag="BRARA_H02658" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02658" CDS 21275878..21278133 /locus_tag="BRARA_H02658" /codon_start=1 /product="hypothetical protein" /protein_id="RID52032.1" /db_xref="Phytozome:Brara.H02658" /translation="MSTTNTPTAAQPQQQQTPPPPPEITTEELTAKALNKRYEGLTTV RNKAVKGKGAWYWTHLEPTLVRNTDTGLPKAVKLRCSLCDAVFSASNPSRTASEHLKR GTCPNFTSSVAPPVSAVSPSSSSSPSHHRKRHSSGAVPSRLNPPPSYHVTPITVVDPS RFCGGELPYSAPPPPRLMLSGGKDDLGPLAMLEDSVKKLKSPKPSHALTLTRSEIESA LDSLSDWVFESCGSVSLSGLEHPKFRAFLTQVGLPIVSKRDFATTRLELKYEEARAVS ESRIRDAMFLQIASDGWRESLVSLVVNLPNGTSVYRRAVLVNGAVPANYAEEVLLETV KGICGNSPQRCVGIVSDKFNNKALKSLESQHQWMVNLSCQHQELKSLIKDFIKELPLF KSVSQNCTRLGNFINNRAEIRNAHRKYQMQEHGESIMLRLPLHCKDCVFEPLFNLLED VLSSARAIHSVMHDDACKAVLMEDHTAREVREMVGDQGFWNEVEAVHALIKLVKEMAR RIEEEKLLVGQCLPLWDELRAKIKDWDSKFNVGEGHVEKLVERRFKKSYHPAWAAAFI LDPLYLIRDSSGKYLPPFKCLSPEQEKDVDKLITRLVSRDEAHIALMELMKWRTEGLD PMYARAVQMKERDPVTGKMRIANPQSSRLVWETYLSEFRSLGKVAVRLIFLHATTCGF KCNSSLLKWVSSHGRSHAAMDRAQKMIFISANSKFERRDFSNEEDRDAELLAMANGDE NLLNDVLVDTS" gene 21283909..21286296 /locus_tag="BRARA_H02659" /db_xref="Phytozome:Brara.H02659" mRNA join(21283909..21284311,21284456..21284799, 21284871..21285111,21285206..21285363,21285449..21285485, 21285571..21285629,21285701..21285782,21285862..21285933, 21286014..21286296) /locus_tag="BRARA_H02659" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02659" CDS join(21284000..21284311,21284456..21284799, 21284871..21285111,21285206..21285363,21285449..21285485, 21285571..21285629,21285701..21285782,21285862..21285933, 21286014..21286196) /locus_tag="BRARA_H02659" /codon_start=1 /product="hypothetical protein" /protein_id="RID52033.1" /db_xref="Phytozome:Brara.H02659" /translation="MSSTTTAVQPGRIRILKKPSLLLPDQTPGPVVYWMFRDQRLRDN WALIHAVDLANRTNAPVAVVFNLFDQFLGAKARQLGFMLKGLRQIHRQIESLQIPFFL LQGDAKETIPEFMKECGASHLVTDFSPLREIRSCKDEVVKRTSVALAIDEVDAHNVVP MWAASGKLEYSARTIRGKINKLLPEYLVEFPEIEPPKKKWGGMVDKVVDWDSIIDNVV REGAEVPEVEWCVPGEDSGMEVLMGRQEGFLTKRLRNYSTDRNNPVKPKALSGLSPYL HFGQISAQRCALEARKVQKTYPQAVDTFLEELIVRRELSDNFCYYQPHYDSLEGAWEW ARKSLMDHASDKREHTYSLEQLEKGQTADPLWNASQLEMVYQGKMHGFMRMYWAKKIL EWTKGPEEALSISIFLNNKYELDGRDPSGYVGCMWSICGVHDQGWKERPVFGKIRYMN YAGCKRKFNVDSYISYVKSLVSVTKKKRKAEEQLTRDSIDHPKAK" gene complement(21287095..21289172) /locus_tag="BRARA_H02660" /db_xref="Phytozome:Brara.H02660" mRNA complement(join(21287095..21287497,21287575..21288690, 21288965..21289172)) /locus_tag="BRARA_H02660" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02660" CDS complement(join(21287183..21287497,21287575..21288690, 21288965..21289039)) /locus_tag="BRARA_H02660" /codon_start=1 /product="hypothetical protein" /protein_id="RID52034.1" /db_xref="Phytozome:Brara.H02660" /translation="MAPSSSSSPAKSPVLSLHQAPHFTPIPECEEEDLHEERYKNKAT PSSNGGSSATPSRHHKHTLTPLHHNEKPKNKKRHDDNNDEDGGGSVSCNNCRPHHSHR EKFSVVPLETHNNPSFIYSPNLIIKSIFQSLTRKSPKLSSSSSSSAANASREEQWRLA AAELSHKLIQATKKKEDAVTEASKLKTSMSELEKKLNKLEVYCHNLKSGLDECSNNNK KQSTKQSALFNNKKQSTLFQKDGINDKIIQQFLVSVSESRTSIRALSRALASQLRTVG GKVYERLSLLLHPFDVKINSFSKSPKSLILYLEAILSRAFFEDFEAPGFQRTGSTRIL NPIDRCESNYASFNVLTELKWDEVLSRGTKHFSEEFSQFCDRKMSDVVSMLSWNRAWP EPLLQAFFGASKSVWLVHLLANSVNPGLQIFRVEKDDRFDPIYMEEAGGDRFKDLVRA MVQPGFYVYGSVVKCKVVCKHYSSVEEEVVEDSMVKECNKSLISICSPLGG" gene complement(21293717..21294700) /locus_tag="BRARA_H02661" /db_xref="Phytozome:Brara.H02661" mRNA complement(join(21293717..21294342,21294447..21294700)) /locus_tag="BRARA_H02661" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02661" CDS complement(join(21293746..21294342,21294447..21294506)) /locus_tag="BRARA_H02661" /codon_start=1 /product="hypothetical protein" /protein_id="RID52035.1" /db_xref="Phytozome:Brara.H02661" /translation="MKLVWSPETASKAYLDTVKSCQNLETPDAAELVSAMAAGWNAKL IVETWSYGDAIASSVGLNVASQHANAKHICIVQNTLSESSYLQAIQEASSPLNLPETI VAEEPQSAMKEIQGIDFLVVDWRNKEFAAGALRNAAFGSRGAVVVCRNGYSRSASGFS WTRALRDRTIVRKVTLPVTGGIEIAHVAARNSGKTESKKRRWIKHVDQSSGEEHVFSI " gene 21295957..21297030 /locus_tag="BRARA_H02662" /db_xref="Phytozome:Brara.H02662" mRNA 21295957..21297030 /locus_tag="BRARA_H02662" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02662" CDS 21296043..21296489 /locus_tag="BRARA_H02662" /codon_start=1 /product="hypothetical protein" /protein_id="RID52036.1" /db_xref="Phytozome:Brara.H02662" /translation="MGKDGLSDDQVSSMKEAFTLFDTDGDGKIAPSELGILMRSLGGN PTQAQLKSITASESLTSPFDFSRFLDLMAKHLKTEPFDRQLRDAFKVLDKEGSGFVAV ADLRHILTSIGEKLEGNEFDEWIKEVDVGSDGKIRYEDFIARMVAK" gene 21306199..21308420 /locus_tag="BRARA_H02663" /db_xref="Phytozome:Brara.H02663" mRNA join(21306199..21306483,21306936..21307123, 21307228..21307499,21307594..21307872,21308017..21308420) /locus_tag="BRARA_H02663" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02663" CDS join(21306964..21307123,21307228..21307499, 21307594..21307872,21308017..21308328) /locus_tag="BRARA_H02663" /codon_start=1 /product="hypothetical protein" /protein_id="RID52037.1" /db_xref="Phytozome:Brara.H02663" /translation="MNSFSHVPPGFRFHPTDEELVDYYLRKKVASKRIEIDFIKDIDL YKIEPWDLQELCKIGHQEQSDWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYL RHSLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETDENGTPHEEGWVVCRVFKKRLAA VRRIGDYDSSPSHWYDDQLSFMASELETNGPRRILSNHHHQHQHQYQQHLPYGFNAPA YALNNPNLPCKQELQYNHLQSNLAHEEQLNQGNQIFSSPYMNSGNEQAMDQVTDWRVL DKFVASQLSNEEAATASASQQNNANDTSNMEYQVDEEKDQERVSDMGEEYAASTSSSC QIDLWK" gene complement(<21310023..>21312545) /locus_tag="BRARA_H02664" /db_xref="Phytozome:Brara.H02664" mRNA complement(join(<21310023..21310073,21311143..21311875, 21311907..21312204,21312305..>21312545)) /locus_tag="BRARA_H02664" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02664" CDS complement(join(21310023..21310073,21311143..21311875, 21311907..21312204,21312305..21312545)) /locus_tag="BRARA_H02664" /codon_start=1 /product="hypothetical protein" /protein_id="RID52038.1" /db_xref="Phytozome:Brara.H02664" /translation="MSGNDAVKTAKIVVWWDMKDCPIPEGYDARQVRPSIERACKKIG YSGPVSITAYADQTQTPAHHLQALSSTGVAVAHTISECTCKLMYEDIVEWRDHNPPPA TMMIISDHVEGDFSWDLARLQQRTRYKLFMAYSVQTYKDLFLLRNAAWLWKKLLEEGG GAPLVAGGLSSAMFYCKSCKFRKHLSSYKHGREEFTSARWYTGLECVTKTWRRNYRVT PEHATAKIQVLWDMVNCPIPEGYDARLVRPSIEAAFKKIGYSGPVSITAYADYKETPH HHLVGLSSTGVDLAHTLYWYKGSRMYDDVRQWENDNPAPASVMLISDVDRDDYIPSLI SRYLQKSNYNCFLAYSFRPCKMTVMLTSAEWLWESLLSVFSEKRRRHILKKCSENAST GMFYCKLCYDWDCESLDEFTKHLSRSKTHAREIGYSPKNKRIRKAPPK" gene complement(<21313307..>21315975) /locus_tag="BRARA_H02665" /db_xref="Phytozome:Brara.H02665" mRNA complement(join(<21313307..21314004,21314052..>21315975)) /locus_tag="BRARA_H02665" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02665" CDS complement(join(21313307..21314004,21314052..21315975)) /locus_tag="BRARA_H02665" /codon_start=1 /product="hypothetical protein" /protein_id="RID52039.1" /db_xref="Phytozome:Brara.H02665" /translation="MGGCFSVSLPCDQVVNQFSQWLCLRGRYIHNLPENIVALQHAMA MLTAKLDDVLRTVGREEFTGRQQRISQVQVWHANVLTTKNKVDDLLSTYEAELQRLCL CGFCSKDLKLSYLYGKNVSIMLTEVEKLSSQGDFDMVVEAATFGEVDEIPIHPTIVGQ ERMLEKAWTLLMEDGSGMLGLYGMGGVGKTTLLTQINNKFSEISDRFEVVIWVVVSKS ATVRKIQRDIAQKVGLVEMGSGEKDENQRALDIYNVLRRRKFALLLDDIWEKVDLKAV GVPYPTRDNGCKVAFTTRSRDVCGRMGVDDPMEVSCLQPDESWDLFQRTVGENTLGSH PDIPELARKVARKCRGLPLALSVIGETMACKRTVHEWCHAVDVLTSSATEFSGMEDEI LPVLKYSYDNLSGEMVRSCFLYCSLFPEDYHIDKERLVEYWICEGFVSEKESRERTLN QGYEIVGTLVRACLLMEERKDKSYVKMHDVVREMALWISSDFGNQKERCVVRAGVGLR EVPKLKEWATVRKMSLMNNGIEEIFDSHECGDLTTLFLQRNAFVKISGEFFRCMPHLA VLDLSENHSLEELPEEISELGSLRYLDVSYTCVNQLPVGLWELKKLIHLNLEHMIRLG SVSGISSLWNLRTLGLRVVTIDISSPSVVKPLLWSHRLVVCIREVDFKYLEEESMRVL TLPTIVNLRRLSIKRCGMREIEIEKTASSSSSSWNKIHTAPYFSNLSKVFITKCHGLK DLTWLLFAPNLAFLQVSFSGQLEDIINQEKAASVENAATIVPFRRLETLHLSALRGLE RIYWNALPFPCLKVIHVEKCWKLRKLPLDSNSGAGGKELVLSYGDREWIEKLEWEDQA TRVRFLTSCRWHWRATQ" gene 21321060..>21322813 /locus_tag="BRARA_H02666" /db_xref="Phytozome:Brara.H02666" mRNA join(21321060..21321237,21321341..>21322813) /locus_tag="BRARA_H02666" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02666" CDS join(21321067..21321237,21321341..21322813) /locus_tag="BRARA_H02666" /codon_start=1 /product="hypothetical protein" /protein_id="RID52040.1" /db_xref="Phytozome:Brara.H02666" /translation="MVNIRVQQKGPESPRTSEVGEIDTRAPFQSVKAAVSLFGEVVSR QRSTPRRSRLSSESVTDKETQLMLAHKQFIKIKQKLDNSEITRSRALSDLSKAKKTME ELRTKLETVNKSKQSAIDTKETVQQREEQLEHDKSQGSSPPHHHELDVARERYLSTTV ELDAAKQKLNKIKQSFDSALDFKATALNQAAEAKRAIQVNSAKVSELSKEITDMKDAI HQLKLAATQNQQEYGSIVKEKDDLRECYKTAVEEAEKKLLVLRKEYEPELSRTLEGKL IETTSEIESLREEMKKAHESEMNTVKVITNELNEATTRLQEASDEECSLRSLVNSIRM ELDDMRREREEMEKREAERLEVEERKKVEALKEESLKLEEMKLEASRARNEAEEMNRK IESLKKETDSAMIAAEEAEKRLEIVIREVEQAKAADEKVREEMKIISQKKESKKQDES SSKIKITVQEYESLKRGAGETETVAEKKLADIAAELEEINARKAEADTKLGASLKAIE EMNHATELAQKSAESAEAAKSMVESELNRWRQQENVQHD" gene complement(<21322896..21324876) /locus_tag="BRARA_H02667" /db_xref="Phytozome:Brara.H02667" mRNA complement(join(<21322896..21323555,21323632..21323784, 21324149..21324219,21324306..21324876)) /locus_tag="BRARA_H02667" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02667" CDS complement(join(21322896..21323555,21323632..21323784, 21324149..21324219,21324306..21324849)) /locus_tag="BRARA_H02667" /codon_start=1 /product="hypothetical protein" /protein_id="RID52041.1" /db_xref="Phytozome:Brara.H02667" /translation="MAPACSPTNSLHVAVIGAGAAGLAAARELRRESHSVIIFERGTQ VGGLWVYTPQTEPDPLSLDPNRTVVHSSVYDSLRTNLPRECMGYRDFPFVPRNDDASR DPRRYPSHREVLAYLQDFAREFNLEEMVRFETEVVCVEPEGQKWKIRSKSSDGIFKDE IFDSVVVCNGHYTEPRVAHVPGIDSWPGKQIHSHNYRVPYPFKDQVVVVIGNFASGSD ISRDITGVAKEVHIASRSNPSETYQKLPGSNNLWLHSMIESARKDGSIIFKNGKVVQA NTIVHCTGYKYHFPFLNTNGYITVDDNCVGPLYKHVFPPALAPTLSFIGLPWMVKYLS FILPSFGISSSPNHILLLFLNQTLQFFMFELQSKWVAAVLSGRVTLPSSDRMMEDVTA FYAKRDANKLPKRYTHKLGECQVDYLDWIAEQVGAPPVEHWRAEEVHGGYRRLATQSD TFRDKWDDDHLILEAYEDFLRQKLI" gene 21326711..>21328865 /locus_tag="BRARA_H02668" /db_xref="Phytozome:Brara.H02668" mRNA join(21326711..21326976,21327418..21327580, 21327762..>21328865) /locus_tag="BRARA_H02668" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02668" CDS join(21327467..21327580,21327762..21328865) /locus_tag="BRARA_H02668" /codon_start=1 /product="hypothetical protein" /protein_id="RID52042.1" /db_xref="Phytozome:Brara.H02668" /translation="MYFFGLSKEEVLSQKHVASHKETLKQNPMSTVTLFGRQVFPVKS PPKLLMRDMDLRLPPADHYGNYNNTTVEIGFHSVFEAKEPALATTWSGKRDPKIVIDL EEPPTTEHADSVSYEPSYLADGISSNIVDMESGLLDLNRTPPADESVSEPHYSFLQDL NCPYIEETETSCEKSGVDDDPTTPLCSSKCQSVHEKEGTASPASYTSCCTTENNLRTR ALDASCRTRLEFPTTEVLPENERCNEEEEFSEAIQTAAESLVHISAVSRQERTNSSSQ DQDLDCCSCDSYELHTLGISETNTEEDFCVSSRALDELNNLTRDNNKEIGLRLRRGRR MKNFQKEILPSLTSLSRHEIREDINILEAVLRSREYKKMQGKTKDVKVGANHRNKRSV SQRYVGKRRRKHE" gene complement(21331330..21335568) /locus_tag="BRARA_H02669" /db_xref="Phytozome:Brara.H02669" mRNA complement(join(21331330..21332384,21334035..21334609, 21334809..21334848,21334936..21335113,21335323..21335568)) /locus_tag="BRARA_H02669" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02669" CDS complement(join(21331517..21332384,21334035..21334609, 21334809..21334848,21334936..21335113,21335323..21335434)) /locus_tag="BRARA_H02669" /codon_start=1 /product="hypothetical protein" /protein_id="RID52043.1" /db_xref="Phytozome:Brara.H02669" /translation="MSLPETKSQTLLDAWDFQGRPADRSKTGGWASAAMILCIEAVER LTTLGIGVNLVTYLTGTMHLGNATAANTVTNFLGTSFMLCLLGGFIADTFLGRYLTIA IFAAIQATGVSILTLSTIIPGLRPPRCNPTTSSHCVQASGIQLTVLYLALYLTALGTG GVKASVSGFGSDQFDETEPKEQSQMTYFFNRFFFCINVGSLCAVTVLVYIQDDVGRKW GYGICALSIVLALSVFLAGTNRYRFKKLIGSPMTQVATVIVAAWRNRRLELPSDPSFL YDLDDVIAAEGAMKSKQKLPHTKQFRSLDKAAIKNQETAMTQNVFNKWTLSTLTDVEE VKQIVRMLPIWATCILFWTVHAQLTTLSVAQSETMDRHIGSFEIPPASMAVFYVGGLL LTTAVYDRVAIPLCKKLFNYPHGLRPLQRIGLGLILAAVGMAVAALVEIKRLRTAHSH GPTVKTLPLGFYLLIPQYLIVGIGEALIYTGQLDFFLRECPKGMKTMSTGLLLSTLAL GFFFSSVLVTIVEKFTDKAHPWIADDLNKGRLYNFYWLVAVVVFLNFLIFLVFSKWYV YKDKRLAELGIELEDETDIPMGHA" gene complement(<21350207..>21350611) /locus_tag="BRARA_H02670" /db_xref="Phytozome:Brara.H02670" mRNA complement(<21350207..>21350611) /locus_tag="BRARA_H02670" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02670" CDS complement(21350207..21350611) /locus_tag="BRARA_H02670" /codon_start=1 /product="hypothetical protein" /protein_id="RID52044.1" /db_xref="Phytozome:Brara.H02670" /translation="MESNRSFSAALFLSLNLMFFACVNGCNTCLPPKPIPNPNPNPIS NPTKHSCPRDALKLGVCAKILDGAVGTVIGNPPDTPCCSFLQGLVDLEAAVCLCTAIK ANILGIDIDIPISLSLLINTCGKKLPSDFICA" gene 21354232..21355256 /locus_tag="BRARA_H02671" /db_xref="Phytozome:Brara.H02671" mRNA 21354232..21355256 /locus_tag="BRARA_H02671" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02671" CDS 21354270..21354683 /locus_tag="BRARA_H02671" /codon_start=1 /product="hypothetical protein" /protein_id="RID52045.1" /db_xref="Phytozome:Brara.H02671" /translation="MASSSIALFLALNLLFFTTISACGSCTPCGGGCPSPKPKPTPKP TPSPSSGKGKCPKDTLKLGVCANVLSGLLDLTLGKPPVEPCCSLIQGLADVEAAVCLC TALKANVLGINLNLPISLSLLLNVCSKQVPPGFQC" gene complement(21360275..21361091) /locus_tag="BRARA_H02672" /db_xref="Phytozome:Brara.H02672" mRNA complement(join(21360275..21360787,21360915..21361091)) /locus_tag="BRARA_H02672" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02672" CDS complement(join(21360413..21360787,21360915..21360929)) /locus_tag="BRARA_H02672" /codon_start=1 /product="hypothetical protein" /protein_id="RID52046.1" /db_xref="Phytozome:Brara.H02672" /translation="MATVVAEQVIAPVAENVEVPAKAVEEPDVETKQPEEVVATTDSA AAPAALKEQEAEAPVVETSKEVVVEEAEKKDEETEEPKVEEKTEAPVAVEEAKIEEKE EVTETPAVVEEKEKTKAEEVAAEKAEE" gene <21364206..21365993 /locus_tag="BRARA_H02673" /db_xref="Phytozome:Brara.H02673" mRNA join(<21364206..21364388,21364618..21364684, 21364960..21365184,21365285..21365409,21365765..21365993) /locus_tag="BRARA_H02673" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02673" CDS join(21364206..21364388,21364618..21364684, 21364960..21365184,21365285..21365409,21365765..21365836) /locus_tag="BRARA_H02673" /codon_start=1 /product="hypothetical protein" /protein_id="RID52047.1" /db_xref="Phytozome:Brara.H02673" /translation="MGLKDEDKKIEKSSEEPMGHGTLSRNNSHSSLSPTEDDEDEDKN LELGPMIALREQLEKDKDDESLRRWKEQLLGIVDLEEVGETTDPVVKIMNLTIRSPDR DDMVLTIPENGKPTSKGPWFTLKEGSKYTLVFTFRVNNNIVSGLRYSNTVWKTGIKVY SRKQMLGTFSPQAEPYNHVMFEESTPSGMLVRGSYSVKSKFVDDDNKCYLENNYTFDI RKNWL" gene <21366487..>21366828 /locus_tag="BRARA_H02674" /db_xref="Phytozome:Brara.H02674" mRNA <21366487..>21366828 /locus_tag="BRARA_H02674" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02674" CDS 21366487..21366828 /locus_tag="BRARA_H02674" /codon_start=1 /product="hypothetical protein" /protein_id="RID52048.1" /db_xref="Phytozome:Brara.H02674" /translation="MMKTYKFQTLFSSLIFLKFLLTLLLSISRTNAVSSGGGCRHPPS QNSCKTCMAEQTKYDCPKCVPVLRCMARCLWSGVSQRKCTTTCGVDTVARPSLLDCKR CVSRCKCSCAA" gene complement(21367265..21368314) /locus_tag="BRARA_H02675" /db_xref="Phytozome:Brara.H02675" mRNA complement(21367265..21368314) /locus_tag="BRARA_H02675" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02675" CDS complement(21367543..21368184) /locus_tag="BRARA_H02675" /codon_start=1 /product="hypothetical protein" /protein_id="RID52049.1" /db_xref="Phytozome:Brara.H02675" /translation="MKRSRKFSSSATTTTVIHTFYNDHTAPPATREIPIETPTPAAKA TVMTNASADKATAAARIQSGYRSHKIRGLYKTISSVHREANRAQSMIQRQETVDAIRS DEKERLRMNETLMSLLLKLDSVPGLDPTIREARRKVSRQIVGMQEILDAISEAKDENQ WWSNYGDGQGGAWPMYWEEAVEEEMCRERGGDEMESFCAQYLGFRCFQRFLRE" gene complement(<21368827..>21371139) /locus_tag="BRARA_H02676" /db_xref="Phytozome:Brara.H02676" mRNA complement(<21368827..>21371139) /locus_tag="BRARA_H02676" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02676" CDS complement(21368827..21371139) /locus_tag="BRARA_H02676" /codon_start=1 /product="hypothetical protein" /protein_id="RID52050.1" /db_xref="Phytozome:Brara.H02676" /translation="MLFHPLRIFLFLFLSSLCLLQIKAAESGLGVHIKVDPKLKFENP KLREAYIALQSWKLAIFSDPFNFTANWNGSDVCSYNGIYCAPLPGAYNKTRVVAGIDL NHADMAGYLPSELGLLCDLALFHLNSNRFCGEVPLSFNRMKLLYELDLSNNRFVGKFP KVVLSLPSLKFLDLRYNEFEGKIPWKLFDKKLDAIFLNHNRFRFGIPKNMGNSPVSAL VLADNDLGGCIPGSIGQMGKTLNELILSNDNLTGCLPPQIGNLKKVTVFDVSSNRLRG PLPASVGNMKSLEELHVANNGFTGVIPPSICQLPNLENFTYSSNFFTGRAPICAALSV ADAIVNGSMNCLTGVARQRSVKECLSLLARPVDCSKFGCYNIFSPPPPTFKMSPVVRK LPPPVYVYKSPPPPSSKMSPTVRAYSPPPPPSSKMSPTVRAYSPPPPPLSKMSPTVRA YPPPPPPSPSPPPPYVYSSPPPPPPYVYSSPPPPPYVYSSPPPPPYVYSSPPPPPPSP PPPYIYSSPPPPPPSPPPPYVYSSPPPPYVYSSPPPPPYVYSSPPPPPYVYSSPPPPP PSPPPPCPESSPPPPVVYYAPVTQSPPPPSPVYYAPEIQSPPPPTPVYYAPETQSPPP PAPVYYAPEQSPPPPTPVYYAPETQSPPPPTPVYYAPEQSPPPPSPVYYPSQTQSPPP PSPVYYPSETPSPPPPTEYYYAPAQSPPPAKGCTESHPPPTQAPPTYEPTPEYSYTSS PPPVPSYSDTSLPPIPSVSYASSPPPPSYY" gene 21373558..21375747 /locus_tag="BRARA_H02677" /db_xref="Phytozome:Brara.H02677" mRNA join(21373558..21373966,21375022..21375747) /locus_tag="BRARA_H02677" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02677" CDS join(21373585..21373966,21375022..21375527) /locus_tag="BRARA_H02677" /codon_start=1 /product="hypothetical protein" /protein_id="RID52051.1" /db_xref="Phytozome:Brara.H02677" /translation="MVEIPRRFNILAAAFDVEAARARPPCDSSSGSDHFPDETADLWD LVESFMDSEVKALPEDIPMEDKDDKSDVDDDYEDVKERLREICENLSGGGERRRIIEE VVNAREFVGEKRLLMAYLRDKGFDAGLCKSKWERFGKNTAGKYEYVDVNRGDKNRFIV ETNLASEFEIAKPTTRYLSLLAQLPRVFVGTPEELKKLVRIMCFEIRRSMKRAEIHVP PWRRNAYMQAKWFGHYKRTSNEVVTRVKSCGCGPRVGFEGLVKTATFNGYKEVERKRQ GLKVGQLTVAFTGSGVRLS" gene 21381204..21382035 /locus_tag="BRARA_H02678" /db_xref="Phytozome:Brara.H02678" mRNA 21381204..21382035 /locus_tag="BRARA_H02678" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02678" CDS 21381413..21381904 /locus_tag="BRARA_H02678" /codon_start=1 /product="hypothetical protein" /protein_id="RID52052.1" /db_xref="Phytozome:Brara.H02678" /translation="MNKTKLHEKSRLDNHAPLFHCDCFSCYTCYWVRWDSSPSRQLIH EIIDAYEDSLEKKKQTKKKKNVSGKKDRRKRSGKSSALASPSFGTNDSESLSQVTESI ASSCACSSSSKLVEGIGGCNGGLESTEEFHAGDGSEEAEEEKGSVRRFVSFIGEKVFG VWG" gene 21385948..21387538 /locus_tag="BRARA_H02679" /db_xref="Phytozome:Brara.H02679" mRNA join(21385948..21386012,21386102..21386190, 21386292..21386423,21386518..21386688,21386779..21386893, 21386976..21387116,21387196..21387538) /locus_tag="BRARA_H02679" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02679" CDS join(21386113..21386190,21386292..21386423, 21386518..21386688,21386779..21386893,21386976..21387116, 21387196..21387269) /locus_tag="BRARA_H02679" /codon_start=1 /product="hypothetical protein" /protein_id="RID52053.1" /db_xref="Phytozome:Brara.H02679" /translation="MGRAASHITLECALQAHPNITIIGEEVSAQKQTLKNVTDYMVDV ICKRSELGYNYGVILIPEGLIDFIPEVQVLIAELNEILANEVVDESGEWKKKLTEQSL KLFDLLPEAIQEQLMLERDPHGNVQVAKIETEKMLIQMVKLNWRKESKLVLTRDSSWG SLISSGRCGLLQILMPPTVMHLVMALEYSSTVGKPDCTSHEEWALKNRYISPGPIQFT GPGSNALSHTLLLELGVQ" gene 21388474..21392617 /locus_tag="BRARA_H02680" /db_xref="Phytozome:Brara.H02680" mRNA join(21388474..21388721,21388958..21389441, 21389509..21389724,21389815..21389947,21390231..21390294, 21390370..21391675,21391762..21391834,21391916..21392001, 21392084..21392203,21392299..21392617) /locus_tag="BRARA_H02680" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02680" CDS join(21388968..21389441,21389509..21389724, 21389815..21389947,21390231..21390294,21390370..21391675, 21391762..21391834,21391916..21392001,21392084..21392203, 21392299..21392385) /locus_tag="BRARA_H02680" /codon_start=1 /product="hypothetical protein" /protein_id="RID52054.1" /db_xref="Phytozome:Brara.H02680" /translation="MKMEAVVTDNGVNLKHSGLMETKPEKDKPVKPTSLSSGSDIEEE EEEERSVGSLKRVSNKKRKRSIADEGRVAKTKSSREKRAANRRKEKINGGEKKLKQRS SVRRRAKTKKEDKEREQELSLVPFIEPTDAGNSSESDSHVKNDNFNDCRSMTRSLRAA LGELAICHQCFKGERRFLFICTFCEEKLYCFPCIKKWYPHLSHDDVIEKCPFCRGTCN CDVCLQSSGLIETSKRKLGDNERFHHLQYLIGSMLPFLKKLCKAQDEEIENEAKIQGL MTCQVDVSETLCSNEERVFCNHCATSIVDLHRSCPKCSYELCLKCCQEIRGGLFSERP EMKLNFVYRGSRYIHGEDAEPSSSSVLEDEANDNKPSVNWTADDNGRITCASKDLGGC GECVLELKRILPLTRMSDLEKKAESFLASCSVKSLTVSNCRCDSDFEMSMMRKAASRS GSNDNNLYSPDSFDVLKEEELLHFQDHWRKGEPVIVRNALNNTAGLSWEPKVMWRALC ENVDSAMCIDSLANCEVKIKTRDFFEGYSKGRSYGNLWPEMLKLKDWPPSDKFDNLLP RHCDEFISALPFQEYSDPRSGVLNIATKLPEGVLKPDLGPKTYIAYGNADELGRGDSV TKLHCDMSDAVNILMHTAEVTLSKEQLTAIEALKKKHKQQDEKELQDQNDLDRGEIVA VNDENGFHHDETGSALWDIFRREDVPKLEEYLRKHCREFRHTYCSPVTKVYHPIHDQT LFLTVEHKRKLKAEFGIEPWTFVQKVGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPEN IHECLRLTEEFRQLPKNHKAREDKLEIKKMVIYAVEQSLKEVETLLPDLSLKEA" gene 21394663..21397498 /locus_tag="BRARA_H02681" /db_xref="Phytozome:Brara.H02681" mRNA join(21394663..21395082,21395188..21395304, 21395450..21395550,21395871..21395942,21396061..21396142, 21396293..21396373,21396534..21396575,21396764..21396820, 21396923..21397069,21397160..21397498) /locus_tag="BRARA_H02681" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02681" CDS join(21394816..21395082,21395188..21395304, 21395450..21395550,21395871..21395942,21396061..21396142, 21396293..21396373,21396534..21396575,21396764..21396820, 21396923..21397069,21397160..21397324) /locus_tag="BRARA_H02681" /codon_start=1 /product="hypothetical protein" /protein_id="RID52056.1" /db_xref="Phytozome:Brara.H02681" /translation="MTRKPASRRGVVWLGWKLVIAFSVSLCLLALLRIQLQYRSVATL APPLSVAGNEIALRDYYSGDRPKVAFLFLARRDLPLDFLWDSFFKGVDQANYSVYVHS VPGFVFNEETTRSEYFYNRQLNNSIKVIWGESSMIAAERLLFASALEDLANQRFVLLS DRCAPLYDFGYIYRYIISSPRSFVDSFLHTKETRYSVNMSSVIPEEKWRKGSQWISVI RSHAEVIVNDGIVFPVFKKFCKRAPPLGSQEAWLFFRQNRRNCIPDEHYVQTLLTMRG LESEMERRTLTYTVWNVSGSKYETQTWHPVTFTFENSGSGQIKEIKKIDHVYYETESR TEWCKADSKPVPCFLFARKFTEGAAMRLVREGLIGSSKNITL" gene complement(21397158..21399517) /locus_tag="BRARA_H02682" /db_xref="Phytozome:Brara.H02682" mRNA complement(join(21397158..21397740,21397825..21397923, 21398022..21398155,21398252..21398339,21398753..21398799, 21398970..21399036,21399148..21399517)) /locus_tag="BRARA_H02682" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02682" CDS complement(join(21397666..21397740,21397825..21397923, 21398022..21398155,21398252..21398339,21398753..21398799, 21398970..21399036,21399148..21399414)) /locus_tag="BRARA_H02682" /codon_start=1 /product="hypothetical protein" /protein_id="RID52055.1" /db_xref="Phytozome:Brara.H02682" /translation="MNDLENEEQSRHVAEPAMSAAAIDGVAALRSVFQRVNQAAEKAG RASDRIRVVAVSKTKPVSLIRQVYDAGQRSFGENYVQEIIEKAPQLPEDIEWHFIGNL QSNKVKPLLTGVPNLVMVESVDDEKIANMLDRVVGNIGRKPLKVLVQVNTSGEESKFG VEPSGCVGLAKHVKEACSNLEFSGLMTIGMADYTSTPENFKMLAKCRSEVCEELGIPE EQCELSMGMSGDFELAIELGSTNVRIGSTIFGAREYPKKK" gene 21401695..21405552 /locus_tag="BRARA_H02683" /db_xref="Phytozome:Brara.H02683" mRNA join(21401695..21401801,21402484..21402839, 21402924..21402984,21403129..21403223,21403315..21403427, 21403510..21403678,21403768..21403807,21403936..21404000, 21404087..21404200,21404308..21404372,21404514..21404670, 21404776..21404895,21404986..21405074,21405158..21405552) /locus_tag="BRARA_H02683" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02683" CDS join(21402489..21402839,21402924..21402984, 21403129..21403223,21403315..21403427,21403510..21403678, 21403768..21403807,21403936..21404000,21404087..21404200, 21404308..21404372,21404514..21404670,21404776..21404895, 21404986..21405074,21405158..21405242) /locus_tag="BRARA_H02683" /codon_start=1 /product="hypothetical protein" /protein_id="RID52057.1" /db_xref="Phytozome:Brara.H02683" /translation="MGIYTRTVAVSLVVTFLLFFSASAERNDGTVRVGLKKLKSDPQI HLSARAFGSHEENPPRAYNLGDSGDADIVTLKNYLDAQYYGEIAIGTPPQKFTVVFDT GSSNLWVPSSKCYFSIACLLHPKYKSSRSSTYEKNGKSAAIHYGTGAIAGFFSNDAVT VGDLVVKDQEFIEATKEPGLTFVVAKFDGILGLGFQEISVGNAAPVWYNMLKQGLIKE PVFSFWLNRNAEDEEGGELVFGGVDPKHFKGQHTYVPVTQKGYWQFDMGDVLIGGAPT GYCESGCSAIADSGTSLLAGPTTIITMINHAIGASGVASQQCKTVVDQYGQTILDLLL SETQPKKICSQIGLCTFDGKRGVSMGIESVVDKENAKLSNGVGDAGCSACEMAVVWIQ SQLRQNMTQERILDYVNELCERIPSPMGESAVDCAQLSTMPTVSLTIGGKVFDLAPHE YILKVGEGAAAQCISGFIALDVAPPRGPLWILGDVFMGKYHTVFDFGKAQVGFAEAA" gene complement(21406433..21408213) /locus_tag="BRARA_H02684" /db_xref="Phytozome:Brara.H02684" mRNA complement(join(21406433..21406673,21406807..21406883, 21407004..21407150,21407247..21407407,21407620..21407843, 21408030..21408213)) /locus_tag="BRARA_H02684" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02684" CDS complement(join(21406590..21406673,21406807..21406883, 21407004..21407150,21407247..21407407,21407620..21407807)) /locus_tag="BRARA_H02684" /codon_start=1 /product="hypothetical protein" /protein_id="RID52058.1" /db_xref="Phytozome:Brara.H02684" /translation="MVKMTLIARVTDGLPLAEGLDDGRDLPDSDMYKQQVKALFKNLS RGHNEASRMSVETGPYIFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGP NIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGV GEKLDQVSEMSSRLTSESRIYADKAKDLNRQALIRKWAPVAIVLGVVFLLFWVKNKLW " gene 21408567..21411472 /locus_tag="BRARA_H02685" /db_xref="Phytozome:Brara.H02685" mRNA join(21408567..21409168,21409242..21409347, 21409422..21409514,21409597..21409792,21409870..21410039, 21410143..21410372,21410443..21410621,21410731..21411472) /locus_tag="BRARA_H02685" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02685" CDS join(21408820..21409168,21409242..21409347, 21409422..21409514,21409597..21409792,21409870..21410039, 21410143..21410372,21410443..21410621,21410731..21410895) /locus_tag="BRARA_H02685" /codon_start=1 /product="hypothetical protein" /protein_id="RID52062.1" /db_xref="Phytozome:Brara.H02685" /translation="MPRAMESSSKSRKESILIKYAVVSRLLVLFLTVLWRSFLQPYDT SAALNPPCLHHRDVPEDSPPLLVANSVSKTLENSVVWDSVYFLRITQCGYEYEQTYAF LPLLPFFISLLSRTVFAPLVPLIGLRAVMVLSGYVVTNVTFVFAAIYLFRVSVIILKD TEASFRASVIFCFNPASIFYSSIYSESLYALFSIGGVYHLLSGASNVAVLWFALSGCA RSNGILNAGYICFQTMHRAYEAFYLKRRFCVLVTGFIRCVCICLPFVAFQAYGYYNIC HGHKLDDELRPWCKAKVPLLYNFIQSHYWGVGFLRYFQFIQLPNFLLASPILSLAVCS IVSYMKTRPELFTSLGFQATEKEKRSSARLYSLKEVLEPDVITSSNEGSRDIRQRKPS RKKDVTITTNTAAESNSPEASGYFSADVLPFVVHLGLMAATAFFIMHVQVATRFLSAS PPLYWFASHLIASPKHSKWGYLMWSYCAAYILLGSLLFSNFYPFT" gene complement(21410728..21413754) /locus_tag="BRARA_H02686" /db_xref="Phytozome:Brara.H02686" mRNA complement(join(21410728..21411472,21411546..21411639, 21411712..21411846,21411923..21412089,21412172..21412351, 21412425..21412517,21412590..21412738,21412815..21412908, 21413295..21413446,21413521..21413754)) /locus_tag="BRARA_H02686" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02686" mRNA complement(join(21410728..21411472,21411546..21411639, 21411712..21411846,21411923..21412089,21412172..21412351, 21412425..21412517,21412590..21412738,21412815..21412915, 21413295..21413446,21413521..21413754)) /locus_tag="BRARA_H02686" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02686" mRNA complement(join(21410728..21411472,21411546..21411639, 21411712..21411846,21411923..21412089,21412172..21412351, 21412425..21412517,21412590..21412738,21412815..21413016)) /locus_tag="BRARA_H02686" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02686" CDS complement(join(21411197..21411472,21411546..21411639, 21411712..21411846,21411923..21412089,21412172..21412351, 21412425..21412517,21412590..21412738,21412815..21412908, 21413295..21413446,21413521..21413719)) /locus_tag="BRARA_H02686" /codon_start=1 /product="hypothetical protein" /protein_id="RID52061.1" /db_xref="Phytozome:Brara.H02686" /translation="MVLHTLRLATVPLTFTTSRLFLNPSPTLSFFSRHVQPRKPSFLL RAFSNSSAVQDTPLTQTSSDSSAARPPQWKAAIDFKWIRDNKEAVEINIKNRNSNANL EAVLELYENMVTLQKEVEGIREERNNVAKKMKGKLEPSERERLVEEGKNLKESLVTLE EDLVKLQDELQNVARSIPNMTHPDVPVGGEDSSAVRKEVGSPREFSFPVKDHLQLGKD LDLMDFDSAAEVSGSKFFYLKNEAVLLEMALLNWTLSEVMKKGFTPLTTPEIVRSSIV EKCGFQPRGDNTQVYSIDGTDQCLIGTAEIPVGGIHMDSILLESALPLKYIAFSHCFR TEAGAAGAATKGLYRVHQFSKAEMFVLCRPEDSESFHNELIQIEEDLFTSLGLHFKTL DMATADLGAPAYRKFDVEAWMPGLGRFGEISSASNCTDYQSRRLGIRFRPSEPLQTGP KKGKANLPPTKFVHTLNATACAVPRMMVCLLENYQQEDGSVVIPEPLRPFMGGIEAIK PKLR" CDS complement(join(21411197..21411472,21411546..21411639, 21411712..21411846,21411923..21412089,21412172..21412351, 21412425..21412517,21412590..21412738,21412815..21412863)) /locus_tag="BRARA_H02686" /codon_start=1 /product="hypothetical protein" /protein_id="RID52059.1" /db_xref="Phytozome:Brara.H02686" /translation="MKGKLEPSERERLVEEGKNLKESLVTLEEDLVKLQDELQNVARS IPNMTHPDVPVGGEDSSAVRKEVGSPREFSFPVKDHLQLGKDLDLMDFDSAAEVSGSK FFYLKNEAVLLEMALLNWTLSEVMKKGFTPLTTPEIVRSSIVEKCGFQPRGDNTQVYS IDGTDQCLIGTAEIPVGGIHMDSILLESALPLKYIAFSHCFRTEAGAAGAATKGLYRV HQFSKAEMFVLCRPEDSESFHNELIQIEEDLFTSLGLHFKTLDMATADLGAPAYRKFD VEAWMPGLGRFGEISSASNCTDYQSRRLGIRFRPSEPLQTGPKKGKANLPPTKFVHTL NATACAVPRMMVCLLENYQQEDGSVVIPEPLRPFMGGIEAIKPKLR" CDS complement(join(21411197..21411472,21411546..21411639, 21411712..21411846,21411923..21412089,21412172..21412351, 21412425..21412517,21412590..21412738,21412815..21412863)) /locus_tag="BRARA_H02686" /codon_start=1 /product="hypothetical protein" /protein_id="RID52060.1" /db_xref="Phytozome:Brara.H02686" /translation="MKGKLEPSERERLVEEGKNLKESLVTLEEDLVKLQDELQNVARS IPNMTHPDVPVGGEDSSAVRKEVGSPREFSFPVKDHLQLGKDLDLMDFDSAAEVSGSK FFYLKNEAVLLEMALLNWTLSEVMKKGFTPLTTPEIVRSSIVEKCGFQPRGDNTQVYS IDGTDQCLIGTAEIPVGGIHMDSILLESALPLKYIAFSHCFRTEAGAAGAATKGLYRV HQFSKAEMFVLCRPEDSESFHNELIQIEEDLFTSLGLHFKTLDMATADLGAPAYRKFD VEAWMPGLGRFGEISSASNCTDYQSRRLGIRFRPSEPLQTGPKKGKANLPPTKFVHTL NATACAVPRMMVCLLENYQQEDGSVVIPEPLRPFMGGIEAIKPKLR" gene 21414067..21416865 /locus_tag="BRARA_H02687" /db_xref="Phytozome:Brara.H02687" mRNA join(21414067..21414171,21414263..21414337, 21414500..21414548,21414635..21414665,21414763..21414824, 21414920..21414994,21415091..21415146,21415235..21415279, 21415356..21415640,21415716..21415810,21415950..21416040, 21416118..21416228,21416313..21416507,21416579..21416865) /locus_tag="BRARA_H02687" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02687" CDS join(21414106..21414171,21414263..21414337, 21414500..21414548,21414635..21414665,21414763..21414824, 21414920..21414994,21415091..21415146,21415235..21415279, 21415356..21415640,21415716..21415810,21415950..21416040, 21416118..21416228,21416313..21416507,21416579..21416770) /locus_tag="BRARA_H02687" /codon_start=1 /product="hypothetical protein" /protein_id="RID52063.1" /db_xref="Phytozome:Brara.H02687" /translation="MAIWSIVRRKVFNGCNSSYSSSIIGKSWKSKSAKASRTRYYGAL SKETSVFVRGSPVSLPCYLGSSLSSNAIMRVTVPYNHTFNTSIRLFSSDEGGSVDAVV PYMGESISDGTLATILKKPGDRVEADEPIAQIETDKVTIDVSSPTAGTIEKIVAKEGD TVEPGFKIAVISKSSGAATNVEDSEKKPEETKPEKKEEKPKPVAESPPSPKVETSPPK EKSRAPPPPPPASGASPREPQLPPKDRERRVPMTRLRKRVATRLKDSQNTFALLTTFN EVDMTNLMKLRSEYKDAFLEKHGVKLGLMSGFIKGAISALQNQPVVNAVIDGEDIIYR DYVDISIAVGTPKGLVVPVLRNVEQMNFAEIEKEINRLAKKATAGTISIDEMAGGTFT ISNGGVYGSLLSTPIINPPQSAILGMHSILNRPMVVGGEVMSRPMMYIALTYDHRLID GREAVLFLRRIKDVVEDPRRLLLDI" gene complement(21416873..21418795) /locus_tag="BRARA_H02688" /db_xref="Phytozome:Brara.H02688" mRNA complement(join(21416873..21417556,21417626..21417744, 21417812..21418396,21418720..21418795)) /locus_tag="BRARA_H02688" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02688" CDS complement(join(21417010..21417556,21417626..21417744, 21417812..21418375)) /locus_tag="BRARA_H02688" /codon_start=1 /product="hypothetical protein" /protein_id="RID52064.1" /db_xref="Phytozome:Brara.H02688" /translation="MRGGSLWQLGQSITRRLAQSDKKPLSPRRHFASGADLKKTALYD FHVAHGGKMVPFAGWSMPIQYKDSIIDSTVNCRVNGSLFDVAHMCGLSLKGKDCVPFL ETLVVADVAGLAPGTGSLTVFTNEKGGAIDDSVITKVTDEHIYLVVNAGCRDKDLAHI EEHMKAFKSKGGDVSWHIHDERSLLALQGPLAAPVLQHLTKEDLSKLYFGQFQILDIN GSTCFLTRTGYTGEDGFEISVPSEHAVDLAKAILEKSEGKVRLTGLGARDSLRLEAGL CLYGNDMEQHITPVEAGLTWAIGKRRRAEGGFLGADVILKQLQDGPTIRRVGFFSSGP PARSHSEVHDESGNKIGEITSGGFSPNLKKNIAMGYVKSGQHKNGTKVKILVRGKPYE GNITKMPFVATKYYKPT" gene complement(21419636..21421328) /locus_tag="BRARA_H02689" /db_xref="Phytozome:Brara.H02689" mRNA complement(join(21419636..21419895,21420006..21420158, 21420258..21420365,21420455..21420502,21420590..21420802, 21420881..21420945,21421033..21421157,21421226..21421328)) /locus_tag="BRARA_H02689" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02689" CDS complement(join(21419854..21419895,21420006..21420158, 21420258..21420365,21420455..21420502,21420590..21420802, 21420881..21420945,21421033..21421157,21421226..21421323)) /locus_tag="BRARA_H02689" /codon_start=1 /product="hypothetical protein" /protein_id="RID52065.1" /db_xref="Phytozome:Brara.H02689" /translation="MAENADLLEWPKKDKRRFLHVVYRVGDLDRTIQFYTECFGMKLL RKRDVPEEKYSNAFLGFGPETSNFVVELTYNYGVSSYDIGTGFGHFAISTQDVSKMVE AVRAKGGNVTREPGPVKGGGSVIAFVKDPDGYMFELIQRGPTPEPLCQVMLRVGDLDR AIKFYEKALGMRLLRRIERPEYKYTIGMMGYAEEYESIVLELTYNYGVTEYTKGNAYA QIAIGTDDVYKSAEVVKIANQELGGKITREAGPLPGLGTKIVSFLDPDGWKTVLVDNE DFLKELE" gene 21423021..21425273 /locus_tag="BRARA_H02690" /db_xref="Phytozome:Brara.H02690" mRNA join(21423021..21423309,21423439..21424743, 21424821..21424848,21424935..21425273) /locus_tag="BRARA_H02690" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02690" CDS join(21423228..21423309,21423439..21424743, 21424821..21424848,21424935..21425079) /locus_tag="BRARA_H02690" /codon_start=1 /product="hypothetical protein" /protein_id="RID52066.1" /db_xref="Phytozome:Brara.H02690" /translation="MPFTSMSSTVPPLLFFLFIVIFNLSIFAKSWPLSQDNKIQEQDK DPFVGFNIGTDVSSLLPPPDLVKFLQTQKINHIRLYDADPELLKALSKTKIRVIISVP NNQLLAIGSSNATAASWIGRNVVAYYPETLITAISVGDEVLTTVPSSAPLLLPAIESL YNALVASNLHTQIKVSTPHAASIMMDTFPPSQAYFNQTWLSVISPLLRFLSKTGSPLM MNLYPYYVYMQNKGVVPLDNCLFEPLTPAKEMVDPNTLLHYTNVLDAMVDAAYVSMKN LNISDVAVLVTETGWPSQGDKMEPHATIDNADTYTSNLIKHVFDRTGTPMRPEVTPSV YIYELFNEDKRAPPVSEASWGLFYGNTTPVYLLHVSGSGAFLANDTTNKTYCVAMDGV DVKTLQAALDWACGPGRANCSEIQPGESCYQPNNVKGHASFAFNSYYQKEGRASGSCD FKGVAMITTTDPSHGSCIFPGSKKVGNQTQTVVNSTQVAAGETSSRSLLSRGFCASIM ILVTCVLLPFW" gene complement(21425538..21429010) /locus_tag="BRARA_H02691" /db_xref="Phytozome:Brara.H02691" mRNA complement(join(21425538..21425811,21425898..21425979, 21426084..21426241,21426312..21426389,21426492..21426587, 21426657..21426737,21426822..21427022,21427094..21427186, 21427288..21427355,21428540..21429010)) /locus_tag="BRARA_H02691" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02691" CDS complement(join(21425755..21425811,21425898..21425979, 21426084..21426241,21426312..21426389,21426492..21426587, 21426657..21426737,21426822..21427022,21427094..21427186, 21427288..21427355,21428540..21428777)) /locus_tag="BRARA_H02691" /codon_start=1 /product="hypothetical protein" /protein_id="RID52067.1" /db_xref="Phytozome:Brara.H02691" /translation="MALRCFPIWVCPQTPHRYPLVGRRVSLWECSSSSSGASQRAVTA VEGDGPELKKKASDEMGLVREAKPVAFHRDLSMLPKPLSASSLYSSAGDDSKVRISFQ GIPGAYSETAALEAYPNCETVPCEHFETAFQAVELWLVDKAVLPIENSVGGSIHRNYD LLLRHRLHIVQEVHLPVNHCLLGVPGVTKEEIKRVLSHPQALDQCVNSLNDLGIQRIS AKDTATAAQTVASSGKKDVGAIASVRAANLYGLDILAENIQDDANNVTRFLILSREPM IPRTDRPYKTSIVFSLEEGPGVLFKALAVFALRSINLSKIESRPQRRRPLRVVDGSNN GSAKSFDYLFYIDFEASMAEIRAQHALGHLQEFTSFIRVLGCYPMDLVR" gene 21430003..21431066 /locus_tag="BRARA_H02692" /db_xref="Phytozome:Brara.H02692" mRNA join(21430003..21430069,21430430..21431066) /locus_tag="BRARA_H02692" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02692" CDS 21430446..21430892 /locus_tag="BRARA_H02692" /codon_start=1 /product="hypothetical protein" /protein_id="RID52068.1" /db_xref="Phytozome:Brara.H02692" /translation="MDNIVDSLNKAYEKFVIASADVLESKESAGGLKASLTDAALENF KEKWELFRVACDQAEEFVESVKQRIGSECLVDEATGLTTTTTTGGGSNSGQSVGAATS LPPISAVRLEQMSRAVRWLVLELQRGSGGAAAGSVHSPRFSEDSTQ" gene 21431215..21433036 /locus_tag="BRARA_H02693" /db_xref="Phytozome:Brara.H02693" mRNA join(21431215..21431337,21431540..21431591, 21431776..21432046,21432125..21432186,21432260..21432461, 21432537..21432633,21432719..21432801,21432932..21433036) /locus_tag="BRARA_H02693" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02693" CDS join(21431544..21431591,21431776..21432046, 21432125..21432186,21432260..21432461,21432537..21432633, 21432719..21432801,21432932..21432939) /locus_tag="BRARA_H02693" /codon_start=1 /product="hypothetical protein" /protein_id="RID52069.1" /db_xref="Phytozome:Brara.H02693" /translation="MDFNDPMLLLSSRIGQIGDLGFDLLWRFLHIVVSLFHIVSGIFE AIQSYAISLGLIQKYSSIDIEKLMCLAVVVDIEVARDVAKVVELLQWLKTIGVKQVGL FDSQGLLKKSKDMILELVPGSMLLQETGEKDISPDRKEGIAIEFISSSDNKEAVVKAA NILLQRHLKASHPEKDEGDNVFTESHLNEALRVVGENVHVPDLMLVYGPVRSHLGFPA WRLRYTEIVHMGSLKYMRYGSLLKAIHKFTGVRQNYGV" gene complement(21432933..21435227) /locus_tag="BRARA_H02694" /db_xref="Phytozome:Brara.H02694" mRNA complement(join(21432933..21433307,21433465..21433557, 21433648..21433701,21433788..21433928,21434019..21434084, 21434177..21434378,21434544..21434595,21434737..21434798, 21434923..21435227)) /locus_tag="BRARA_H02694" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02694" mRNA complement(join(21432933..21433307,21433465..21433557, 21433644..21433701,21433788..21433928,21434019..21434084, 21434177..21434378,21434544..21434595,21434737..21434798, 21434923..21435227)) /locus_tag="BRARA_H02694" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02694" CDS complement(join(21433260..21433307,21433465..21433557, 21433648..21433701,21433788..21433928,21434019..21434084, 21434177..21434378,21434544..21434595,21434737..21434798, 21434923..21435017)) /locus_tag="BRARA_H02694" /codon_start=1 /product="hypothetical protein" /protein_id="RID52070.1" /db_xref="Phytozome:Brara.H02694" /translation="MAGLAISPPLSLTFSSRTRNAKPTSYLSHNQRNLTRRIVSALPS PYGDSLKAGLSSNVSGNKDPRNIAPRSGVIVAKKGNPPVMPSVMTPGGPLDLSSVLFR NRIIFIGQPINAQVAQRVISQLVTLASIDDKSDILIYLNCPGGSTYSVLAIYDCMSWI KPKVGTVAFGVAASQGALLLAGGEKGMRYAMPNTRVMIHQPQTGCGGHVEDVRRQVNE AIEARQKIDRMYAAFTGQTLETVQQYTERDRFLSASEALEFGLIDGLLETEY" CDS complement(join(21433550..21433557,21433644..21433701, 21433788..21433928,21434019..21434084,21434177..21434378, 21434544..21434595,21434737..21434798,21434923..21435017)) /locus_tag="BRARA_H02694" /codon_start=1 /product="hypothetical protein" /protein_id="RID52071.1" /db_xref="Phytozome:Brara.H02694" /translation="MAGLAISPPLSLTFSSRTRNAKPTSYLSHNQRNLTRRIVSALPS PYGDSLKAGLSSNVSGNKDPRNIAPRSGVIVAKKGNPPVMPSVMTPGGPLDLSSVLFR NRIIFIGQPINAQVAQRVISQLVTLASIDDKSDILIYLNCPGGSTYSVLAIYDCMSWI KPKVGTVAFGVAASQGALLLAGGEKGMRYAMPNTRVMIHQPQTGCGGHVEDVRRQVNE AIEARQVKN" gene <21435968..21438174 /locus_tag="BRARA_H02695" /db_xref="Phytozome:Brara.H02695" mRNA join(<21435968..21436498,21436603..21437316, 21437723..21438174) /locus_tag="BRARA_H02695" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02695" CDS join(21435968..21436498,21436603..21437316, 21437723..21438022) /locus_tag="BRARA_H02695" /codon_start=1 /product="hypothetical protein" /protein_id="RID52072.1" /db_xref="Phytozome:Brara.H02695" /translation="MAKPSPVNKPTTTLDDYAHSPFHYAVVLGDHAGLIRLVSSLPKL TEPEQIHTESDSISQERAAEIISAVIDRRDVPFRETPLHLAVRIGDVLAVKTLSSAGA DAALRNVAGWNALDEAVCRGNAEITEMILRHQRRSAWCRWRRRLPCLIAVLERMRDFY VEVSISFESSVIPFFGKVAPSDTYRIWKRGEDLRADTSLTGFDRFKIRRANRRFLFLG EGDVSSSSPGTLLVLNREDKTISNAFENAGETVSEREMDFTKAELVVMKNWRGKEKVE TVGEWKAKRYEVKNVSFSLKSPKAVVAAGETEKNSPSLTRQLSCSDVEEKEVQPSSLR RGRKSVSLPAAEVSVAGSVPRIKGKETVKSLSPLVWLTDDFPLTTEELLPVLDILAIN VEAVRRMKELLTVKFPPGTFPVKMSIPVIPTVKVVITFSKFVALPSMDFYTPVSSPSH ISAGVEDQCDVESDIRTSTTRRSFSWLRLKATKKSSQRRLKKEQAQKEDPFAIPAGYK WTSNTD" gene complement(21441585..21446523) /locus_tag="BRARA_H02696" /db_xref="Phytozome:Brara.H02696" mRNA complement(join(21441585..21441850,21441938..21442061, 21442146..21442257,21442326..21442457,21442523..21442705, 21442783..21442911,21442971..21443141,21443209..21443314, 21443398..21443507,21443589..21443696,21443773..21444222, 21444295..21444509,21444587..21445630,21445722..21445785, 21446214..21446523)) /locus_tag="BRARA_H02696" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02696" CDS complement(join(21441721..21441850,21441938..21442061, 21442146..21442257,21442326..21442457,21442523..21442705, 21442783..21442911,21442971..21443141,21443209..21443314, 21443398..21443507,21443589..21443696,21443773..21444222, 21444295..21444509,21444587..21445630,21445722..21445785, 21446214..21446327)) /locus_tag="BRARA_H02696" /codon_start=1 /product="hypothetical protein" /protein_id="RID52073.1" /db_xref="Phytozome:Brara.H02696" /translation="MEVCWQIQTPTSLRPGFQQKGRLKINTFIGFLPRPISSLASSES HYNSNGFLHQITASADFSRKKQGRMSASGPKSSAPRGFGRRTTVGSAQKRTQKKNDEK DSNATSTVTNEGLGVSNLPEAKADVQKQALAEDDVLLEQKLKVERESLRKKEIGSLAE ETLARGDRMFVYPLVAKPDEDIEVFLNKTLSTLSSEPDVLIMGAFNDWRWKSFTRRLE KTEELHGDWRSCLLHIPKEAYKMDFVFFNGQSAYDNNDSKDFCVDVKGGMDKVEFENF LLEEKWREQEKLAKEEAERERQEEEKRRIEARKAAIEADREQAKVETQKRREMLQPAL QKAVVSDENVCYIEPKDFKGGDEVKIFYNKSSGPLGYAKEVWIHGGFNNWIDGLSIVE KLKADSKSEDWWFAEVIVPVGALVIDWVFADGPPKEAFLYDNNSLQDFHALVPLRTPE ELYWSEEESLIFRKLQEERRLKEEAMRVKMEKTALLKAETKERTLKKFLLSQKDVVYT EPLEIQAGRPVTVFYNPSNTVLNGKPEVWFRGSFNRWTHRLGPLPPQKMEAADDGSSH VKTSAKVPLDAYMMDFVFSEKEDGGVFDNRYGLDYHLPVVGGIAKEPPLHIVHIAVEM APIAKVGGLGDVVTSLSRAVQELNHNVDIIFPKYDCIKYNLVKDLQFNRSYHWGDTEI KVWHGKVEGVSVYFLDPQNGLFQRGCVYGCADDAGRFGFFCHAALEFLLQGGFHPDIL HCHDWSSAPVSWLFKDHYTHYGLIKTRVVFTIHNLEFGASAIGKAMTFSDKATTVSRT YAKEVAGNSVISPHLYKFHGIVNGIDPDIWDPYNDNFIPVPYTSENVVEGKRAAKEEL QNRLGLKSADLPLVGIITRLTHQKGIHLIKHAIWRTLERNGQVVLLGSAPDPRIQNDF VNLANQLHSTHGDRARLVLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLIAMRYGAV PVVRKTGGLYDTVFDVDHDKERAQAQVLEPNGFSFDGADAPGVDYALNRAISAWYDGR EWFNSLCKTVMEQDWSWNRPALEYIELYHSARK" gene complement(21447252..21448376) /locus_tag="BRARA_H02697" /db_xref="Phytozome:Brara.H02697" mRNA complement(join(21447252..21447504,21447579..21447783, 21447875..21448009,21448068..21448376)) /locus_tag="BRARA_H02697" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02697" CDS complement(join(21447583..21447783,21447875..21448009, 21448068..21448355)) /locus_tag="BRARA_H02697" /codon_start=1 /product="hypothetical protein" /protein_id="RID52074.1" /db_xref="Phytozome:Brara.H02697" /translation="MATESMVVDAAKRAPTRDSLAEEEQSSSPMKKQKVEEEEKIYSE THVPELGCKPQWDVDSYDGREYESDPEDRKLFSDDEEYDKYRRERRRAFDSKGFIYEP LSGNYPIKDLEALVYPNVTSRELMTDLANLCVKKLNETEKKTVELVEIVRVIVLGGGT RKAYITFMARESLNGPLIEYQAKVVTYAKNLKPPVPILCRPSPIPSV" gene complement(<21457245..>21457979) /locus_tag="BRARA_H02698" /db_xref="Phytozome:Brara.H02698" mRNA complement(<21457245..>21457979) /locus_tag="BRARA_H02698" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02698" CDS complement(21457245..21457979) /locus_tag="BRARA_H02698" /codon_start=1 /product="hypothetical protein" /protein_id="RID52075.1" /db_xref="Phytozome:Brara.H02698" /translation="MEGITKWDLGSCRTYYSVEPYEKFQEEENIDGSLVPRLQDELWK AQSRIKELETEKIGSKENGIRNQRISWEENTDSLFDYLKEKFSKEREERKRANAENSM LKKKVLEMESTANRLRRERDTLEKVCEELVTRIDELKVETRRIWDETEEERQMLQMAE MWREERVRVKLTDAKLSLQEKYEEMTWFVDELEKGLEMVREVGGVEVMMRLRRGELLI KNARSLEDAANDIDFEKFKFVSDDDN" gene complement(21458860..21461349) /locus_tag="BRARA_H02699" /db_xref="Phytozome:Brara.H02699" mRNA complement(join(21458860..21460014,21460611..21461084, 21461225..21461349)) /locus_tag="BRARA_H02699" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02699" CDS complement(join(21459022..21460014,21460611..21461084)) /locus_tag="BRARA_H02699" /codon_start=1 /product="hypothetical protein" /protein_id="RID52076.1" /db_xref="Phytozome:Brara.H02699" /translation="MELDSDNNLLKTCLVITATLVVTKLIFTFFTSSSKKKRLPPTLQ AWPPLIGSLLRFLKGPIVMLRDEYPNLGSVFTVNLLHKKMTFLIGPEVSAHFFKAPES DLSQQEVYQFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRVNKLKGYVDMMVTEAENF FSKWGESGEVDLKDELERLIILTASRCLLGREVRDQLFDDVSALFHDLDNGMLPISVL FPYLPLPAHRRRDRARQKLSEIFSKIIGSRKRSDKAENDMLQCFIESKYKDGRQTTES EVTGLLIAALFAGQHTSSITSTWTGAYLMKYKEHFSAALDEQRKLMEKHGDKIDHDIL SEMDVLYRCIKEALRLHPPLIMLMRASHSDFNITTRDGKTYDIPKGHIVATSPAFANR LPHIFKNPDSYDPDRFSVGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHL LRNFELELVSPFPEIDWNAMVVGVKGNVMVRYKRRQLS" gene 21471586..21476530 /locus_tag="BRARA_H02700" /db_xref="Phytozome:Brara.H02700" mRNA join(21471586..21471979,21472377..21473008, 21475233..21475289,21475399..21475637,21475723..21475841, 21475943..21476029,21476160..21476530) /locus_tag="BRARA_H02700" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02700" CDS join(21471662..21471979,21472377..21473008, 21475233..21475289,21475399..21475637,21475723..21475841, 21475943..21476029,21476160..21476225) /locus_tag="BRARA_H02700" /codon_start=1 /product="hypothetical protein" /protein_id="RID52077.1" /db_xref="Phytozome:Brara.H02700" /translation="MGSEAAVTAVDNLQQPLLEPTKSEVDFRMESVLTDTHLPYLRRL YFAALIELKFLFNLAAPAIFVYVINNGMSMLTRIFAGRIGSIQLAAASLGNSGFNMFT FGLMLGMGSAVETLCGQAHGAHRYEMLGVYLQRSTVVLFLTGLPMTLLFIFSKPLLTS LGEPADVASMASIFVYGMIPMIFAYVVNFPIQKFLQSQSIVTPSAYISAATLVIHIFL SWLAVFKLGWGLLGLSVIHSLSWWIIVLAQVLYIKVSPRCRRTWTGFSWKAFDGLWDF FRLSAASAVMLCLESWYAQILVLLAGLLKNPELALDSLAICMSISAISFMVSVGFNAA ASVRVSNELGAGNPRSAAFSTAVTTGVSFLLSLFEAVLILSWRNVISYVFTDSPAVAE AVAELTPYLAITIVLNGVQPVLSGVAVGCGWQAFVAYVNIGCYYIVGIPIGYVLGFTY DMGAKGIWTGMIAGTLMQTIILLIVTFRTDWDKEVEKASRRLDQWEDTQAPLLKQ" gene 21480639..21481937 /locus_tag="BRARA_H02701" /db_xref="Phytozome:Brara.H02701" mRNA join(21480639..21480894,21481191..21481252, 21481340..21481444,21481552..21481937) /locus_tag="BRARA_H02701" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02701" CDS join(21480651..21480894,21481191..21481252, 21481340..21481444,21481552..21481704) /locus_tag="BRARA_H02701" /codon_start=1 /product="hypothetical protein" /protein_id="RID52079.1" /db_xref="Phytozome:Brara.H02701" /translation="MVMASSSSSLAAFPCLNPSWLLTPSRSSQTLNSPFLSSPCSVLN LKHASSLLLKSRIRISSSRRAPTKTLVVLSAQTSLLKVLRTAWNIGKDGIEAGTNLVP GSVPRPVARISVTIAALAVSLFVLKSFLSTAFFVLGTMGFAYFLFIALNKDEAPKQRG GEDNLSGSKTMDDDPLEEAKKIMDKYK" gene complement(<21481852..>21482196) /locus_tag="BRARA_H02702" /db_xref="Phytozome:Brara.H02702" mRNA complement(<21481852..>21482196) /locus_tag="BRARA_H02702" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02702" CDS complement(21481852..21482196) /locus_tag="BRARA_H02702" /codon_start=1 /product="hypothetical protein" /protein_id="RID52078.1" /db_xref="Phytozome:Brara.H02702" /translation="MTLFKRPCDYSKMNKEDPEELLHRRAKFLIYKKLQEADLISHRS PPSLLFLRMKFFRLKVMIGNSLANLHRSIASTIRFGGVRKHSQGGLRAFKKMFHGGAT TGLHRPIFTLEV" gene complement(<21484174..>21485706) /locus_tag="BRARA_H02703" /db_xref="Phytozome:Brara.H02703" mRNA complement(<21484174..>21485706) /locus_tag="BRARA_H02703" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02703" CDS complement(21484174..21485706) /locus_tag="BRARA_H02703" /codon_start=1 /product="hypothetical protein" /protein_id="RID52080.1" /db_xref="Phytozome:Brara.H02703" /translation="MDLTDVFIFLFALYFLNLWWRRYSSARSSQCCLNIPPGPKGWPL VGNLLQVISQRRHFVFLMRDLRKKYGPIFTMQMGQRTMIIVTDEKLIHEALVQRGPTF ASRPPDSPIRLMFSVGKCAINSAEYGPLWRTLRRNFVTELVTPTRVKQCSWIRTWAME NHMKRIQKESFERGYVEVMSQCRLTICSILICLCFGAKISEEKIKNMENVLKDVMLIT SPTLPDFLPVLTPLFRRQVREARELRKTQLESLVPLIKNRRAFVEAKESPNEEMVSPI GAAYVDSLFALKPVERGGELKDEEIVTLCSEIVSAGTDTSATTLEWALLHLVTDQNIQ EKLYEEVVGAVGKNGVVEEDDVAKMPYLEAIVKETLRRHPPGHFLLSHAAVKDTELGG YDIPSGAYVEFYTAWVTENPEIWSDPGEFRPERFLPGGDGVNADWTGTRGVTMLPFGA GRRICPAWSLGILHINLMLARMIHSFKWISVPDSPPDPTETYAFTVVMKNSLKAQIIS RD" gene complement(21488089..21491851) /locus_tag="BRARA_H02704" /db_xref="Phytozome:Brara.H02704" mRNA complement(join(21488089..21488476,21488590..21488684, 21489215..21489648,21490247..21490612,21491487..21491851)) /locus_tag="BRARA_H02704" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02704" CDS complement(join(21488289..21488476,21488590..21488684, 21489215..21489648,21490247..21490612,21491487..21491798)) /locus_tag="BRARA_H02704" /codon_start=1 /product="hypothetical protein" /protein_id="RID52081.1" /db_xref="Phytozome:Brara.H02704" /translation="MSSTKIQIRGQPLFKVLTRNHRMINSVVCGVPIKRQHRVSNVLR TSDPPLGSVPTRTDESQFLRGDGNGRFGRFGGKFVPETLMSPLRDLEDEFDFVLNDHE FQEELTTALRDYVGRETPLYFAGRLTEHYKNISQTTGGGPEIYLKREDLSHCGSHKIN NALGQAMIARRLGCKRVVAATGAGQHGVATAAACAKLSMECTVFMGTTDIEKQSSNVL SMKLLGAQVKSVEGTFKDASSEAIRNWVGNLETTYYLSGTVVGPHPSPLMVREFQSVI GKETRRQAKQLWGGKPDVLVACVGSGSNALGLFHEFLGDEDVRLVGVEAAGLGLDSGK HSATLAVGDVGVYHGSMSYLLQDDQGQILKPHSIGVGLEYPGVGPEISFLKESGRAEF YTATDQEAVQACMLLSRLEGIIPALEASHALAFLDKLVPTLRDGAKVVVNCSGRGDKD LDTLIQRGMPSSLC" gene complement(<21500655..>21503391) /locus_tag="BRARA_H02705" /db_xref="Phytozome:Brara.H02705" mRNA complement(join(<21500655..21500839,21500941..21501086, 21501375..21501808,21502403..21502606, 21503068..>21503391)) /locus_tag="BRARA_H02705" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02705" CDS complement(join(21500655..21500839,21500941..21501086, 21501375..21501808,21502403..21502606,21503068..21503391)) /locus_tag="BRARA_H02705" /codon_start=1 /product="hypothetical protein" /protein_id="RID52082.1" /db_xref="Phytozome:Brara.H02705" /translation="MSSTKIQLQWQPLPRVPARNHRMINSVVFGVPIKSHHRVSDVLS RMSGPSFGSVTSVSVRTNARPFLRGDGNGRFGRFGGKFVPETLMSRLRDLEEELDFVL SDHEFQSRTTGDGPEIYLKREDLGHSGSHKMNNALAQAMIARRLGCSRVVAATGAGQH GVATAAACAKLCLECTVSSLEGTFQDASSEAIRNWVENLETTYYLSGTVVGPHPSPVM VREFQSVIGKETRRQAKRLWGGKPDVLVACVGSGSNALGLFHEFVGDEDVRLVGVEAA GLGLDSGKHSATLAVGDVGVYHGSMSYLLQDDQGQILRPHSIGVGGLYVKYSEPHICL IGRGLEYPGVGPEISFLKETGRVEFYTATDKEAIQACMLLSRLEGIIPALEASHALAF LDKLVPILHDGAKVIVNCSGRGDKDIDTLIQRGMSHYT" gene complement(<21504996..>21505265) /locus_tag="BRARA_H02706" /db_xref="Phytozome:Brara.H02706" mRNA complement(<21504996..>21505265) /locus_tag="BRARA_H02706" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02706" CDS complement(21504996..21505265) /locus_tag="BRARA_H02706" /codon_start=1 /product="hypothetical protein" /protein_id="RID52083.1" /db_xref="Phytozome:Brara.H02706" /translation="MKSRSRNFATLSLMIITVLLRTKIVAGQEALLGKKVLPLCHREC MPICMKVTEATQEICEGACQAGCVQLQGRGTGLSATDQGVDMVIA" gene complement(21505488..21506441) /locus_tag="BRARA_H02707" /db_xref="Phytozome:Brara.H02707" mRNA complement(join(21505488..21505763,21506106..21506441)) /locus_tag="BRARA_H02707" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02707" CDS complement(join(21505689..21505763,21506106..21506432)) /locus_tag="BRARA_H02707" /codon_start=1 /product="hypothetical protein" /protein_id="RID52084.1" /db_xref="Phytozome:Brara.H02707" /translation="MAETNKGRSEEEVARAFVNHYYHLFDNDRSSLSTLYNPTSLLTF EGQKIYGVEDIFNKLKQLPFDQCRHLISTVDSQPSSMAGGCGGILVFVSGSIQLHGED HPLRFSQTFHLVPLPQGSFFIQNEMFRLNYG" gene complement(<21506998..>21508189) /locus_tag="BRARA_H02708" /db_xref="Phytozome:Brara.H02708" mRNA complement(join(<21506998..21507575,21507829..>21508189)) /locus_tag="BRARA_H02708" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02708" CDS complement(join(21506998..21507575,21507829..>21508189)) /locus_tag="BRARA_H02708" /codon_start=1 /product="hypothetical protein" /protein_id="RID52085.1" /db_xref="Phytozome:Brara.H02708" /translation="LTKELVSLRSSSESGVIRLTDDTVSKFITSVSTPRPYSLIIFFN SIDLNSNPLQEFRREFAFVSASFIANNNNRSDVANKVFFCEIDESSDSEAFGLFGIQF LPQICLVDPLMENLQDKTGRMEEEDVDGTAESVVEFIESRTSLTVGPLHRPPLLSKTQ IRVIVVLIAISTPFLIKKVLKGETVLHNSRVWLFCTVLVYFFSVSGTMHNIIKGMPMF VRDHQDSNKLVFFYKGENFQLGAEGLSVGLLYNVVGLLLAYVTNALVRVRSVSGQRVF MMLAMVVSLLAVKKVVYLNSWKTGYEIQTYWPSSWH" gene complement(21508804..21510341) /locus_tag="BRARA_H02709" /db_xref="Phytozome:Brara.H02709" mRNA complement(join(21508804..21509064,21509149..21509243, 21509907..21509965,21510189..21510341)) /locus_tag="BRARA_H02709" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02709" CDS complement(join(21509039..21509064,21509149..21509243, 21509907..21509965,21510189..21510224)) /locus_tag="BRARA_H02709" /codon_start=1 /product="hypothetical protein" /protein_id="RID52086.1" /db_xref="Phytozome:Brara.H02709" /translation="MIIPVRCFTCGKVIGNKWDAYLDLLQLDYTEGDALDALNLVRYC CRRMLMTHVDLIEKLLNYNTLEKSDNS" gene complement(21510873..21512072) /locus_tag="BRARA_H02710" /db_xref="Phytozome:Brara.H02710" mRNA complement(21510873..21512072) /locus_tag="BRARA_H02710" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02710" CDS complement(21511038..21511985) /locus_tag="BRARA_H02710" /codon_start=1 /product="hypothetical protein" /protein_id="RID52087.1" /db_xref="Phytozome:Brara.H02710" /translation="MGSFYEHYEFPYDTNQVNHLYDHNYYDNNQHQQFGLNPMSYNSY DNWNGSEYEYETTASASVVYSVSTMSEPKHLFYDPSYYTTTTYESPPQFSIYCNVQRF NEPEFEEYDPTPYGGGYDIFATYGKPLPPSEKTCYPSSSAGQASPPSPPEIIAPVPLG IYDGGEKKAVKKRVTFSEPLEEAKPLETIKEEENDHQEEAEEEEEDHSLSNGTVQPET VDKVEVKALYVPSGYGLEATDLCEVIFGGYFPCVLRNKRRLEDENRAAEVTCWDSADS DPWKTTSDYLFGDSYPYGYEDGVGRRQFEISSYGYYQRY" gene complement(21512365..21513964) /locus_tag="BRARA_H02711" /db_xref="Phytozome:Brara.H02711" mRNA complement(join(21512365..21512727,21512841..21512906, 21512976..21513073,21513553..21513964)) /locus_tag="BRARA_H02711" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02711" CDS complement(join(21512552..21512727,21512841..21512906, 21512976..21513073,21513553..21513911)) /locus_tag="BRARA_H02711" /codon_start=1 /product="hypothetical protein" /protein_id="RID52088.1" /db_xref="Phytozome:Brara.H02711" /translation="MASFTTSSSLLPKTIPPVSHLTRFPTLSSIRLSGKWNHPLLRSI STAESRRRVAIVKAATVDSDYSSKRSSSNEQRETIMLPGCDYNHWLIVMEFPKDPAPT RDQMIETYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTIDEETSEKFKGLPGVLWV LPDSYIDVKNKDYGGDKYINGEIIPCTYPTYQPKQQRNNSKYQSKRYERKRDGPPPEQ QRKPRQEPAASDSS" gene complement(21514280..>21517917) /locus_tag="BRARA_H02712" /db_xref="Phytozome:Brara.H02712" mRNA complement(join(21514280..21514886,21514965..21515109, 21515187..21515424,21515498..21515708,21515790..21515995, 21516064..21516183,21516645..>21517917)) /locus_tag="BRARA_H02712" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02712" CDS complement(join(21514557..21514886,21514965..21515109, 21515187..21515424,21515498..21515708,21515790..21515995, 21516064..21516183,21516645..21517917)) /locus_tag="BRARA_H02712" /codon_start=1 /product="hypothetical protein" /protein_id="RID52089.1" /db_xref="Phytozome:Brara.H02712" /translation="MKLFFIFSLLLGSCIGANDTIMRRQSLRDGDVIFSEGKRFAFGF FTPGEDSNLRYVGIWYAQISEQTVIWVANRERPVNDTSGLVVFSGRGNLCLYASADET ELLWSTNVSDKISEPMARLSDIGNLVVLDPLTGRTLWESFDHPTDTFVPFMRLGFTRQ DGLDRFLTSWRSPQDPGLGNYTLRLERGAFPQTMMYNGVTPLWRGGSWTGQRWSGVPE KTLKSIFNISFVNNQDEVSNTYGVLDTSVITRVVMRDVGSLQRFTWNAREKRWIGLRP NPQEQCDGYAYCGPNGYCDPLSRDTLECRCLPGYEPKTPEDWNSRDPSGGCTRRDDRG LTCRGREVFVKLERVKIPDTSGASVDMNVTLKECKQTCLGNCSCVAYASGYYESEGGP RGCLTWHGDMLDTRTYLSSGQDLYVRVDKEELARWNRHGSSRKRRLIWILISLIAAVM LATVMLFCFVRKRQKSNRHRRSATTFAPSYIDFGDSLRFEEQLQDKARNRELPLFELT TIAEATDSFSLHNKLGAGGFGSVYKGVLENGMEIAVKRLSTNSGQGMVEFKNEVKLIS KLQHRNLVRILGCCVEMEEKMLIYEYLPNKSLDYFIFHEEHRALLDWPQRIDIIRGVA RGVLYLHHDSRLRIIHRDLKASNVLLDNEMIPKISDFGMARIFGGNQVEGSTKRVVGT YGYMSPEYAMDGQFSIKSDVYSFGVLILEIITGKKNNCCFNEYSNLLGHIWDQWKKGE VTEIIDKLMDVKSYDEREVIKCIHIGLLCVQENASDRVDMSTIVFMLEQNAIDLPSPK HPAFTTGRRIESQDGGSSSGGCLSGENGNSINDVTLTTIHGR" gene complement(21519165..21521335) /locus_tag="BRARA_H02713" /db_xref="Phytozome:Brara.H02713" mRNA complement(join(21519165..21519533,21519600..21519806, 21519884..21519997,21520100..21520358,21521136..21521335)) /locus_tag="BRARA_H02713" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02713" CDS complement(join(21519405..21519533,21519600..21519806, 21519884..21519997,21520100..21520288)) /locus_tag="BRARA_H02713" /codon_start=1 /product="hypothetical protein" /protein_id="RID52090.1" /db_xref="Phytozome:Brara.H02713" /translation="MGSSNRSGEQRKQMAELSKNLKEGERILEPTRRPDGTLRKPIRI RAGHVPEDEVVIYQSKGSLMKKEMASQGPPGYEPDPTPKAKTKAAKRNERKKEKRLQA AVEKGNSSEDGSSNVDKEEAVPIVTSSNGPQSVDVLVSSLEALNLGEAHNPGTAGDDT EKRIRALKKKIRLTEAQQQKTAPKDLKPEQLEKFSKLEEWRQELKALEDKEA" gene <21539939..>21541484 /locus_tag="BRARA_H02714" /db_xref="Phytozome:Brara.H02714" mRNA join(<21539939..21540040,21540424..21540679, 21540769..>21541484) /locus_tag="BRARA_H02714" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02714" CDS join(21539939..21540040,21540424..21540679, 21540769..21541484) /locus_tag="BRARA_H02714" /codon_start=1 /product="hypothetical protein" /protein_id="RID52091.1" /db_xref="Phytozome:Brara.H02714" /translation="MIHGNFLCFSSLMVSILFWFLCSSVTQVRMTDPEALKVRADVVV AKDGTGQFTTVTEAVASAPENIKKRYVIYVKKGVYEEIVNIGKRKDNITIVGDGRDLT ILTGSLSGNHTSHTATLVPCDATTGVDGNGFTAQDIGIQNTAGAEKGQAVALRISGDR SVVYRCRVEAFQDTLYAHSGRQFYRECYITGTVDFICGEAAAVFQQCQIEARKPMKGQ SNMITAQSRSYKTQNSGFTIYKCNITATPDLTPVKGTVKTFLGRPWGPYSTVVVMKSF IDDLIDPAGWAPWDIKDKGRLSTLFYGEFQNYGPGANTTNRVKWKGYKSIKDPKEAAT FTLKNLIGVSWLRFRDVPYEDGL" gene <21551130..>21551696 /locus_tag="BRARA_H02715" /db_xref="Phytozome:Brara.H02715" mRNA <21551130..>21551696 /locus_tag="BRARA_H02715" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02715" CDS 21551130..21551696 /locus_tag="BRARA_H02715" /codon_start=1 /product="hypothetical protein" /protein_id="RID52092.1" /db_xref="Phytozome:Brara.H02715" /translation="MAAYIKKNFLLALMVLFLLVVSSYARFNMMVTKGDIESICNKED INHSLCFEILKPTPEITKLDFSGLANFLINYQSRNTSDTLKQIKMFEGNTTGEDLKSV QLCEEMYDDSLFHNDRALEVLATKDYDTLNIEIGFTLAYMDTCNDGLSTMKPTPQVII TKNSEISSMSAIILVILECFLRKVKIRC" gene complement(21552344..21555503) /locus_tag="BRARA_H02716" /db_xref="Phytozome:Brara.H02716" mRNA complement(join(21552344..21552834,21552910..21553054, 21553131..21553371,21553445..21553655,21553725..21553909, 21554000..21554092,21554180..21555503)) /locus_tag="BRARA_H02716" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02716" mRNA complement(join(21552344..21552834,21552910..21553054, 21553131..21553371,21553445..21553655,21553725..21553915, 21554000..21554092,21554180..21555503)) /locus_tag="BRARA_H02716" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02716" CDS complement(join(21552526..21552834,21552910..21553054, 21553131..21553371,21553445..21553655,21553725..21553909, 21554000..21554092,21554180..21555443)) /locus_tag="BRARA_H02716" /codon_start=1 /product="hypothetical protein" /protein_id="RID52094.1" /db_xref="Phytozome:Brara.H02716" /translation="MVVLVNKRCRFALLLLAAFSCFSLKLCSGGEDTITFSVPIKDSE TVLSKNGVFRFGFFTPVNSTARLRYVGIWYDKIPAQTVVWVANKDTPVKDTSGVVSIS EDGNLVVTDGRNRLLWSTNITSTMAPNATLVQLMDTGNLRLVDSRSNGETLWESFKHP HNTFLPLMSLGTKNENLKLTSWRSYVDPSTGNYTAGLAPFAYPELLIWKDNVPIWRSG PWNGQGFIGLPDVDGFSLSNDYQGTASLSYGNDSFMFHLDPDGVLYQREYSRASTRGL NIATCDAYGKCGPFASCSSRELPPCNCVKGFAPKNQREWDDGNWSDGCVRIVSLRCKK ENNVSSNGGRGKGDGFLKLQKMKVPVSAERSLANENDCAKQCLENCTCTAYAYVQGIG CMVWSGNLVDMQSFLPSGIDLYIRIAHSEKRHNYVAIVICVAFVAAAFLLLACQKFKK RSESRRGPELMFNRMEPVTSENESPYTFKPNEFPLFEFQVLAKATDHFSHRNKLGQGG FGPVYKGKLPEGQEIAVKRLSRASRQGLEELMNEVVVISKLQHRNLVRVLGCCIEGEE RLLVYEYMPNKSLDAYLFDPSKQKILDWKTRFNIMEGICRGLLYLHRDSRLKIIHRDL KASNILLDDSLNPKISDFGLARVFEANEDEVAETRRVVGTYGYMSPEYAMEGYFSEKS DVFSLGVIFLEIISGRRNSHKEENNLNLLAYAWNLWNDGEAASLANPIVFDECFEKEI AKCVQIGLLCVQELANDRPNVSNVIWMLTTENAHLPEAKQPAFIARREVSVAGSSDRS SQMVTINDASLTAITGR" CDS complement(join(21552526..21552834,21552910..21553054, 21553131..21553371,21553445..21553655,21553725..21553915, 21554000..21554092,21554180..21555443)) /locus_tag="BRARA_H02716" /codon_start=1 /product="hypothetical protein" /protein_id="RID52093.1" /db_xref="Phytozome:Brara.H02716" /translation="MVVLVNKRCRFALLLLAAFSCFSLKLCSGGEDTITFSVPIKDSE TVLSKNGVFRFGFFTPVNSTARLRYVGIWYDKIPAQTVVWVANKDTPVKDTSGVVSIS EDGNLVVTDGRNRLLWSTNITSTMAPNATLVQLMDTGNLRLVDSRSNGETLWESFKHP HNTFLPLMSLGTKNENLKLTSWRSYVDPSTGNYTAGLAPFAYPELLIWKDNVPIWRSG PWNGQGFIGLPDVDGFSLSNDYQGTASLSYGNDSFMFHLDPDGVLYQREYSRASTRGL NIATCDAYGKCGPFASCSSRELPPCNCVKGFAPKNQREWDDGNWSDGCVRIVSLRCKK ENNVSSNGGRGKGDGFLKLQKMKVPVSAERSLANENDCAKQCLENCTCTAYAYVQGIG CMVWSGNLVDMQSFLPSGIDLYIRIAHSEKRHNYVAIVICVAFVAAAFLLLACQKFKK RSAPESRRGPELMFNRMEPVTSENESPYTFKPNEFPLFEFQVLAKATDHFSHRNKLGQ GGFGPVYKGKLPEGQEIAVKRLSRASRQGLEELMNEVVVISKLQHRNLVRVLGCCIEG EERLLVYEYMPNKSLDAYLFDPSKQKILDWKTRFNIMEGICRGLLYLHRDSRLKIIHR DLKASNILLDDSLNPKISDFGLARVFEANEDEVAETRRVVGTYGYMSPEYAMEGYFSE KSDVFSLGVIFLEIISGRRNSHKEENNLNLLAYAWNLWNDGEAASLANPIVFDECFEK EIAKCVQIGLLCVQELANDRPNVSNVIWMLTTENAHLPEAKQPAFIARREVSVAGSSD RSSQMVTINDASLTAITGR" gene complement(<21555838..>21557266) /locus_tag="BRARA_H02717" /db_xref="Phytozome:Brara.H02717" mRNA complement(join(<21555838..21556509,21556590..21557003, 21557156..>21557266)) /locus_tag="BRARA_H02717" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02717" CDS complement(join(21555838..21556509,21556590..21557003, 21557156..21557266)) /locus_tag="BRARA_H02717" /codon_start=1 /product="hypothetical protein" /protein_id="RID52095.1" /db_xref="Phytozome:Brara.H02717" /translation="MDPIASVVEKVKLFAKSSHDLVSSHFAFHEPPSPQSPIDILKRL QREAFSDITKLRDRQEKMERVISSKGGPFQETSTNVRGEVDVLGAMLMMGNADEESLD GVRHGLLCRFVFETRLRERDKLVAELVAGVSGNQLSLAKVFYKAEISDWLSAVAVPVG ARFRDIDAALVSSYQGMNLTEVSELGPPLLNQRNDSAIGLTFRKSVMVASLAQSITSL EGEQGLDATNRCFRTFGEVTCHILRDVKLSLLGCHQILSPPSNSLRYSAGAITVPVSF LRRGFAMEPLEMSRDMNHVSSSSSIALKLDSLIDECTRVGGWIEVQNSRENQVIWSVS ITDKPEDEVGWGMSVGGVNHDRFQVESYLKFNIGHRFSLSPGLVYLTNSKGRTVGLML QSHWSL" gene 21558847..21562502 /locus_tag="BRARA_H02718" /db_xref="Phytozome:Brara.H02718" mRNA join(21558847..21559010,21559228..21559285, 21559635..21559882,21559976..21560092,21560178..21560238, 21560325..21560488,21560857..21560960,21561037..21561086, 21561162..21561202,21561284..21561457,21561549..21561584, 21561684..21561751,21561831..21561891,21561987..21562502) /locus_tag="BRARA_H02718" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02718" CDS join(21558888..21559010,21559228..21559285, 21559635..21559882,21559976..21560092,21560178..21560238, 21560325..21560488,21560857..21560960,21561037..21561086, 21561162..21561202,21561284..21561457,21561549..21561584, 21561684..21561751,21561831..21561891,21561987..21562343) /locus_tag="BRARA_H02718" /codon_start=1 /product="hypothetical protein" /protein_id="RID52096.1" /db_xref="Phytozome:Brara.H02718" /translation="MADKEYERTLEETSTWTVAVVCFVLILISLFIEHLIHKIGSWLK KKHKGNLYEALEKVKAELMLLGFISLLLTVAQTPISSLCIPKSIASSMRPCSAAEIAK KELDKKEADKQKSTGKLLLELAESYIPRRSLATKGYNECPKGKVPFVSAYGIHQLHIF IFVLAVVHVIYCIVTYALGKTKMGKWEQWEEETKTIEYQYSNDPGRFRFARDTTFGRR HLNAWSKTSVTLWTVCFFRQFVGSVTKVDYLTLRHGFITAQFAPGSGSEKMPYDFCNY IQRSLEKDFKTVVEISPVIWFVTVLFLLTNLDGLHSYLWLPLIPLIVVLIVGTKLQVI ITKLGLRIQEKGDVVRGAPLVKPGDDLFWFDKPSFMLFLIHLVLFTNAFQLAFFAWSS YEFGISNCFHKEPQDITIRIVFGLFVQILCSYVTLPLYALVTQMGTTMKPTVFNGRVF KMLKKWHHKAQEETQHGRRSESNTPYASRPTTPTHGSSPIHLLHNYNDRSVESFPNPP SPNHHDHYQFYDPESQHKAAESSTHHSTAHGSASMELPPLRPANT" gene <21563770..>21564717 /locus_tag="BRARA_H02719" /db_xref="Phytozome:Brara.H02719" mRNA join(<21563770..21563772,21564045..21564154, 21564240..21564300,21564388..>21564717) /locus_tag="BRARA_H02719" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02719" CDS join(21563770..21563772,21564045..21564154, 21564240..21564300,21564388..21564717) /locus_tag="BRARA_H02719" /codon_start=1 /product="hypothetical protein" /protein_id="RID52097.1" /db_xref="Phytozome:Brara.H02719" /translation="MAILFLISLIFWHLQYEFGFSNCFHKEPQDIAIRIIVGLVVQIL CSYVTLPLYALVIQMGTRMKPTVFNKRVSKMLNKWHHKAQKETQHGRRSESNTPTHDS SPIHLLHNSNNQSGESFPNLRPPSHSEHHDHRQFYDPESQQQAAESSTHESASIELPI IRPAANA" gene complement(<21564892..>21567863) /locus_tag="BRARA_H02720" /db_xref="Phytozome:Brara.H02720" mRNA complement(join(<21564892..21565206,21565279..21565408, 21565483..21565720,21565796..21566006,21566064..21566242, 21566311..21566418,21566579..>21567863)) /locus_tag="BRARA_H02720" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02720" CDS complement(join(21564892..21565206,21565279..21565408, 21565483..21565720,21565796..21566006,21566064..21566242, 21566311..21566418,21566579..21567863)) /locus_tag="BRARA_H02720" /codon_start=1 /product="hypothetical protein" /protein_id="RID52098.1" /db_xref="Phytozome:Brara.H02720" /translation="MGLHESMNPFILALAFFLSSVCLAQERTFFPGNLSDSDTIVSSL GTFRFGFFTPVNSTSRYAGIWYNNIPIQTVIWVANKDKPINDSSGVISLSEDGNLVLT DGQRRVLWSTNVTTQSRANSTVAELLDTGNLVLKDDNSETTLWESFRHPTDSWLPNMV VGTNARASGENITITSWKSPSDPSPGSYTAALVLAAYPELFIMNKDDNNATVWRSGPW NGQMFNGLPDVEPGVIFLFRFTVNDDTNGSVTMSYANDSTLRYLYMDYRGSVIRRDWS EARRNWTVGLQVPASECDIYRRCGPFTTCNPRKNPPCSCIKGFRPRSLVEWNNGNWSG GCTRRTPLQCERQNNNGSADGFTRLRRMKLPDFAIRSEASEPECLRTCLRTCSCIACA HGLGYGCMIWNGSLVDSQELPAGGMDLYIRLAHSEIKTPDRRPVIIASSLAGGILVVV ACGLMARRLVMKRRARKKGRDAEQMFNRVEALAGGDKAKLKELPLFEFQVLAAATNNF SLRNKLGQGGFGPVYKGKLQEGQEIAVKRLSRASGQGLEELVNEVVVISKLQHRNLVK LLGCCIAGDERMLVYEFMPKKSLDYYLFNPVKAKHLAWETRFNIINGICRGLLYLHRD SRLRIIHRDLKASNILLDENLVPKISDFGLARIFPGNKDEANTRRVVGTYGYMSPEYA MGGLFSEKSDVFSLGVILLEIVSGRRNSNSTLLAYAWSIWNEGEITELVDPVIFEQVF EKEIKKCVHIALLCVQEAANDRPSVATMCSMLSSEIVDIPEPKQPAFITRNVVASEEA GSSENSEPKASINNVTITDVSGR" gene complement(21568757..21570986) /locus_tag="BRARA_H02721" /db_xref="Phytozome:Brara.H02721" mRNA complement(join(21568757..21570026,21570910..21570986)) /locus_tag="BRARA_H02721" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02721" mRNA complement(join(21568757..21570054,21570910..21570986)) /locus_tag="BRARA_H02721" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02721" CDS complement(21568908..21570017) /locus_tag="BRARA_H02721" /codon_start=1 /product="hypothetical protein" /protein_id="RID52099.1" /db_xref="Phytozome:Brara.H02721" /translation="MSENMERFSELSVSPLIPSLPDDATLDIVARVPRSHYPTLSLVS KSFRKLIASSKLYKRRSQLGITQHRLFAVLRNSNTGDFSLYILHRKLNCTNRLVLVLP LPPMSSRGSYVPVGSKVYAFNDIDALSIDCTSHTVHPIADIPQRMAYKVVNAVGKKVY VLGDSFCHVIEEGGGWWKGWRKAVTVFDAETQSWGAKLVKEDMAIGLGPFWSDSVVME GKVYMKGCINRNSFVYGPVGKKWEVMDEVMNSKDWEGACVVDDVLYYHDCSEKAVLRA FDPKQRCWSVVSGLEEFLAAETAKSKWCDAVSYGEKKLALFFPKKHEGSKVICCAEIA LERRQGGEIWGKMESCDVVVDDGVSELVKCVAVTV" CDS complement(21568908..21570017) /locus_tag="BRARA_H02721" /codon_start=1 /product="hypothetical protein" /protein_id="RID52100.1" /db_xref="Phytozome:Brara.H02721" /translation="MSENMERFSELSVSPLIPSLPDDATLDIVARVPRSHYPTLSLVS KSFRKLIASSKLYKRRSQLGITQHRLFAVLRNSNTGDFSLYILHRKLNCTNRLVLVLP LPPMSSRGSYVPVGSKVYAFNDIDALSIDCTSHTVHPIADIPQRMAYKVVNAVGKKVY VLGDSFCHVIEEGGGWWKGWRKAVTVFDAETQSWGAKLVKEDMAIGLGPFWSDSVVME GKVYMKGCINRNSFVYGPVGKKWEVMDEVMNSKDWEGACVVDDVLYYHDCSEKAVLRA FDPKQRCWSVVSGLEEFLAAETAKSKWCDAVSYGEKKLALFFPKKHEGSKVICCAEIA LERRQGGEIWGKMESCDVVVDDGVSELVKCVAVTV" gene 21571942..21575141 /locus_tag="BRARA_H02722" /db_xref="Phytozome:Brara.H02722" mRNA join(21571942..21573276,21573364..21573474, 21573627..21573796,21573874..21574084,21574156..21574393, 21574469..21574619,21574706..21575141) /locus_tag="BRARA_H02722" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02722" CDS join(21572001..21573276,21573364..21573474, 21573627..21573796,21573874..21574084,21574156..21574393, 21574469..21574619,21574706..21575014) /locus_tag="BRARA_H02722" /codon_start=1 /product="hypothetical protein" /protein_id="RID52101.1" /db_xref="Phytozome:Brara.H02722" /translation="MSTLYFPYLLVLTMFSTYSFADIITTSSPLSIRQTLSSSDGSFE LGFFSPNNSSQNLQYLGIWFKRITPHVYVWVANRENPVTSLTANLTISSNGTLILLDE KQELLWSSGEKPLTSNRCHAELLNSGNLVVVDNVTGTYLWQSFDHLTDTMLPLSSLMY DLPNNTRRVLTSWTSNTDPSPGEFLAELTPEVPPQGLVWKGSSPYWRSGPWAETRFSG IPEMDGTYVNPLTMVQDVVNGTGVLTFCALRNFDVSYIKLTPDGSLDIHRSNGGTNGW IKHFEGPRSSCDLYGACGPNGLCMRSISITTPTCKCLKGFVPKSDDEWSSGNWTRGCV RRTELSSSCQGKDTSDGFYRVANMKPPDSYELVSFGDAEECRQGCLRNCSCLAFAYIK GIGCLVWNKELLDMVQFSEEGEFLFIRLARSELAGSKRINIIIVSAISLCMFIILGLA AFWCWRYRLKQNGEARVAMETSEDSWKSDLKLQDVSGLTFFEMHTIQTATDNFSISNK LGQGGFGTVYKGKLQDGKEIAIKRLSSTSAEGTEEFMNELKLISKLQHRNLVRLLGYC IEGEEKLLVYEFMVNKSLDTFLFDLKKKLEIDWTKRFNIIQGIARGLVYLHRDSFLRV VHRDLKASNILLDEKMNPKISDFGLARMFQGTQNQDSTGRVFGTLGYMSPEYAWTGTF SEKSDIYSFGVLMLEIISGKEISSFSYGKENKNLLAHAWESWSETGGAGLLDQDIADS ESGSVEAVMRCVQISLLCVQHQAMDRPNIKQVVTMLTSTMDLPKPKQPMFVLDTSDED SLSLKSNDDKDLFSDDENKPVQE" gene <21579190..>21580404 /locus_tag="BRARA_H02723" /db_xref="Phytozome:Brara.H02723" mRNA <21579190..>21580404 /locus_tag="BRARA_H02723" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02723" CDS 21579190..21580404 /locus_tag="BRARA_H02723" /codon_start=1 /product="hypothetical protein" /protein_id="RID52102.1" /db_xref="Phytozome:Brara.H02723" /translation="MCLSTETPELPMHVVTDEILTRLPAKSLMRFKCVSKLWLSLIRS RYFSNRFSKVPSPRLYMCLWDQATIGDNETYTLAPLDTRPSTSTFVVDDNLPDPAIGG YILQNLGGFMIYVYRREPHIYNPATGQLVGFPLRSSDHIIVPPGGEKVVTYYFGYDPL SHKYKAVSLISVFLEETKQVISSVNYIFSQKNKLGYWNKAALTPTDFCPHMPSKGGIS IDAIIYYLALVDRDRFVVVSFDIRSEEFNMIQVPKLHEDAPVDTPDLTLLELGGKATL CDPTNLRDKGVLALWTVEDVRSKKWLCKSLVLKPSQLPLVDSITFRVKGTTQNGKVFL IPKDFLSPFHILSYDMQNNDMRKIEIKGIPDVWFNMGEEADLHFDVMFMDQSESPIYI DFLSCFDWGDGD" gene complement(21581392..21582700) /locus_tag="BRARA_H02724" /db_xref="Phytozome:Brara.H02724" mRNA complement(join(21581392..21581927,21582138..21582700)) /locus_tag="BRARA_H02724" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02724" CDS complement(join(21581495..21581927,21582138..21582601)) /locus_tag="BRARA_H02724" /codon_start=1 /product="hypothetical protein" /protein_id="RID52103.1" /db_xref="Phytozome:Brara.H02724" /translation="MNDLFSNSFKRNQAQFGDVEAGQETMNLDKFFEDVENVKDDMKG VETFYKKLQDSNEECKTVHNAKKVKELRAKMDADVGQVLKRVKIIKQKLEALEKANAN SRNVPGCGPGSSTDRTRSSVVSGLGKKLKDLMDSFQSLRARMNDEYKETVERRYFTIT GEQADEQTIDNLIASGESENFLQKAIQEQGRGQIMDTISEIQERHDAVKEIEKNLLEL HQVFLDMAALVEAQGQQLNNIESHVAKASSFVRRGTDQLQDAREYQKSSRKWTCYAII LFIVVFILLLIPALPHIMLMLK" gene complement(21583447..21585004) /locus_tag="BRARA_H02725" /db_xref="Phytozome:Brara.H02725" mRNA complement(join(21583447..21583788,21583864..21584277, 21584354..21584621,21584855..21585004)) /locus_tag="BRARA_H02725" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02725" CDS complement(join(21583582..21583788,21583864..21584277, 21584354..21584620)) /locus_tag="BRARA_H02725" /codon_start=1 /product="hypothetical protein" /protein_id="RID52104.1" /db_xref="Phytozome:Brara.H02725" /translation="MVDLSTLSPSEITVMGSVFCVLLSMHFTMQLISQHLFYWKNPKE QRAILIIVLMAPVYSISSFLGLLDAKGSKPLFMFLVAVKDCYEALVIAKFLALMYSYL NISMSGQIIPDEIKGREIHHSFPVTLFVPRTTHLDHSTLTQLKHWTWQFCVIRPVCSF LMISLQLLGVYPPWLSWIFTVILNVSVYLALYSLVKFFHVFAKELEPHKPLTKFMCIQ GIVFFCFWQGVVLEVLVGLGLIKSHHFWLEVEQLGEALQNVLVVLEMIVFSVMQQYAF HVAPYSGETEAKMRMNKRD" gene 21587868..21590356 /locus_tag="BRARA_H02726" /db_xref="Phytozome:Brara.H02726" mRNA join(21587868..21588081,21588256..21588436, 21588564..21588671,21588830..21588885,21588968..21589051, 21589133..21589228,21589323..21589387,21589511..21589584, 21590012..21590356) /locus_tag="BRARA_H02726" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02726" CDS join(21587956..21588081,21588256..21588436, 21588564..21588671,21588830..21588885,21588968..21589051, 21589133..21589228,21589323..21589387,21589511..21589584, 21590012..21590133) /locus_tag="BRARA_H02726" /codon_start=1 /product="hypothetical protein" /protein_id="RID52105.1" /db_xref="Phytozome:Brara.H02726" /translation="MASAFRSPTRLIFVFGVMVLCSVSPVHSWSKEGHILTCRIAQKL LEAGPAHVVENLLPDYAKGDLSALCVWPDQIRHWYKYRWTSPLHFIDTPNHACSYEYS RDCHDQHGLKDMCVDGAIQNFTSQLQHYGEGTSDRRYNMTEALLFLSHFMGDIHQPMH VGFTSDEGGNTIDLRWYRHKSNLHHVWDREIILTALQEYYDKDLDLLQEDLEKNITNG LWHDDLSSWTECNDLIACPHKYASESIQLACKYGYEGVKSGETLSEDYFDTRMPIVMK RIVQGGVRLAMILNRVFSDTHADVAAT" gene complement(<21590580..>21590759) /locus_tag="BRARA_H02727" /db_xref="Phytozome:Brara.H02727" mRNA complement(<21590580..>21590759) /locus_tag="BRARA_H02727" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02727" CDS complement(21590580..21590759) /locus_tag="BRARA_H02727" /codon_start=1 /product="hypothetical protein" /protein_id="RID52106.1" /db_xref="Phytozome:Brara.H02727" /translation="MEGRGKVSMINGGNGTRRDAREQGGRPIPKRGQVKVGILLGFAN SFASIFGSTKNFLGS" gene complement(21592180..>21594906) /locus_tag="BRARA_H02728" /db_xref="Phytozome:Brara.H02728" mRNA complement(join(21592180..21592514,21592620..21592646, 21592805..21592900,21593175..21593228,21593293..21593359, 21593432..21593497,21593583..21593699,21593762..21593863, 21593966..21594084,21594152..21594235,21594325..21594435, 21594515..21594550,21594647..21594748, 21594838..>21594906)) /locus_tag="BRARA_H02728" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02728" CDS complement(join(21592374..21592514,21592620..21592646, 21592805..21592900,21593175..21593228,21593293..21593359, 21593432..21593497,21593583..21593699,21593762..21593863, 21593966..21594084,21594152..21594235,21594325..21594435, 21594515..21594550,21594647..21594748,21594838..21594906)) /locus_tag="BRARA_H02728" /codon_start=1 /product="hypothetical protein" /protein_id="RID52107.1" /db_xref="Phytozome:Brara.H02728" /translation="MEHGLPSTTKPSLVKKRNNPQDVSKSWWRIKPFLALICTALLIF WYKTTHIQFEDTDLEESDYPIDMTKESEPVDQKLKGLPRGIIQPRSDLELKPLWSSSS LSSKGVEMATRNLLAIPVGIKQKSNVDAIVKKFLPANFTVVLFHYDGNMDQWLDLEWS SKAIHIVAQDQTKWWFAKRFLHPDVVSIYDYIFIWDEDLGVENFSPESYLEIVKSEGL EISQPALDSNSSGIHHKITVRSSTEIFHRRVYISKGNRKCSNGSVDPPCTGFVEGMAP VFSRAAWFCTWNLIQNDLVHGWGMDMKLGYCAQGDRTKNVGIVDSEYIFHQGIQTLGE SGHSEKKRHGHDSRTSIRRQSTWELQTFEERWNKAVEQDKNWIQSNNRRLRHKRTQET ATPA" gene complement(21595769..21597846) /locus_tag="BRARA_H02729" /db_xref="Phytozome:Brara.H02729" mRNA complement(join(21595769..21596069,21597462..21597846)) /locus_tag="BRARA_H02729" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02729" CDS complement(join(21595899..21596069,21597462..21597776)) /locus_tag="BRARA_H02729" /codon_start=1 /product="hypothetical protein" /protein_id="RID52108.1" /db_xref="Phytozome:Brara.H02729" /translation="MRELERLKTSTNHHPWPPIGAPMNLRREEPCKSRFDENSVTAVS FGFVATAILISMFLVMAIFEKLIRTTTTNPDSSSGRILSGMDSRVGLSGSAASKLGYQ SPKMTVYANGVSVLMPGDDIPTFIAHPVPVPRPPQHISQSQHQHSSSRDSSNSNSIQE C" gene <21602227..>21602415 /locus_tag="BRARA_H02730" /db_xref="Phytozome:Brara.H02730" mRNA <21602227..>21602415 /locus_tag="BRARA_H02730" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02730" CDS 21602227..21602415 /locus_tag="BRARA_H02730" /codon_start=1 /product="hypothetical protein" /protein_id="RID52109.1" /db_xref="Phytozome:Brara.H02730" /translation="MEAVKKMFRRVKQAVKKTKKKQKQTMFQYDPWSYALNFDDGEEA PQRFSSKITLIYIVSVKF" gene complement(21603980..21605169) /locus_tag="BRARA_H02731" /db_xref="Phytozome:Brara.H02731" mRNA complement(21603980..21605169) /locus_tag="BRARA_H02731" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02731" CDS complement(21604112..21605080) /locus_tag="BRARA_H02731" /codon_start=1 /product="hypothetical protein" /protein_id="RID52110.1" /db_xref="Phytozome:Brara.H02731" /translation="MSDHDLSPEINFWGDTPEQDYFTLKGITGSKSYFTSPRGLNLFT RSWLPSSSSSSPPRGIIFMVHGYGNDISWTFQSTAIFLAQNGFACFALDIEGHGRSDG VRAYVPSVDLVVHDLISFLDSIKKQPKYQTSPRFLFGESMGGAISLLIHFLDPVAFDG AVLVAPMCKISDKVRPKWPIDRILITISSLVPTWAIVPTEDLLEKCIKVEEKKPIAKR NPMRYGGKPRLGTVMELLRVTDYLGKKLKDVSVPFLVLHGSADVVTDPEVSRELYESA KSEDKTLKIYDGMMHSMLFGETDENIEIVRGDIVGWLNNRCGGDRT" gene 21611312..21614533 /locus_tag="BRARA_H02732" /db_xref="Phytozome:Brara.H02732" mRNA join(21611312..21611641,21612023..21612130, 21612227..21612322,21612441..21612533,21612623..21612714, 21612890..21613186,21613339..21613472,21613566..21613661, 21614045..21614533) /locus_tag="BRARA_H02732" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02732" CDS join(21611347..21611641,21612023..21612130, 21612227..21612322,21612441..21612533,21612623..21612714, 21612890..21613186,21613339..21613472,21613566..21613661, 21614045..21614297) /locus_tag="BRARA_H02732" /codon_start=1 /product="hypothetical protein" /protein_id="RID52111.1" /db_xref="Phytozome:Brara.H02732" /translation="MDYHTKNMLISLCFTTLLILLPVVTCTRQHRSNSPKQRSLLANE QDLVTNLPGQPHVSFKHYAGYVPVDESNGRAMFYWFFEAMDLPKEKPLVLWLNGGPGC SSVGYGATQEIGPFLVDTKENGLTFNPYAWNKEANMLFLESPVGVGFSYSNTTSDYQK LDDDFTARDAFTFLCNWFEKFPEHKGNTFYIAGESYAGKYVPELAEVVYDNNKKNSSS SLHINLKGILLGNPETSDAEDWRGWVDYAWSHAVISDETHRIITRTCNFSSDNTWSDD ECSEAVAEVQKQYDEIDIYSLYTSVCIGDSARSSYLDSAQFKTNAHISSKRVPPRRLG GYDPCLDDYASTFYNRADVQKALHASDGVNLKNWKICNMEIFHNWTYLKPSVLPIYEK LIAGGLRIWVYSGDTDGRVPVLATRYSLSALELPIKTAWRPWYHEKQVSGWLQEYEGL TFATFRGAGHAVPSFKPSSSLAFFSAFLTGIPPPPSR" gene 21615344..21617191 /locus_tag="BRARA_H02733" /db_xref="Phytozome:Brara.H02733" mRNA join(21615344..21615528,21615626..21616239, 21616737..21617191) /locus_tag="BRARA_H02733" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02733" CDS join(21615397..21615528,21615626..21616239, 21616737..21617187) /locus_tag="BRARA_H02733" /codon_start=1 /product="hypothetical protein" /protein_id="RID52112.1" /db_xref="Phytozome:Brara.H02733" /translation="MATPRSPATDFFGISKTACKAYKSLVTKLHPLSSHRKSDSNADS ANHLEEKFAEEDDLIAARKGLRLQSMDDSSVFKRRSSSLRSNSTSSRRSHTPQARPTY LSSSSSSSSNRRSFFSRSTSRGHKNNASPMTSSPSPTGKDQTLADLFGDVEGLTSPPP PMITKSRSKRDKENSGSVAFRKSKSTRDTAGSMGRSISRRSSTPIVFSQSTPPKKPPA VEKMLECTLEELCHGGVKNIKITRDVITDEGLIMKQEETLRVNIKPGWKKGTKITFEG VGNEKPGYLPEDIIFVVEEKRHSLFKSRGDDLEIAVEIPLLKALTGCNLSVPLLSGES MSISVGEVIFHGFEKAIKGQGLPNGKEDGKRGDLIITFLVSFPRELSEEHRSMAYEVL KDCSWA" gene complement(21617722..21620005) /locus_tag="BRARA_H02734" /db_xref="Phytozome:Brara.H02734" mRNA complement(join(21617722..21618263,21618347..21618470, 21619413..21620005)) /locus_tag="BRARA_H02734" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02734" CDS complement(join(21617884..21618263,21618347..21618470, 21619413..21619871)) /locus_tag="BRARA_H02734" /codon_start=1 /product="hypothetical protein" /protein_id="RID52113.1" /db_xref="Phytozome:Brara.H02734" /translation="MTQGEVQLQPPDSQKLSDSAPLLGASPSSSSSSSASTVDHEINA EEEEDDLESGGSASAPCCRICLENDSDLLGDELISPCMCKGTQQFVHRSCLDHWRSVK EGFAFSHCTTCKAQFHLRVEPFEDNNTWRRKAKFRLFVARDVLLVFVAVQTVIAVMAG FAYIMDKDGEFRNSFNDDWDRILSKHPIPFYYCIGVVTFFVLIGFLGLILHCSSLNGN DPRMDGCQNCCYGWGILDCFPASMEACFALVIVFVVVFAILGLAYGFLAATMAIQRIW QRHYHILTKRELTKEYIVEDLHGCYTPPKLDAEHEARLKMLKLM" gene complement(21620402..21621080) /locus_tag="BRARA_H02735" /db_xref="Phytozome:Brara.H02735" mRNA complement(21620402..21621080) /locus_tag="BRARA_H02735" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02735" CDS complement(21620560..21621006) /locus_tag="BRARA_H02735" /codon_start=1 /product="hypothetical protein" /protein_id="RID52114.1" /db_xref="Phytozome:Brara.H02735" /translation="MASTAFSSAIVNTSFLRRQQTPLSLRSLPVANTQSLFGLKSSTA RGGRVTAMATYKVKFITPEGEQEVECEDDVYVLDAAEEAGIDLPYSCRAGSCSSCAGK VVSGFIDQTDQSFLDDDQMSEGYVLTCVAYPTSDVVIETHKEEAIV" gene complement(21621595..21625565) /locus_tag="BRARA_H02736" /db_xref="Phytozome:Brara.H02736" mRNA complement(join(21621595..21621989,21622077..21622350, 21622581..21622954,21623026..21623120,21623278..21623444, 21623586..21623720,21623907..21624059,21624141..21624238, 21624332..21624349,21624653..21624757,21624844..21625036, 21625281..21625565)) /locus_tag="BRARA_H02736" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02736" CDS complement(join(21621922..21621989,21622077..21622350, 21622581..21622954,21623026..21623120,21623278..21623444, 21623586..21623720,21623907..21624059,21624141..21624238, 21624332..21624349,21624653..21624757,21624844..21625036, 21625281..21625358)) /locus_tag="BRARA_H02736" /codon_start=1 /product="hypothetical protein" /protein_id="RID52115.1" /db_xref="Phytozome:Brara.H02736" /translation="MPSSSAILLLLVSLLATALASDSDHKYQADEQVTLWVNKVGPYN NPQETYNYYSLPFCRPSQNDVHKWGGLGEVLGGNELIDSEIPIKFLKNVDRNVICHLE LDEAKVNHFKDAIESSYWFEFFMDDLPLWGFVGELPLDKNSENGKHVLYTHKSIIVKY NKDQIIHVNLTQDNPTPLEAGRTVDLTYSVQWIPTDVTFARRFDVYLDYPFFEHQIHW FSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGD VFRPPWSLVLLSAVVGTGAQLALLVLLVILMAIVGTLYVGRGAIVTTFIVCYALTSFV SGYVSGGMYSRSGGKHWIKCMVLTASLFPFLCFGIGFLLNTIAIFYGSLAAIPFGTMV VMFVIWGFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGG LLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILVIVTVCVTIVGTYFLLNAENY HWQWTSFFSAASTAVYVYLYSIYYYYVKTKMSGFFQTSFYFGYTMMFCLGLGILCGAV GFLGSNLFVRRIYRNIKCD" gene 21626507..21628948 /locus_tag="BRARA_H02737" /db_xref="Phytozome:Brara.H02737" mRNA join(21626507..21626920,21627051..21627125, 21627194..21627295,21627485..21627538,21627611..21627703, 21627834..21627926,21628094..21628198,21628291..21628389, 21628477..21628948) /locus_tag="BRARA_H02737" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02737" CDS join(21626801..21626920,21627051..21627125, 21627194..21627295,21627485..21627538,21627611..21627703, 21627834..21627926,21628094..21628198,21628291..21628389, 21628477..21628800) /locus_tag="BRARA_H02737" /codon_start=1 /product="hypothetical protein" /protein_id="RID52116.1" /db_xref="Phytozome:Brara.H02737" /translation="MDKYELVKDIGAGNFGVARLMKVKNSKELVAMKYIERGPKIDEN VAREIINHRSLRHPNIIRFKEVVLTPTHLAIAMEYAAGGELFERICSAGRFSEDEARY FFQQLISGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTV GTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTIQKIMA VQYKIPDYVHISQDCKHLLSRIFVANSLQRITIAEIKKHPWFMKNLPRELTETAQAAY FRKENPTFSLQTTEEIMKIVDDAKTPPPVSRSIGGFGWGDEEGKEEEEVDEEEVVEDE EDEYDKTVKQVHASGEVKIT" gene 21629115..21636581 /locus_tag="BRARA_H02738" /db_xref="Phytozome:Brara.H02738" mRNA join(21629115..21629266,21629699..21629794, 21629877..21630044,21630426..21630483,21630669..21631082, 21631334..21631507,21631598..21631728,21631995..21632361, 21632437..21632568,21632647..21632733,21632850..21632977, 21633173..21633293,21633392..21633543,21633659..21633794, 21633883..21634006,21634076..21634146,21634242..21634310, 21634402..21634524,21634605..21634763,21634851..21634931, 21635092..21635253,21635371..21635426,21635545..21635641, 21635715..21635809,21635890..21635967,21636088..21636581) /locus_tag="BRARA_H02738" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02738" CDS join(21629772..21629794,21629877..21630044, 21630426..21630483,21630669..21631082,21631334..21631507, 21631598..21631728,21631995..21632361,21632437..21632568, 21632647..21632733,21632850..21632977,21633173..21633293, 21633392..21633543,21633659..21633794,21633883..21634006, 21634076..21634146,21634242..21634310,21634402..21634524, 21634605..21634763,21634851..21634931,21635092..21635253, 21635371..21635426,21635545..21635641,21635715..21635809, 21635890..21635967,21636088..21636433) /locus_tag="BRARA_H02738" /codon_start=1 /product="hypothetical protein" /protein_id="RID52117.1" /db_xref="Phytozome:Brara.H02738" /translation="MTNSNQVSRSHLPEVQKPKAPQTNWSEHAKALEDPSSRTKHLSS GFLYALESQKPRKSSDMAARSIAFPSVNAHTLAPPQIAKAWRALSSLSLNKTYLRPGI TPPVDDGGTNGSYSARERSTVKVTCSTDGSFYSNNQQNQSQMGVPGTGRSFHSFPPPV PGDGKNFAEKFRRINDETREPETSSAHLNGVEKPFKNSTFAAEQLGSGEACLDEIDDD ILQNIDVDQIMMEHYQSTSTPPSSVSSLPSRTPPVDRSASRREEECSLPPELCSNCSH GIKLGLCPEASTHLEQMKNMLIAISNELLDDDTDLSPDRIQELRQERLLLKKQIQQLE DHIRDKEKQKSQFLSSTATRASQYETPKSTNLRFDHPQTDSRAHFNEQGRYASDSWNM PKDSSFSVDRYGLSSAPVEREQYVPRIIEVTYTEGSNDQKWSSRDFPWTRKLEVSNKK VFGNHSFRPNQREIINATMSGSDVFVLMPTGGGKSLTYQLPALICQGITLVISPLVSL IQDQIMNLLQANIPATSLSAGMEWSEQLKIFQELSSEHSKYKLLYVTPEKVAQSDSLL RHLDNLNSRGLLARFVIDEAHCVSQWGHDFRPDYQSLGILKQKFPNIPVLALTATATA SVKEDVVQALGLVNCVVFRQSFNRPNLLYSVVPKTKKCLEDIDKFIKENHFDECGIIY CLSRNDCEKVAQKLQEFGHKAAFYHGSIEPTQRALVQKQWSKDEVNIICATVAFGMGI NKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQRASCVLYYGYGDYIRVKHMISQVGVE QSPMANGYNRVASSGRLLETNTENLLRMVRYCENEVDCRRFLQLVHFGEKFDSTNCKR TCDNCSSSQSLIDKDVTLITRQLVELVKQTGERFSSSHILEVYRGSLNQMVKKHRHET LQFHGAGKHLTKLEVSRILHYLVTEDILVEDVRKSDMYGSVSSLLKVNKSKAASLFSG SQTIMMRFPSSVKVLKPCKAAPTPAKAPLVSADAPPEDVNLSAIMYTALRKLRTLLVK EAPDGVMAYHIFGNATLQQMSKKIPRTKEELLEINGLGKAKVLKYGERLLETIESTVN EYYGTSKKEESMISPDSGKRRRDENISPNVTEEDDDFAESSSQSCKKTVRSKSSEVLH GECVAGDGVGMVMEKLDFDFEDEDGSEIRPEGRVLPW" gene <21637221..>21640746 /locus_tag="BRARA_H02739" /db_xref="Phytozome:Brara.H02739" mRNA join(<21637221..21637706,21638131..21638561, 21638580..21638671,21639696..21639919,21640017..21640139, 21640247..21640438,21640546..>21640746) /locus_tag="BRARA_H02739" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02739" CDS join(21637221..21637706,21638131..21638561, 21638580..21638671,21639696..21639919,21640017..21640139, 21640247..21640438,21640546..21640746) /locus_tag="BRARA_H02739" /codon_start=1 /product="hypothetical protein" /protein_id="RID52118.1" /db_xref="Phytozome:Brara.H02739" /translation="MKKKNITAIVKELNVRMKKEPITLRYIHILVSVVFFSSAASLLF LLALYFNQQFQTSLFLVKDFSSNPLTSLPPPHSSGNDVADEELMRRAEMASRGVVMNE TNPKVAFMFLTRWNLPLSPLWEMFFKGHEGFYSIYVHSSPEFTEEPLESSVFYKKRIP SKAVEWGESSMIDAERRLLSHAILEPSNARFVILSETCIPLFNFTTVYTYLMRATSSF LGSFDDPRPIGRGRYNPRMFPHLSLSDWRKGNQWFEISRKVAAEIVSDHRYYALFKEN CRPPCYMDEHYLPTLVNKICPEMNSNRTVTGGSHPARFVREDIRVGFLDWIRFGSNCS YEVQMYHEKAISTLKYNMRFGRIYNEKWARIEDDQYSFQSDCLKKMELDKITFANNAY RDKKAVTSFSSGKREINIHNLNHRMLHEAKSMDGERSLLKMLNPSKDGDSDFSINQIE DQMWELQRWMKWPEYYNNPGTMDREECERKLKELEWVKYQGFVNAPCKASLWNSLPST KVLRNQIQSMLTRDEEKRKMVLVRRKKIESKERKIKKAEKEIKSMNKMVEVISNRKQR ALEAISHEKSCVVIET" gene <21641391..>21642110 /locus_tag="BRARA_H02740" /db_xref="Phytozome:Brara.H02740" mRNA join(<21641391..21641414,21641522..21641597, 21641692..>21642110) /locus_tag="BRARA_H02740" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02740" CDS join(21641391..21641414,21641522..21641597, 21641692..21642110) /locus_tag="BRARA_H02740" /codon_start=1 /product="hypothetical protein" /protein_id="RID52119.1" /db_xref="Phytozome:Brara.H02740" /translation="MDSSSNHMVSLLKIESPTSGWVKPLKDLLKTIDGVDFKIDKRSK TVYIYGKTNPEIILEKIAKAGQKAEIIWSNHERKKPLDNQRGHPMQQCNNYHQQYYNG PPPPWMYQQPLPYQSYALPPPYPLQLNPPPPLPVPSGSQPKEPAAKSFPPTPPPPKNF TMGDLHPGCGIM" gene 21643207..21645599 /locus_tag="BRARA_H02741" /db_xref="Phytozome:Brara.H02741" mRNA join(21643207..21643317,21643383..21643462, 21643543..21643659,21643753..21643856,21643929..21643995, 21644183..21644316,21644398..21644446,21644581..21644652, 21644737..21644838,21644931..21644998,21645069..21645221, 21645301..21645599) /locus_tag="BRARA_H02741" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02741" CDS join(21643308..21643317,21643383..21643462, 21643543..21643659,21643753..21643856,21643929..21643995, 21644183..21644316,21644398..21644446,21644581..21644652, 21644737..21644838,21644931..21644998,21645069..21645221, 21645301..21645358) /locus_tag="BRARA_H02741" /codon_start=1 /product="hypothetical protein" /protein_id="RID52120.1" /db_xref="Phytozome:Brara.H02741" /translation="MATMARSFLQAISKDEAVAPPLRVVQIEGLAVLKIIKHCKEFAP TLVTGQLLGLDVGSVLEITNCFPFPVRDEDEEVEADGANYQLEMMRCLREVNVDNNTV GWYQSTVLGSYQTVELIETFMNYQENIKRCVCIIYDPSKADLGVLALKALKLSDSFME LYRGGNFTGEKLRERNFSWMDIFEEIPIKVSNSALVSAFMTELETDTPVSQGDYDRLH SSTTPFLENNMEFLIKCMDDLSMEQQKFQYYYRNLSRQQAQQQAWLQKRRTENMARKS AGEEPLPEEDPSNPIFKPIPEPSRLESFLITNQVSNFCGQINGVAGQNFSRLYLTKAL HDN" gene 21646142..21649677 /locus_tag="BRARA_H02742" /db_xref="Phytozome:Brara.H02742" mRNA join(21646142..21646403,21646531..21646694, 21647090..21647189,21648064..21648120,21648277..21648382, 21648489..21648571,21648697..21648758,21648875..21648971, 21649086..21649677) /locus_tag="BRARA_H02742" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02742" CDS join(21646582..21646694,21647090..21647189, 21648064..21648120,21648277..21648382,21648489..21648571, 21648697..21648758,21648875..21648971,21649086..21649142) /locus_tag="BRARA_H02742" /codon_start=1 /product="hypothetical protein" /protein_id="RID52121.1" /db_xref="Phytozome:Brara.H02742" /translation="MDNHSLPPSTSTTGLAFPSLVMDWSAEEQYVLENGLAKLKDEPK FSKYVKIAAALPDKTVRDVALRCRWMTRKRRKREENSGGKDITNRKVVDTSPELNMLA NLPQQNALYAMNNNYHSTHMPFEGISDAVLDLLQQNGQALSQISYNLSAFKLQDNISL FYQARNNISAILTDMKEMPGIMSRMPPLPVSINDDLASRLLPSTTQPISYTMQPNIHM KQEPRS" gene <21650137..>21650394 /locus_tag="BRARA_H02743" /db_xref="Phytozome:Brara.H02743" mRNA <21650137..>21650394 /locus_tag="BRARA_H02743" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02743" CDS 21650137..21650394 /locus_tag="BRARA_H02743" /codon_start=1 /product="hypothetical protein" /protein_id="RID52122.1" /db_xref="Phytozome:Brara.H02743" /translation="MHRTIQALEAKTKLALADAARFKNGNQAIATCYATLSDAIYNLG NARKSIKKRDVTALNMFLTAAVSDYGACVEGFIDANQVNTV" gene 21651474..21659371 /locus_tag="BRARA_H02744" /db_xref="Phytozome:Brara.H02744" mRNA join(21651474..21651724,21652077..21652332, 21652417..21652458,21652540..21652640,21652715..21652793, 21652876..21652967,21653042..21653195,21653268..21653663, 21653743..21653850,21653937..21654032,21654114..21654254, 21654345..21654433,21654604..21654738,21654856..21655018, 21655190..21655279,21655371..21655556,21655635..21655697, 21655777..21655839,21655935..21655994,21656071..21656223, 21656309..21656392,21656543..21656719,21656802..21656908, 21656989..21657202,21657285..21657388,21657474..21657606, 21657708..21657833,21657944..21658054,21658146..21658244, 21658318..21658491,21658571..21658663,21658750..21658865, 21658963..21659371) /locus_tag="BRARA_H02744" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02744" CDS join(21652099..21652332,21652417..21652458, 21652540..21652640,21652715..21652793,21652876..21652967, 21653042..21653195,21653268..21653663,21653743..21653850, 21653937..21654032,21654114..21654254,21654345..21654433, 21654604..21654738,21654856..21655018,21655190..21655279, 21655371..21655556,21655635..21655697,21655777..21655839, 21655935..21655994,21656071..21656223,21656309..21656392, 21656543..21656719,21656802..21656908,21656989..21657202, 21657285..21657388,21657474..21657606,21657708..21657833, 21657944..21658054,21658146..21658244,21658318..21658491, 21658571..21658663,21658750..21658865,21658963..21659167) /locus_tag="BRARA_H02744" /codon_start=1 /product="hypothetical protein" /protein_id="RID52123.1" /db_xref="Phytozome:Brara.H02744" /translation="MSNSLVHNLLNRALIRPPHHTKLNSSCLQPVGKISRSNLSTQLY GKGLHKSGRRLLTESGRPVSFVTKAVLAMDPASQAVEKFNLDGNIDLLVEVTSTNVRE VNIQIAHTSDSLLLHWGAIRDNKEKWVLPSRSPDRTINYKSRALRTPFVKSGGNSYLK LEIDDHAIQAIEFLIFDESRNKWYKNNGQNFHINLPMGSNVERNVSVPEDLVQVQAYI RWERNGKQNYSPEKEKEEYEAARTELREEMMRGASVEDLRRKLLKKDDSSDSPESKEA SSSGQESEKRVSKQPKQKKHFSSEKIQRKGRDLDTLICKHVADVVESKSKSSSEPRAL TTLEMYAKAKEEQESTPVFSKKTFKLEGSMILVLVTKLSGKTKIHLATDYKEKITLHW ALSQKGGEWLDPPSDILPPNSLPVRGAVDTQLTIASTDLPSPVQTFEVEIEGDSYKGM PFVLKAGERWIKNSGSDFYVDFAKEEKHVQKDYGDGKGTAKNLLDRIADLESEAQKSF MHRFNIAADLVDEAKNAGQLGFAGILVWMRFMATRQLVWNKNYNVKPREISKAQDRLT DVLQEVYASYPEYRELLRMIMSTVGRGGEGDVGQRIRDEILVIQRNNDCAGGMMEEWH QKLHNNTSPDDVVICQALMDYVKSDFDMSVYWKTLNDNGITKERLLSYDRAIHSEPSF RREQKDGLLRDLGHYMRTLKAVHSGADLESAIQNCMGYQDDGEGFMVGVQINPVSGLP SGYPDLLRFVLQHVEEKNVEPLLEGLLEARQELRPLLLKSHDRLKDLLFLDLALDSTV RTAIERGYEQLNNAGPEKIMYFISLVLENLALSSDDNEDLIYCLKGWQFALNMFKSKK DHWALYAKSVLDRSRLALQSKAESYLEILQPSAEYLGSCLGVEQWAVSIFTEEIIRAG SAAALSSLVNRLDPVLRKTANLGSWQVISPVEVVGYVIVVDELLTVQNKTYDRPTIIV AKRVRGEEEIPDGAVAVLTPDMPDVLSHVSVRARNGKICFATCFDSGILSDLQAMDGK VLSLKPTSADVVYREVNDSEVSSQSSDNLEDVPPSISLVKKQFVGRYAISSEEFTNDL VGAKSRNIGYLKGKVPSWVGIPTSVALPFGVFEKVLSEKANQAVSEKLQVLKKSLDEG NQGALGEIRKTVLGLVAPAELVEELKSTMKSSDMPWPGDEGEQRWEQAWSAIKKVWAS KWNERAYFSTKKVKLDHDYLCMAVLVQEVINADYAFVIHTTNPSSGDSSEIYAEVVKG LGETLVGAYPGRSLSFICKKNNLDTPLLLGYPSKPIGLFIRRSIIFRSDSNGEDLEGY AGAGLYDSVPMDEEDKVVLDYTTDPLITDESFQQKILSDIARAGDAIEKLYGTPQDIE GVIRDGKLYVVQTRPQV" gene 21661507..21664087 /locus_tag="BRARA_H02745" /db_xref="Phytozome:Brara.H02745" mRNA join(21661507..21661965,21662118..21662212, 21662297..21662400,21662491..21662591,21662679..21662782, 21662868..21663305,21663470..21663601,21663675..21664087) /locus_tag="BRARA_H02745" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02745" CDS join(21661723..21661965,21662118..21662212, 21662297..21662400,21662491..21662591,21662679..21662782, 21662868..21663305,21663470..21663601,21663675..21663852) /locus_tag="BRARA_H02745" /codon_start=1 /product="hypothetical protein" /protein_id="RID52124.1" /db_xref="Phytozome:Brara.H02745" /translation="MMKLWMVTSLELAELFVSAMVHLLYAFYIFSTAVAGDISKNVND YFFKSNVDVNDYDQSQSNVEGLPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDERVLVP DLGSLTSIYDRARELFYYLKGGRVDFGEEHSEACGHSRFGRDYGQGQYPEWDEDHPIH FVGHSAGAQVVRVLQQMLADKAFEGFEETNENWVLSVTSLSGAFNGTTRTYLDGMRTE DGISMKPISLLQLCRIGVIMYDWIDISWLKTYYSFGFDHFNMSWKKTGVRGLVDCLVG NTGPFATGDWILPDLTIQGSTSLNSNLQTFPNTYYFSYATKRTRRIMGMTIPSGVLGI HPMLFLRVFQMSQWRFPQDVSPPYKGYRDEEWQENDGAMNTISMTHPRLPVEHPSRFI RSDSECQTLQPGIWYYKIVEADHITFIVNRERAGVQFDLIYDSIFQRCRKHVFRKIPQ TLPNQSPRAPRSPR" gene complement(21667639..21672383) /locus_tag="BRARA_H02746" /db_xref="Phytozome:Brara.H02746" mRNA complement(join(21667639..21667993,21668069..21668185, 21668270..21668371,21668438..21668533,21668602..21668683, 21668756..21668870,21668957..21669008,21669083..21669163, 21669229..21669390,21669457..21669633,21669795..21669897, 21670537..21670722,21670833..21670880,21670963..21671054, 21671140..21671205,21671283..21671366,21671455..21671655, 21671725..21671758,21671840..21672147,21672233..21672383)) /locus_tag="BRARA_H02746" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02746" CDS complement(join(21667805..21667993,21668069..21668185, 21668270..21668371,21668438..21668533,21668602..21668683, 21668756..21668870,21668957..21669008,21669083..21669163, 21669229..21669390,21669457..21669633,21669795..21669897, 21670537..21670722,21670833..21670880,21670963..21671054, 21671140..21671205,21671283..21671366,21671455..21671655, 21671725..21671758,21671840..21672141)) /locus_tag="BRARA_H02746" /codon_start=1 /product="hypothetical protein" /protein_id="RID52125.1" /db_xref="Phytozome:Brara.H02746" /translation="MAAADTTALSYWLNWRVLLCALIILAPLVLAAILIRRYEGKSRE RDSPGTLFKDEAWSTCLKTVHPRWLLAFRVFSFVAMLSLLIANVVIHGGGVFYFYTQW TFTLVTLYFGYGSLLSIYGCYFYNEEESYTYTSIDDAEQGTYRPPFTLEEATNNSKPC STHSEAAGFWVYIFQVLFQTCAGAVVLTDIVFWGLIYPFTKGYRLSFLHVCMHSLNAV FLLGDTCLNSLRFPLFRISYFAFWSCIFVAYQWIIHAFMNLRWPYQFLDLSSPYAPLW DAYTVLRRLCFDHKAEELFARASQRVICLGSLFYLLRHMRTPWPNSNTKPEKLKPEDR VSPRKMARKKIREYDSKRLVKEHFKRLSGNELPIRSVQINQTTDLNELVEKEPWLSSE KLVVKPDMLFGKRGKSGLVALKLDFAEVATFVKERLGKEVEMSGCIGPITTFIVEPFV PHNEEFYLNVVSDRLGCSISFSECGGIEIEENWDKVKTIFIQTGASLTPEVCAPLVAT LPLEIKAEIEEFIKVIFTLFQDLDFTFLEMNPFTLVDGKPYPLDMRGELDDTAAFKNF KKWGDTEFPMPFGRVMSPTESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIY ADTVGDLGYASELGNYAEYSGAPKEDEVLQYARVVIDCATANPDGKSRALVIGGGIAN FTDVAATFNGIIRALKEKEAKLKAARMHIYVRRGGPNYQKGLAKMRSLGDEIGVPIEV YGPEATMTGICKEAIQYITAAA" gene complement(21672833..21673983) /locus_tag="BRARA_H02747" /db_xref="Phytozome:Brara.H02747" mRNA complement(join(21672833..21672995,21673163..21673240, 21673608..21673713,21673805..21673983)) /locus_tag="BRARA_H02747" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02747" CDS complement(join(21672946..21672995,21673163..21673240, 21673608..21673713,21673805..21673891)) /locus_tag="BRARA_H02747" /codon_start=1 /product="hypothetical protein" /protein_id="RID52126.1" /db_xref="Phytozome:Brara.H02747" /translation="MCTTTHHDDQPQQQNLPCLHCDPHSYIHMVQHMIERCIILRMSR DECVQALDHHATIPPLVTLTVWRGLERENKDFFETYEHSFSPEPFSSGYVRRSPRLAR RVQQ" gene 21677829..21680016 /locus_tag="BRARA_H02748" /db_xref="Phytozome:Brara.H02748" mRNA join(21677829..21678076,21678206..21678258, 21678703..21678898,21678976..21679346,21679436..21680016) /locus_tag="BRARA_H02748" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02748" CDS join(21678790..21678898,21678976..21679346, 21679436..21679972) /locus_tag="BRARA_H02748" /codon_start=1 /product="hypothetical protein" /protein_id="RID52127.1" /db_xref="Phytozome:Brara.H02748" /translation="MSRGNNGYTVPPVFMNENHLQYQTNAQTNQLHFLGTMGGRCTVD PVNYFANDNHAPMLRPNKRGREAESISHNVQRQQKLQMSLNYNHNNISVQEEAPKENL VSTGLRLSYDDDERNSSVTSASGSIVAAAPPILQSLDDTLRIDLHRQKDELEQFLKIQ AAQMAKEVRDMKQRQIASFLNTIEKGVSKKLQEKDQEIDIMNKTNKELVERIKQVATE AQNWHYRAKYNESVVNALKTSLQQAMSHNNNNVVAGADHCKEGFGDSEIDDAASSYID PNNSNNNMGSQRMRCKMCHGKEVSVLVVPCRHLSLCKECDVFTGFCPVCKSLKTASVQ VFFS" gene complement(<21683268..>21683849) /locus_tag="BRARA_H02749" /db_xref="Phytozome:Brara.H02749" mRNA complement(<21683268..>21683849) /locus_tag="BRARA_H02749" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02749" CDS complement(21683268..>21683849) /locus_tag="BRARA_H02749" /codon_start=1 /product="hypothetical protein" /protein_id="RID52128.1" /db_xref="Phytozome:Brara.H02749" /translation="ARNRLLFEDYHMKEGEVVSTAISEARKWQAAQSLKTQGPKHNLS GKALISSRRSFLGPVCFVDASWQATTHIGGMGWIFKDTESGRPVTNTTNRSHVASALM AEALAVKAAISDAALRNFMSMSVCSDSKVLMDCINSRSRCLEVQSVLNDISALSASFE SISFHFVSRSLNVEADSLAKRSLLDFVSQPIVG" gene 21685527..21688063 /locus_tag="BRARA_H02750" /db_xref="Phytozome:Brara.H02750" mRNA join(21685527..21686022,21686453..21686584, 21686669..21686926,21686992..21687199,21687360..21688063) /locus_tag="BRARA_H02750" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02750" CDS join(21685609..21686022,21686453..21686584, 21686669..21686926,21686992..21687199,21687360..21687943) /locus_tag="BRARA_H02750" /codon_start=1 /product="hypothetical protein" /protein_id="RID52129.1" /db_xref="Phytozome:Brara.H02750" /translation="MIMRKSLRLRSITLMMLMAVLVWSVTLETCIARRGRHWRHNNRR SSDLSDSMSSKKPKSHGNSHHSSHNNNNSHNHESKPKPKPKLQTPPKVDDNYNSPVVS QLPKVQPPSLPSLNESQVFNVMDFGAKGDGIRDDTKAFEAAWEAACKVEASMMIIPPE YTFLVGPISFSGPYCQPNIVFQLDGTIIAPTDSKSWGKGLMWWIDFTKLVGIKVQGKG VIDGRGSGWWQQDYPFIDGETKLIVPLNNSVNQNPPGSEFDLKMPSIKPTALRFYGSI GVEVSGITIQNSPQCHLKFDNCGDVVVHDMTVSSPGDSPNTDGIHLQNTRDVLIHSTT LSCGDDCISIQTGCSNVYIHTVTCGPGHGISIGSLGKDSTKACVSNITVRDVAMHNTM TGVRIKTWQGGVGSVKGILFSNIQLNEVQLPIVIDQFYCDHTTCKNQTSAVAVEGVTY ERIKGTYTVKPVHFACSDDFPCVDVLLSAIELKPVQERYHMYDPFCWQTFGELNTPTL PPIDCLQIGKPARNRVQSDHDVC" gene complement(21688121..21689784) /locus_tag="BRARA_H02751" /db_xref="Phytozome:Brara.H02751" mRNA complement(join(21688121..21688498,21688570..21688766, 21688849..21689131,21689587..21689784)) /locus_tag="BRARA_H02751" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02751" CDS complement(join(21688238..21688498,21688570..21688766, 21688849..21689131,21689587..21689709)) /locus_tag="BRARA_H02751" /codon_start=1 /product="hypothetical protein" /protein_id="RID52130.1" /db_xref="Phytozome:Brara.H02751" /translation="MMFPKEREIGSSSGLGQGEVNVEKLSFFKIFQGEDLSSESMRAF PYDFIRNVPQNDYSSNMVIRTQWGISWEVKVSMNPRFYYMEKRGWNQFVNDNALGDKE LVTFTHTRLMCFNVNIYEENGKELVRPRTMASLSGIKKEEGESSNKDVKKKAEETGGV RVKSKRFEEGETSKKKMKSNNDEDTVPVFNITITTSYLKFLPIPRYFADVHIPNKSKM VVTIHHSNGNNSWEVVCLVRRARANFSSGWARLVREYPLSVGDFCTFKFIKPTEFHLV VSKKVEEIMSD" gene 21691770..>21695255 /locus_tag="BRARA_H02752" /db_xref="Phytozome:Brara.H02752" mRNA join(21691770..21692996,21693342..21693788, 21693946..21694032,21694179..21694249,21694343..21694419, 21694528..21694675,21694760..21694921,21695016..>21695255) /locus_tag="BRARA_H02752" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02752" CDS join(21692015..21692996,21693342..21693788, 21693946..21694032,21694179..21694249,21694343..21694419, 21694528..21694675,21694760..21694921,21695016..21695255) /locus_tag="BRARA_H02752" /codon_start=1 /product="hypothetical protein" /protein_id="RID52131.1" /db_xref="Phytozome:Brara.H02752" /translation="MDKVQEQAELIEKKLTPFVASQPTTVVIGGGLADQKTTGGESTD QKTTGGGLADQKTTGGSQTTQPPTTSPPSPPSPDSGGGGGGGSQSSPPPVLTLSPPPS NQSVNTTSPPTPPSSPPPSITPPPSPPQPQTPPQSTPAGNSPVVIPSPTPQIQLPLTP PTFVTQQPTVNGLALPNNPIFNPSPPSTPLSPPSPENSGSQGSPPSPPVVPLTPNAPR NPSQPLDSPPAAGGSNHVPSSSPPSLSGSDNNSGGSNRHNANGNGNGGSGQQKNDSNY TEKTMIGIGIAGVLVIIFVAAIFFIRRKQNKSSSPRSNQNYLPPANVSVNTDGFVNYR QKPGNGNISAQNSSPDTNNSLGNQKPGRSTTPDSAVLGNSKTIFTFEELSQITEGFCK RYVIGEGGFGYVYKGIICDGKSIAIKQLKSISAEGYREFKAEVEIISRVHHRHLVSLV GYCISEQHRFLIYEFVPNNTLDHHLHGKDLPVLEWTKRVKIAVGAAKGLAYLHEDCHP KIIHRDIKSSNILLDNEFEAQVADFGLARLNDTAQSHISTRVMGTFGYLAPEYASSGK LTDRSDVFSFGVVLLELITGRKPVDTSQPLGEESLVEWARPRFIEAIEKGDISEVVDP RLEKHYIEGEVYRMIETAASCVRHSALKRPRMVQVVRALDTRDDMSDLSNGVKVGQST VYNSGQYSNEIRMFRRASEDSTDYGYGSSNGYYTSQDFTSRESERAFNTSRRTNY" gene 21695740..21696936 /locus_tag="BRARA_H02753" /db_xref="Phytozome:Brara.H02753" mRNA join(21695740..21695809,21696175..21696415, 21696495..21696936) /locus_tag="BRARA_H02753" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02753" CDS join(21696181..21696415,21696495..21696685) /locus_tag="BRARA_H02753" /codon_start=1 /product="hypothetical protein" /protein_id="RID52132.1" /db_xref="Phytozome:Brara.H02753" /translation="MAEASPALRKPVFTKVSELRPGTNGLSLNVKVISTKMVMQRGGG GGRPSGPQARQMRIAECLVGDETGIIIFTARNDQVDLMKEGKIVTLRNAKIDMYKGSM RLAVDRWGRVEVAEEAADITVKEDNNLSLIEYELVSVEA" gene complement(<21697570..>21697950) /locus_tag="BRARA_H02754" /db_xref="Phytozome:Brara.H02754" mRNA complement(join(<21697570..21697754,21697869..>21697950)) /locus_tag="BRARA_H02754" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02754" CDS complement(join(21697570..21697754,21697869..21697950)) /locus_tag="BRARA_H02754" /codon_start=1 /product="hypothetical protein" /protein_id="RID52133.1" /db_xref="Phytozome:Brara.H02754" /translation="MKLMVVQFCIIFFLLTSSFFVPSTADSSCGGKCNVRCSKASQHE ECLKYCNICCEKCNGCVPSGTYGNKDECPCYRDIKNSKGGPKCP" gene <21701069..>21702004 /locus_tag="BRARA_H02755" /db_xref="Phytozome:Brara.H02755" mRNA join(<21701069..21701212,21701475..21701798, 21701936..>21702004) /locus_tag="BRARA_H02755" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02755" CDS join(21701069..21701212,21701475..21701798, 21701936..21702004) /locus_tag="BRARA_H02755" /codon_start=1 /product="hypothetical protein" /protein_id="RID52134.1" /db_xref="Phytozome:Brara.H02755" /translation="MDRERGREVGEGSSMSSREQRNLREQERRMRMKHLFNILSSHVS PTHRLPVPQLIEQATSYMIQLKEKVNYLKEKKITLLGEMGKHSEGLSSSLLPKLSIYS RDSTIQMNLLIDLNMKRVMLHQLLSVFEEEGAQVMNANTQKLNDRMIIYTIIAQAIIS RIGIDPSRIEERVRDIIF" gene 21706215..21708265 /locus_tag="BRARA_H02756" /db_xref="Phytozome:Brara.H02756" mRNA join(21706215..21706491,21706816..21706916, 21707042..21707250,21707704..21708265) /locus_tag="BRARA_H02756" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02756" CDS join(21706254..21706491,21706816..21706916, 21707042..21707250,21707704..21708109) /locus_tag="BRARA_H02756" /codon_start=1 /product="hypothetical protein" /protein_id="RID52135.1" /db_xref="Phytozome:Brara.H02756" /translation="MASLKNYNMKILLETAVVFCLCSFSLVSSHSRKFTTPNVTRVTD QFSRIAIESGFSKRFGDHNIIVNGSLAKLTLDKSSGAGLVTKNTYHYGFFSARLKLPA GFASGVVVAFYLSNAESYPKTHDEIDIELLGRSRRDDWSIQTNVYANGSLKTGREEKF YYWFDPTQAFHDYTLIWNSHHIVFLVDNIPVREFPNRGAFMSAYPSKPMSLYVTVWDG SEWATHGGKYPVNYKYGPFVASIADVKLSGCSVNNGSSSGPGPCTKSGGSVSSLDPVD GQDFATLSKNQIAAMDWARRKLMFYSYCNDKSRYKVMPAEC" gene complement(21709957..21713231) /locus_tag="BRARA_H02757" /db_xref="Phytozome:Brara.H02757" mRNA complement(join(21709957..21710247,21710336..21710420, 21710512..21710579,21710705..21710785,21710891..21710981, 21711085..21711173,21711246..21711299,21711375..21711554, 21711768..21711830,21711978..21712108,21712205..21712283, 21712369..21712668,21712774..21712917,21713022..21713231)) /locus_tag="BRARA_H02757" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02757" CDS complement(join(21710149..21710247,21710336..21710420, 21710512..21710579,21710705..21710785,21710891..21710981, 21711085..21711173,21711246..21711299,21711375..21711554, 21711768..21711830,21711978..21712108,21712205..21712283, 21712369..21712668,21712774..21712917,21713022..21713144)) /locus_tag="BRARA_H02757" /codon_start=1 /product="hypothetical protein" /protein_id="RID52136.1" /db_xref="Phytozome:Brara.H02757" /translation="MNNKMAPKRGRNRSPSPDRNGMFAGMVVFMVDTGVQRRRLQIWK QKLVQMGGAVIEEGSLTKKVTHVLAMTPEALVGKFQKEPLSRFKGRLLRYQWLEDSLT SGEKANEDLYLLKFEEPNKKSLPARSGSEDQPSTPKRAKDSPDSSDTVGLDTQNNTQG SPTSCIVPSTSPSPGEGNAETPTTSPQSEPTSVYKPPDLNRNITEIFGKLINIYRALG DDRRSFSYYKAIPVIEKFPTKIESVDQLKHLPGIGKSLTDHIQEIVTTGKLSKLEHFE TDEKVRTISLFGEVWGIGPATALKLYEKGHRTLDDLKNEDSLTHAQRLGLKYFDDIRT RIPRQEVQEMEQLLRRVGEEVLPGVDIVCGGSYRRGKATCGDLDIVITHPDGQSHKGF LTKFVKRLKEINFLREDLIFSTHSEEGTGSGVDTYFGLCTYPGQELRRRIDLKVYPRD IHAFGLIAWTGNDVLNRRLRLLAESKGYRLDDTGLFPATHSSSGNRGGKASASMKLST EKQVFDFLGFPWLEPHERNL" gene complement(21713520..21717038) /locus_tag="BRARA_H02758" /db_xref="Phytozome:Brara.H02758" mRNA complement(join(21713520..21713725,21713806..21713907, 21714000..21714080,21714146..21714241,21714329..21714433, 21714528..21714602,21714686..21714745,21714819..21714949, 21715032..21715107,21715188..21715257,21715337..21715398, 21715493..21715576,21715685..21715759,21715867..21716004, 21716109..21716167,21716235..21716441,21716533..21716785, 21716857..21717038)) /locus_tag="BRARA_H02758" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02758" CDS complement(join(21713657..21713725,21713806..21713907, 21714000..21714080,21714146..21714241,21714329..21714433, 21714528..21714602,21714686..21714745,21714819..21714949, 21715032..21715107,21715188..21715257,21715337..21715398, 21715493..21715576,21715685..21715759,21715867..21716004, 21716109..21716167,21716235..21716441,21716533..21716785, 21716857..21716904)) /locus_tag="BRARA_H02758" /codon_start=1 /product="hypothetical protein" /protein_id="RID52137.1" /db_xref="Phytozome:Brara.H02758" /translation="MASSSSSSLCLHSLPKASSGLNQWRGRLRFEFRGSSAFLSPAVV FLQQSPRLSAIRASSEGSSRRRGYNESQAVSGFSNAKVQQIASNVLPVGSFVVVTFVL WKVVEKFMSPKTSSAGDSKSSTEGVKWSIGAGTNLLQGFAAKVDRENKQRLNEFAKEL RSFRSVDMSGCNFGDEGLFFLAESLGYNQTVEEVSFSANGITAAGVKAFDGVLQSNIM LKVLNLSGNPIGDEGAKTLCATLMENSSIEILQLNSTDLGDEGAKEIAELLKRNSTLR VIELNNNMIDYSGFTSLAGALPENNTIRNLHLNGNYGGALGANALSKGLEGNKSLREL HLHGNSIGDEGIRALMAGLSSHKGKLALLDLGNNSITAKGAFYVAEYIKRSKCLVWLN LYMNDIGDEGAEKVADALKQNRSIATIDIGGNNIHAEGVNAIAQALKDNAIITTLEVG YNPIGPDGAKALSEILKFHGNVKTLKLGWCQIAAKGAEYVADMLRYNNTISVLDLRAN GLRDEGASCLARSLKVVNEALTSVDLGFNEIRDDGAFSIAQALKANEDVTVTSINLGN NFITKFGQSALTDARDHVLEMTEKEVEIFF" gene 21717763..21719182 /locus_tag="BRARA_H02759" /db_xref="Phytozome:Brara.H02759" mRNA join(21717763..21718016,21718350..21718568, 21718886..21719182) /locus_tag="BRARA_H02759" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02759" CDS join(21717842..21718016,21718350..21718568, 21718886..21719028) /locus_tag="BRARA_H02759" /codon_start=1 /product="hypothetical protein" /protein_id="RID52138.1" /db_xref="Phytozome:Brara.H02759" /translation="MMALAGIATSNPTFLQLRVSTTSLRHVAPRNSISFPRSSYVNLN RRSRLCVRSSSSAPVASAMEGLKPAISLTESALKHLNKMRSERGEDLCLRIGVKQGGC SGMSYTMDFENRANARPDDSTIEYEGFAIVCDPKSMLFLFGMQLDYSDALIGGGFSFS NPNATQTCGCGKSFAAEM" gene complement(21718878..21725012) /locus_tag="BRARA_H02760" /db_xref="Phytozome:Brara.H02760" mRNA complement(join(21718878..21719500,21719596..21719661, 21719772..21719897,21719994..21720122,21720215..21720362, 21720443..21720512,21720591..21720699,21720782..21720961, 21721041..21721134,21721219..21721256,21721343..21721519, 21721629..21721681,21721756..21721855,21721942..21722029, 21722113..21722236,21722342..21722439,21722543..21722679, 21722778..21722876,21723002..21723095,21723185..21723318, 21723410..21723520,21723613..21723786,21723864..21723986, 21724069..21724240,21724318..21724409,21724524..21724669, 21724906..21725012)) /locus_tag="BRARA_H02760" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02760" CDS complement(join(21719267..21719500,21719596..21719661, 21719772..21719897,21719994..21720122,21720215..21720362, 21720443..21720512,21720591..21720699,21720782..21720961, 21721041..21721134,21721219..21721256,21721343..21721519, 21721629..21721681,21721756..21721855,21721942..21722029, 21722113..21722236,21722342..21722439,21722543..21722679, 21722778..21722876,21723002..21723095,21723185..21723318, 21723410..21723520,21723613..21723786,21723864..21723986, 21724069..21724240,21724318..21724409,21724524..21724628)) /locus_tag="BRARA_H02760" /codon_start=1 /product="hypothetical protein" /protein_id="RID52139.1" /db_xref="Phytozome:Brara.H02760" /translation="MRKKVDERIRTLIENGVKLRHRSMFVIVGDKSRDQIVNLHHILS KSMVKSNTSVLWCYKNRLDISSHNKKRSKQLKKMKERGQLDPEKLDAFSLFLDVGEVT HCMYKDSERVLGNTYGMCILQDFEALTPNLLARTIETVEGGGLVVLLLQSLASLTSLC TMVMDVHDRFRTESHSETSGRFNERFLLSLASCKACVVVDDELNILPISSHIRSITKV PAKEDPEGLSEAEQDLKSLKDALSDDFPVGPLIKKCCTLDQGKAVVTFFDAILDKTLR SIVALIASRGRGKSAALGLAVAGAVAAGYSNIYVTAPSPDNLKTFFEFVCKGFDALEY KEHLEYDVVRSVNPDFKKSIVRINIFKQHRQTIQYIQPHEHEKLSQVELLVIDEAAAI PLPVVKSLLGPYLVFLSSTVSGYEGTGRSLSLKLLQQLEEQSRAPATGVEGSLSGCLF KKIELTESIRYASGDPIESWLNGLLCLDVANCLPSPSCHPLPSQCDLYYVNRDTLFSY HKDSELFLQRMMALCVSSHYKNSPNDLQLLADAPAHHLFVLLGPVDESKNQLPDILCV VQVCLEGQISEKSAIRSLRDGHSPHGDQIPWKFCEQFRDLVFPTLSGARIVRIAVHPN AMKMGYGSAAVELLTRYFEGQLASISEGDDELDVEASPVKVTEAAKKASLLEEQIKPR TNLPPLLVPLRDRRPERLHYLGVSFGLTLDLFRFWRKHKFAPFYISQIQSSVTGEHTC MLLKPLNNEEFEVSESDELGFFAPFYKDFRIRFSKLLSDKFKKMDYKLAMSVLNPKIN FTEVDSSGSSASGYLKKLDRVFSPYDMERLRAYTDNLVDFNLVYDLCKTLAHHYFQEK LPVSLSYVQASVLLCLGLQESDFSIIERQMQLERGQIHSLLLKVGKKLYKYLNGIAAS EIEATFPRLKERVLEPHNVSVDEDLREGAKQVEEQMRAKIELDPELLEQFAIGGKEAE ALEKSKISSSGIISIETTKPEYKPKPSGFDKTAKKRGHDNKHSSKSHKKRRA" gene complement(<21728538..>21731280) /locus_tag="BRARA_H02761" /db_xref="Phytozome:Brara.H02761" mRNA complement(join(<21728538..21728953,21731265..>21731280)) /locus_tag="BRARA_H02761" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02761" CDS complement(join(21728538..21728953,21731265..21731280)) /locus_tag="BRARA_H02761" /codon_start=1 /product="hypothetical protein" /protein_id="RID52140.1" /db_xref="Phytozome:Brara.H02761" /translation="MASFTALGGHQNAHKKERLKKKRLQLQARRASIGYYLTSHHQPI TTSFQRPYKTPSYCAFSSMHVNNQMGLFNDEWSPISSQISFGNKDMSQDIIEQNGEMG KVYPGVRQNMIQFQRDLTSPSGPKRSIKSLDLHLGIAGDTV" gene 21740178..21741548 /locus_tag="BRARA_H02762" /db_xref="Phytozome:Brara.H02762" mRNA join(21740178..21740438,21740542..21740618, 21740774..21740851,21740919..21741548) /locus_tag="BRARA_H02762" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02762" CDS join(21740264..21740438,21740542..21740618, 21740774..21740851,21740919..21741344) /locus_tag="BRARA_H02762" /codon_start=1 /product="hypothetical protein" /protein_id="RID52141.1" /db_xref="Phytozome:Brara.H02762" /translation="MAKDGGVSCLRSSEMLNVGIGGMEPPPLDLDEVHVLAVDDSLVD RIVIERLLRITSCKVTAVDSGWRALEFLGLDNDKASDEFDKLKVDMIITDYCMPGMTG YELLKKIKESSSFRQVPVVIMSSENVLTRIDRCLEEGAEDFLLKPVKLADVKRLRSCL TGDVKLSNGNKRKLPEDSVSVDTSLPPPPLSLTFSTNSSDSSPPLSPVEVFSSPLSSP EDDDDVLTSSPEGSPMSEESPIRRQKMGSPGLD" gene <21744946..>21746738 /locus_tag="BRARA_H02763" /db_xref="Phytozome:Brara.H02763" mRNA join(<21744946..21745709,21746096..>21746738) /locus_tag="BRARA_H02763" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02763" CDS join(21744946..21745709,21746096..21746738) /locus_tag="BRARA_H02763" /codon_start=1 /product="hypothetical protein" /protein_id="RID52142.1" /db_xref="Phytozome:Brara.H02763" /translation="MESEKKVHVVLFPYMSKGHMIPMLQLARLLLSHSFTKGISVTVF TTPLNRPFVSDSLSDTKATIITLPFPKNVPEIPPGVECTDKLPSISSLYVPFTRATKS MQPDFERELTSLPRVSFMVSDGFLWWTLESALKLGFPRLVFLGMNCMSPSILDSVFKN KLLSNVKSETEAVAVPEFPWIKVRKCDFVEDMFDTKPTTDPGFELILDQCASMSQSEG IIFNTFDDLEPVFIDFYKRTRELKPWALGPLCLGKNSLEDEGEEKVKSVWMKWLDEKR DKGCYVLYVAFGSQSEISREQVEEIALGLEESKVNFLWVVKGSEIGKGFEERVGERGM VVKDEWVDQRKILEHESVRGFLSHCGWNSLMESICAEVPILAFPVAAEQPLNAMLVVE ELRVAERVVAASDGVARRGEVAEKVKELMEGEKGKELRRNVEVYGKKAKKALEEDVGS SWKNLKNLINEFCNNIGT" gene <21749215..>21754480 /locus_tag="BRARA_H02764" /db_xref="Phytozome:Brara.H02764" mRNA join(<21749215..21749233,21749351..21749656, 21749805..21749841,21750359..21750876,21750966..21751196, 21751302..21751975,21752156..21752243,21752320..21752380, 21752493..21752631,21752804..21752920,21753022..21753196, 21753512..21753553,21753631..>21754289) /locus_tag="BRARA_H02764" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02764" CDS join(21749215..21749233,21749351..21749656, 21749805..21749841,21750359..21750876,21750966..21751196, 21751302..21751975,21752156..21752243,21752320..21752380, 21752493..21752631,21752804..21752920,21753022..21753196, 21753512..21753553,21753631..21754289) /locus_tag="BRARA_H02764" /codon_start=1 /product="hypothetical protein" /protein_id="RID52143.1" /db_xref="Phytozome:Brara.H02764" /translation="MFGSSNPFGQSSGTGSSPFGTQSLFGQTSNTSSNNPFAPAPATP FGTSSPFGAQTGSSIFGGTSTGVFGAPQASSPFGSTTTFGASSSPAFGSSTPAFGASP APSPFGGSSGFGQGFSTPHTPAFGASSTPSFGATSTPSFGASSTPAFGATSTPAFGTS NQPSFGATNTPAFGASPTPAFGSTGNTFGNTGFGSGGAFGASSTPAFGASSTPAFGAS STPAFGAAGAPSFGASSTPAFGASSSPAFGASSTPTFGASNTSSFSFGSSPAFGQSTA AFGSTAFGSTPSPFGAQGAQASTPTFGSSGFGQSPFGGGQQQGGSRAVPYAPTVEADT ASGTPAGKLESISAMPAYKDKSHEELRWEDYQRGDKGGPRPTGQSPGNTGFGISAAQP NPFAPSPAFGQTPANPTNPFSSSTSTNPFAPQTPAIASSGFGAATSTFGSSPFGVTSS SNLFGSTPAATTSVFGSSSAFGTTTSSPLFGSSSTPGFGSSPSIFGSASGQGATSAFG NTQSASLFSSNPSMPTGSAFGQTGSAFGQTGSAFGQTGSAFGQFGQSSAPAFGQTNMF NKPSTGFGNMFSSSSTLTTSSSSPFGQTTPAGMTPFQSSQPGQASNGFGFNNFGQTPA ANATGTAGGMGFFGQGNFGQTPAPPSSVVLQPVAVTNPFGTLPAMPQISINQSGNSAS IQYGISSLPVVDKPVSVRVSSLLTSRHLLQRRVRLPARKYRPDDNGPKVPFFTDDEET PSSTPKADALFIPRENPRALVIRPAQQWSSRGKSTIPKERPTAPVHENGKSSDIATDA ANHDKNGKREIGSTEESTHPSANGNQKSNGTTSTDHAVEKDRPYRTLGGNRAGEAATD IEALMPKLRQSDYFTEPRIPELAAKERADPGYCSRVKDFVVGRHGYGSIKFMGETDVR RLDLESLVQFNNREVIVYLDESKKPAVGEGLNKPAEVTLLNIKCVDKKTGKQFTEGER VDKYKMMLKRKAEAQGAEFVSFDPVKGEWKFRVDHFSSYKLDEEDDDEA" mRNA join(21750304..21750876,21750966..21751196, 21751302..21751975,21752156..21752243,21752320..21752380, 21752493..21752631,21752804..21752920,21753022..21753196, 21753512..21753553,21753631..21754480) /locus_tag="BRARA_H02764" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02764" CDS join(21751130..21751196,21751302..21751975, 21752156..21752243,21752320..21752380,21752493..21752631, 21752804..21752920,21753022..21753196,21753512..21753553, 21753631..21754289) /locus_tag="BRARA_H02764" /codon_start=1 /product="hypothetical protein" /protein_id="RID52144.1" /db_xref="Phytozome:Brara.H02764" /translation="MPAYKDKSHEELRWEDYQRGDKGGPRPTGQSPGNTGFGISAAQP NPFAPSPAFGQTPANPTNPFSSSTSTNPFAPQTPAIASSGFGAATSTFGSSPFGVTSS SNLFGSTPAATTSVFGSSSAFGTTTSSPLFGSSSTPGFGSSPSIFGSASGQGATSAFG NTQSASLFSSNPSMPTGSAFGQTGSAFGQTGSAFGQTGSAFGQFGQSSAPAFGQTNMF NKPSTGFGNMFSSSSTLTTSSSSPFGQTTPAGMTPFQSSQPGQASNGFGFNNFGQTPA ANATGTAGGMGFFGQGNFGQTPAPPSSVVLQPVAVTNPFGTLPAMPQISINQSGNSAS IQYGISSLPVVDKPVSVRVSSLLTSRHLLQRRVRLPARKYRPDDNGPKVPFFTDDEET PSSTPKADALFIPRENPRALVIRPAQQWSSRGKSTIPKERPTAPVHENGKSSDIATDA ANHDKNGKREIGSTEESTHPSANGNQKSNGTTSTDHAVEKDRPYRTLGGNRAGEAATD IEALMPKLRQSDYFTEPRIPELAAKERADPGYCSRVKDFVVGRHGYGSIKFMGETDVR RLDLESLVQFNNREVIVYLDESKKPAVGEGLNKPAEVTLLNIKCVDKKTGKQFTEGER VDKYKMMLKRKAEAQGAEFVSFDPVKGEWKFRVDHFSSYKLDEEDDDEA" gene complement(21754681..>21757855) /locus_tag="BRARA_H02765" /db_xref="Phytozome:Brara.H02765" mRNA complement(join(21754681..21754846,21754930..21755762, 21756234..21757269,21757342..21757439, 21757697..>21757855)) /locus_tag="BRARA_H02765" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02765" CDS complement(join(21754702..21754846,21754930..21755762, 21756234..21757269,21757342..21757439,21757697..21757855)) /locus_tag="BRARA_H02765" /codon_start=1 /product="hypothetical protein" /protein_id="RID52145.1" /db_xref="Phytozome:Brara.H02765" /translation="MEARTRGSMSSSIGGSEELEANLTLSDRLKVFKGSTFDPEAYVT SKCQHMNEKETKHLTSYLVELKKASAEEMRKSVYANYAAFIRTSKEISALEGQLLSMR NLLSAQAALVHGLADGVHISSLCADDADDLTDQDLYNMDSKQLSKIETWVVEFFDRLE VLLAEKRVDESMAALEEGRRVAMEAQEQRTLSPSTLLSLNNEIKAKRQELADQLAEAI GQPSTRGGELRSAVLSLKKLGDGSRAHTLLLKSYERRLQANIQSLRGSNTSYGVAFAA ALSQLVFSTIAQAASDSLAVVGEDPSYSSELVTWAVKQAESFALLLKRHTLASSAAAG SLRVTAECIQLCASHCSSLESRGLALSPVLLKHFRPGVEQALTGNLKRIEQSSAALAA SDDWSLAYTPTGSRASSSTPTAPHLKLSISAQRFNSMVQEFLEDAGPLDEALQLDGIA LDGVLQVFNAYVDLLINALPGSAENEENPVHRIVRIAETESQQTALLVNALLLADELI PRSASRILPQGSTNQSTPRRGASSDRQNRPEQREWKKKLQRSVDRLRDSFCRQHALEL IFTEEGEVRLSSEIYILMDETTEEPEWFPSPIFQELFAKLTRISTIVSDMFVGRERFA TILLMRLTETVILWISDDQSFWEEMETGDKPLGPLGLQQFYLDMEFVMIFASQGRYLS RNLHQVIKNIIARAIEAVSATGLDPYTTLPEEEWFAEVAQIAIKMLTGKGNFGGHGER DVTSPSVSSAKSYTSN" gene 21758838..21760943 /locus_tag="BRARA_H02766" /db_xref="Phytozome:Brara.H02766" mRNA join(21758838..21759574,21760281..21760943) /locus_tag="BRARA_H02766" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02766" CDS join(21758964..21759574,21760281..21760719) /locus_tag="BRARA_H02766" /codon_start=1 /product="hypothetical protein" /protein_id="RID52146.1" /db_xref="Phytozome:Brara.H02766" /translation="MGVDYYNVLKVSKNANDDDLKKSYRRLAMKYHPDKNPTSKKEAE AKFKQISEAYDVLSDPRRRQIYDQHGEEGLKSSDLPSAAETASSAAAAAKQRSYSSRR DDSEFRYYPRDAEDIFAEFFGTSRDSFAGGGTSGVGGGGRRFKSAEAGGQANKKTSPA NKKAAPANRKAADIESALPCTLEELYKGGKKKMRISRLVPDEFGKPKTVQEILKIDIK PGWKKGTKITFPEKGNQEPGVTPADLTFVVDEKPHPVYTRDGNDLIFEKKVSLIDALT GVTVSLTTLDGRNLTIPVLDIVKPGHEIVIPNEGMPTKEPLKRGDLRVRFEILFPSRL TSEQKSDLKRVLGGS" gene <21762343..>21764621 /locus_tag="BRARA_H02767" /db_xref="Phytozome:Brara.H02767" mRNA join(<21762343..21762775,21762860..21763299, 21763717..21764295,21764331..>21764621) /locus_tag="BRARA_H02767" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02767" CDS join(21762343..21762775,21762860..21763299, 21763717..21764295,21764331..21764621) /locus_tag="BRARA_H02767" /codon_start=1 /product="hypothetical protein" /protein_id="RID52147.1" /db_xref="Phytozome:Brara.H02767" /translation="MQPIFHAILQNELPAFLSLVRERDSWLEERNDEQHSNTVLHMAA KHGRGEFVSKIIELRPSLICSRNAYGNTPLHLAALFGDVNIIMTMLEFGPEACSARNN NNQTPLHLACRSISVESATLFAETIQSVGLHELNFAILSGMAGIAGTILERFPDLARE EAWPVQGGSLSSLLHHACDRGDLELTRILLGLDERLDEALDTNGLSPLHLAVLRGSVV ILEEFLNKAPLSFYSLTPSKESVFHLAARNNNMDAFVFMAESVGIDSQILLQQTDEDG NTVLHVAASVACGAPFVHYIRKRSDKRCKNNFGFAAYQLIPQEAVNFELLSRWLRFDT ETPEELNSEEYDGHSRPIQQQSLGRVKSLGIRGRSDEDQVIRMLTLIEINTSEIAERK RRSKEEKVKRGHEGLEYKMHIEALQNARNTIAIVAVLIASVAYAGGINPPGGVYQDGP WRGKSIVGKTTAFKVFAICNNIALFTSLAIVILLKLLVATHRMMWVSIGFMATAYVAA SWVTIPHYHGTRWLFPAIVAVGGGALVVLFSYLVVETIGHWFRKLNRVGDIPSFASTC SDLAVSGVSGYFTY" gene <21765401..>21767745 /locus_tag="BRARA_H02768" /db_xref="Phytozome:Brara.H02768" mRNA join(<21765401..21765836,21766187..21766626, 21766831..>21767745) /locus_tag="BRARA_H02768" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02768" CDS join(21765401..21765836,21766187..21766626, 21766831..21767745) /locus_tag="BRARA_H02768" /codon_start=1 /product="hypothetical protein" /protein_id="RID52148.1" /db_xref="Phytozome:Brara.H02768" /translation="MQPIFHAILQNDLPTFLSLVEERNSSLEERTDKEHLNDTVLHMA AKLGHGELVSKIIDRRPSLVCSVNAEGNTPLHLAALLGDVDMVMQMLETGLEACTARN NNNDTPLHLACRSISMEAAKLVAEKTNSVDLGELNFAISSGSTGVAKIILERFPDLAR EEAWSVEGGSLSTLLHHACDRGDLELTRILLGLDQSLETALNTKGLLPLHLAVLRGSV VILEEFINKAPLSFLSHTPSKETVFHLAARSKNMDAFVYMAERLGSNGQFLLQQTDEN GNTVLHTAASVACGAPLIRYIIGMKIVDISNKNKMGFAAYHLLPHDAQDFELLSSWLR FDTETSDELDPDVVEVYLDASSPVKPLRSRFESSDARKNSHEDEVIKLLNLIGLNTSE MVERKTRKAHGVKKGSENLEYDMYIEALQNARNTITIVAVLIASVAYAGGINPPGGVY QDGPWRGKSIVGKTTAFKVFAICNNIALFTSLAIVILLVSIIPYKRKPLKKLLVATHR MMWVSIGFMATAYVAASWVTIPHYHGTRWLFPAIVAVAGGALAVLFSYLGVEAIGHWF KKKARVGVIPSFARNNSDLAISGKSGYFAF" gene <21768401..>21772111 /locus_tag="BRARA_H02769" /db_xref="Phytozome:Brara.H02769" mRNA join(<21768401..21768778,21768859..21769017, 21769093..21769236,21769323..21769481,21770147..21770239, 21770442..21770558,21770716..21770790,21770876..21771093, 21771181..>21772111) /locus_tag="BRARA_H02769" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02769" CDS join(21768401..21768778,21768859..21769017, 21769093..21769236,21769323..21769481,21770147..21770239, 21770442..21770558,21770716..21770790,21770876..21771093, 21771181..21772111) /locus_tag="BRARA_H02769" /codon_start=1 /product="hypothetical protein" /protein_id="RID52149.1" /db_xref="Phytozome:Brara.H02769" /translation="MEPQKEKPEEGDRTKEAMGGLEESKDKSAEMSRKEKRKAMKKMK RKQVRKEIASKEREEAEAKLNDPVEQEKLKAIEEEEARKREKELREFEESERAWREAM EIKRKKEEEEERRWKELEELRKLEASRDGECGEDEDGEYEYIEEGPPEIIFKGNEIIL RKNKVKVPKRSVAQVEGNEFVLKIADRPTSNPLPPGTEALPKHQNVSSAQQILDSVAQ EVPNFGTEQDKAHCPFHLKTGACRFGLRCSRVHFYPDKSCTILMKNMYNGPGIAWEQD EGLEYTDEEAEHCYEEFYEDVHTEFLKYGELINFKVCRNGSFHLKGNVYVHYRSMESA VLAYQSINGRYFAGKQVNCEFVNISRWKVAICGEYMKSRLKTCSRGSACNFIHCFRNP GGDYEWADYDKPPPRFWIRKMTALFGYSDEDLKHMEREYSGSLSEFRSDQPTDSLRQP SRRSRSRDHDHVNVGSKPSYRSRTRDTSRGHKLSRHEENCHGGDESPSSTRDGSLERE IYKEPRHAKETSRHESKWSEHSPTHRVPRKRIHERYSDDDSGDDYGRGETSHKRKSSR RYPRRGSDSEVQERLDDQEESRTHWSSSERRSRKEDDREGSSASQEESRAHDRVHAVG DKSQRQRSSSRYSHEGDSSESRHRPHKRSDNERKRSVETSPRDKDRDKSKQRHSYNKT GDPDSSNRGRKGKQGRESQEVKRNASGSSSDEEREERHKERSHSSSHRKRRRSSQHSS HEQTPKEPEEIERWRPM" gene 21772298..21774608 /locus_tag="BRARA_H02770" /db_xref="Phytozome:Brara.H02770" mRNA join(21772298..21772554,21772810..21772879, 21773439..21773632,21774052..21774224,21774393..21774608) /locus_tag="BRARA_H02770" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02770" CDS join(21772333..21772554,21772810..21772879, 21773439..21773632,21774052..21774224,21774393..21774462) /locus_tag="BRARA_H02770" /codon_start=1 /product="hypothetical protein" /protein_id="RID52150.1" /db_xref="Phytozome:Brara.H02770" /translation="MTIATPFSGAANSVTSAKVVLITGVSKGLGRALSLEMAKRGHTV IGCARTQEKLTALQSELSSPENHLLLTADVKSDSSVKEMAHTIMEKKGVPDIIVNNAG TINKNNKIWEVSAEDFDSVMDTNVKGVVNVLRHFIPLMLPRKQGIIVNMSSGWGRSGA ALVAPYCASKWAIEGLSRSVAKEVAEGMAVVALNPGVINTEMLTSCFGNTASLYQAPD AWAVKAATMILNLTAGDNGGSLTV" gene complement(21780027..21783508) /locus_tag="BRARA_H02771" /db_xref="Phytozome:Brara.H02771" mRNA complement(join(21780027..21781864,21781953..21782099, 21782478..21783350,21783480..21783508)) /locus_tag="BRARA_H02771" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02771" CDS complement(join(21780147..21781864,21781953..21782099, 21782478..21783345)) /locus_tag="BRARA_H02771" /codon_start=1 /product="hypothetical protein" /protein_id="RID52151.1" /db_xref="Phytozome:Brara.H02771" /translation="MAEAVVSFGVEKLWELLSRESERLTGTDEQVAGLKRQLGRLQSL LKDAYAKKHESERVRNFLENVKDIVYDAEDIIESFLLKEFGGKEKAIKKRVKRLACFL VDRRKFALDIEAITKRISEEIEGMQSFGLQQIINGGPSLPLQDREREIRQTFSKSSEN DLVGVEKSVEELVSHLVGNHSIQVVSISGMGGIGKTTLARQVFHHDTVRRGFDGFAWV CVSQQFTRKYVWQRILQDLRPHDEDIMKMDEHTLQGEVFGLLETGRYLVVLDDVWKEE DWDRIKPVFPQKRGWRMLLTSRNEGVGLHADPTSFAFKPRTLTPEESWKLFERIVFPW RAQNGSMIDEEMEAMGKEMITYCGGLPLAIKVLGGLLARKHTVVEWKRVHENIGAHIV GKSGLNYNNPSSVFRVLSLSYEDLPMKLKHCFLYLAHFPEDYKIEVKTLFNYWAAEGI IISLYDGSSTIQDSGEGYLEELARRNMVIVEESYLSSRMERCQMHDMMREVCLFKAKE ENFLQLVKVPTSTVTINAESPRKSRRLVVHSGDALDMLGHKNNHKARSVLVFGAEDNC WKLPCFGNLPLLRVLDLSYVQFKGGKLPPSIGELVHLKFLSLYEANVTHLPSSLKNLK LLLCLNLSVADLLHLVHVPNVLKEMQELRYLLLPRSMHGKTKLELGGLVNLESLANFS TKHSRVTDLLRMTKLRALSVRFTGGCTFQTLSSSLHELRNLETLSVHDRQKIRVNDHG GGDIVLDFIHLKDLTLSMHMPRFLDQYRFPPHLAHIWLIGCRMEEDPTPILEKLLYLK SVYFSSGAFVGKRMVCSRGGFPQLRSLKMSYQKEMEEWSVEEGSMPCLGTLSIDNCKK LKELPDGLMYITSLKELKIERMKREWWERLAPSGDDYCKVKHIPSVQFINCDGH" gene complement(21784277..>21790670) /locus_tag="BRARA_H02772" /db_xref="Phytozome:Brara.H02772" mRNA complement(join(21784277..21784680,21784770..21784942, 21785025..21785092,21785166..21785200,21786543..21786681, 21786799..21786883,21787452..21787579,21787663..21787746, 21788006..21788125,21788207..21788308,21788601..21788748, 21788981..21789320,21789387..21789504,21789611..21789672, 21789989..21790081,21790169..21790289,21790365..21790415, 21790527..>21790670)) /locus_tag="BRARA_H02772" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02772" CDS complement(join(21784439..21784680,21784770..21784942, 21785025..21785092,21785166..21785200,21786543..21786681, 21786799..21786883,21787452..21787579,21787663..21787746, 21788006..21788125,21788207..21788308,21788601..21788748, 21788981..21789320,21789387..21789504,21789611..21789672, 21789989..21790081,21790169..21790289,21790365..21790415, 21790527..21790670)) /locus_tag="BRARA_H02772" /codon_start=1 /product="hypothetical protein" /protein_id="RID52152.1" /db_xref="Phytozome:Brara.H02772" /translation="MEAIDELSQLSDSMKQAASLLADEDPDETSSSSRRPATFLNVVA LGNVGAGKSAVLNSLIGHAILPTGENGATRAPIIVDLSRESSLSSKAIILQIDNKSQQ VSATALRHNLQDRLGKAAPGKNRDEIYLKLRTSTAPPLKLVDLPGLDQRIVDDSMIAE YAQHNDAILLVIIPASQASEISSSRALKIAKEHDPESTRTVGIIGKIDQAEGNAKALA AVQALLSNQGPPKTTDIPWVALIGQSVSIASAAQSGSGENSLETAWRAESESLNKTLT GAPQSKLGRNALVETLASQIRSRMKLRLPNILSGLQGKSQMVQNELARLGEQLVDSAE GTRAIALELCREFEEKFLLHLAGGEGSGWKVVASFEGNFPNRIKQLPLDRHFDLNNVK RVVLEADGYQPYLISPEKGLRSLIKIVLEMAKDPARLCVDEVHRVLVDIVSASANATP GLGRYPPFKREVVAIASAALDGFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQ RREDELKGRSSKKGQDAEQSLLSRASSPQPDGPIAGGSMKSMKDKPSPQDKETREVSG LKTAGPEGEITAAVLNSLAANVPKAVVLCQVEKSKEDMLNQLYSSISAIGNERIESLI QEDQNVKRRRERYQKQSSLLSTLTRQLSIHDNRAAAASSWSDNGATESSPRTSGGSSG DDWMNAFNSAANGASDSLSRYGSGGHSRRYSDPAQNGDASSSSSGSNRRSTPNRLPPA PPQSGSSYRY" gene 21791787..21794156 /locus_tag="BRARA_H02773" /db_xref="Phytozome:Brara.H02773" mRNA join(21791787..21792429,21793164..21793190, 21793274..21794156) /locus_tag="BRARA_H02773" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02773" mRNA join(21791787..21792429,21793274..21794156) /locus_tag="BRARA_H02773" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02773" CDS join(21791857..21792429,21793164..21793190, 21793274..21793915) /locus_tag="BRARA_H02773" /codon_start=1 /product="hypothetical protein" /protein_id="RID52153.1" /db_xref="Phytozome:Brara.H02773" /translation="MARGRAGEKEEHIGLLKLAQTLSFLLIFMAGIIIGLAASSHIDR YFNSLPQMLSSSASVQTVPDYSNCTVIHRDCSNDDDDVKAAKKKDEIRDCWSVDGFVR PEEVRHGMSDDELFWRASMVPVKEEYPYDRVPKVAFMFLTRGALPMLPLWEKFFRGNE KFLSVYVHTPPGYDMNVSSDSPFYNRQVPSQASFFTKLGLKVAWGSPLLTDAEKRLLA NALLDFSNERFVLLSESCVPVYNFTTVYSYLINSAYSFVDSYDEPTRYGRGRYSRKML PDIKLHHWRKGSQWFEVNRKIAIYIISDSKYYSLFKKFCRPACYPDEHYIPTLLNMFH GSVNANRSVTWVDWSIGGPHPATYGADNITEGFLQSIRKNDTDCLYNEEPTSLCFLFA RKFAPSALAPLMNLSSTVMGF" CDS join(21791857..21792429,21793274..21793915) /locus_tag="BRARA_H02773" /codon_start=1 /product="hypothetical protein" /protein_id="RID52154.1" /db_xref="Phytozome:Brara.H02773" /translation="MARGRAGEKEEHIGLLKLAQTLSFLLIFMAGIIIGLAASSHIDR YFNSLPQMLSSSASVQTVPDYSNCTVIHRDCSNDDDDVKAAKKKDEIRDCWSVDGFVR PEEVRHGMSDDELFWRASMVPVKEEYPYDRVPKVAFMFLTRGALPMLPLWEKFFRGNE KFLSVYVHTPPGYDMNVSSDSPFYNRQVPSQKVAWGSPLLTDAEKRLLANALLDFSNE RFVLLSESCVPVYNFTTVYSYLINSAYSFVDSYDEPTRYGRGRYSRKMLPDIKLHHWR KGSQWFEVNRKIAIYIISDSKYYSLFKKFCRPACYPDEHYIPTLLNMFHGSVNANRSV TWVDWSIGGPHPATYGADNITEGFLQSIRKNDTDCLYNEEPTSLCFLFARKFAPSALA PLMNLSSTVMGF" gene complement(<21794373..>21796445) /locus_tag="BRARA_H02774" /db_xref="Phytozome:Brara.H02774" mRNA complement(<21794373..>21796445) /locus_tag="BRARA_H02774" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02774" CDS complement(21794373..>21796445) /locus_tag="BRARA_H02774" /codon_start=1 /product="hypothetical protein" /protein_id="RID52155.1" /db_xref="Phytozome:Brara.H02774" /translation="VQSLIRASDLDAASKLARFSKTRPTVFTCNAIIAAMYRAERYGE SISLFDYFFKKSNLVPNVVSYNQIINAHCDEGHVEEALEVYRYILTNSPFAPSSVTYR HLTKGLVSSGRLGDAASLLREMLSKGQAADSIVYNNLIKGYLELGDLIKANEFFDELK SKCTVYDGIVNATFMEYWFEKGDDEEAMECYRDLLEKRFRMYPHTGNVLLGVFLKYGK KSEAWGLFDEMLDNHTPPNISSVNSETVSIMVNECFEMGEFEEAVEAFKRVGRKENSR RFVMDNVGYCNIVTRFCEYGMLAEAERLFAEGVGKSLPPDAASHRVMIDAYLKAGRVE DALKMLDRMVDVNLRVVADFGTRVFGELIENGKVKECAEVLTKMGEKEPKPDPSVYEV VVRGLCDGGALEEAKDVVGQMVGYGVGFAHVLREFIIETFEKAGRREEIEKTLNTVNR PVRNSVQSGKTPPSVSSVLGANGTAGQTAGGTYKANNGQNPSRSNTSVNQQQQPWSSQ TAGKQPPSWSSQPPGYQQQQYWSQKPGWSSPSGHQQSWPNQATGQQQPWANHNTAHQQ SWGNQTDGQQKPWDNQSTNHHQQPLASQNTGHQQQRANQTTGQQKPWTNRTAGQQSAW TGKQKQWSNQTANHQQPQWPSPTSGHVANQAPWSNSENSHLPQQQEPGSSHEWQDGEE KKVAEWSK" gene complement(<21799672..>21800313) /locus_tag="BRARA_H02775" /db_xref="Phytozome:Brara.H02775" mRNA complement(<21799672..>21800313) /locus_tag="BRARA_H02775" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02775" CDS complement(21799672..21800313) /locus_tag="BRARA_H02775" /codon_start=1 /product="hypothetical protein" /protein_id="RID52156.1" /db_xref="Phytozome:Brara.H02775" /translation="MVSLFSSKKGSKESKNPYSTRGLDKFSTLLSELDEKRQNIYAKR VDSDGPPLVRFVFKSSGECVPVMIKTKKGPKKKDDAQDDLVVKTESNTKEEKELKPEA RENKQRCALKENLKKFSRPNHFLPLTTILVLIFLMFFGRSVSIMCTCIVWYLIPIIKE QSRKKGSTCKTKKKNKEKTNTENGASLNKKMACDQTVLKEKYPHVAAPCQTTR" gene 21802186..21803632 /locus_tag="BRARA_H02776" /db_xref="Phytozome:Brara.H02776" mRNA join(21802186..21802432,21802627..21802723, 21802827..21802870,21802959..21803048,21803183..21803632) /locus_tag="BRARA_H02776" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02776" CDS join(21802298..21802432,21802627..21802723, 21802827..21802870,21802959..21803048,21803183..21803386) /locus_tag="BRARA_H02776" /codon_start=1 /product="hypothetical protein" /protein_id="RID52157.1" /db_xref="Phytozome:Brara.H02776" /translation="MAFAGTTQKCMACDKTVYLVDKLTADNRVYHKACFRCHHCKGTL KLSNYNSFEGVLYCRPHFDQNFKRTGSLEKSFEGTPKIGKPDRPLEGERPAGTKVSNM FGGTREKCVGCDKTVYPIEKVSVNGTLYHKSCFKCTHGGCTISPSNYIAHEGKLYCKH HHIQLIKEKGNLSQLEGGDNAAKDKVDAA" gene 21809084..21813154 /locus_tag="BRARA_H02777" /db_xref="Phytozome:Brara.H02777" mRNA join(21809084..21809283,21809528..21809622, 21809798..21810818,21811473..21811988,21812065..21812253, 21812342..21812478,21812747..21813154) /locus_tag="BRARA_H02777" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02777" CDS join(21809188..21809283,21809528..21809622, 21809798..21810818,21811473..21811988,21812065..21812253, 21812342..21812478,21812747..21813032) /locus_tag="BRARA_H02777" /codon_start=1 /product="hypothetical protein" /protein_id="RID52158.1" /db_xref="Phytozome:Brara.H02777" /translation="MESSEEEDDLPSIESITPQSKIDSVHQSLTEKGIRKLCCELLDL KDAVENMCGDMRTKYLAFLRISEEAVEMEHELVELRKHISSQGILVQDLMSGLGREMD EWKRSSGDADESEEVEEDPFPNELTDPKSEFLEKIDILLAEHKVDEALEAIDTEERNN PELKGAADTSSYKSAFIERKALLEDQLLRIAKQPSVSVTELKHVLNGLIRLGKGPSAH QLLLKHYATSLHRRIEAFLPSCSTCPNTFPATLSKLVFSNISLAAKESASMFGDDDNP AYSNKVVQWAEREVEYLVRLVKENAAPSETVFALRAASVCLQDCLNYCKALEPQGLIL SKLFLVLFRPYVEEVLELNFRRARKVIFDLNEADEGLESSSNFVAVLSEFAIASDTTM TDCSIRFMQIVQVILEQLTHLAVLHFGESVLARVLQLYDKYIDFLIKALPGHADEDGL PELQDHTILTRAETDSEQLALLGAAFTILDELLPKSLVKVWNLEVENGGGDGGDSSSS PSLISSSAPELKEWKRHMVQAFDKLRNYFCLQFVLSFIYSREGLTRLDALIYLTETPD DLHLPSLPFQALFSKLQQLAIIAGDVLLGKEKLQKILLARLTETVIIWLSNEQEFWSA FEDESTPLQPSGLQQLILDMNFTVEIARFAGYPFKVVQNHASVVINRAINIFSSRGIN PQSSLPKAEWFTEAAKSAINRLLMGSDEASEAEEEYECEVEEGEEEEDGHIVLPEIDD DEHSESLETSSLSTMDSFESFASASMADLESPSFTDSES" gene 21813282..21817350 /locus_tag="BRARA_H02778" /db_xref="Phytozome:Brara.H02778" mRNA join(21813282..21813444,21813682..21817350) /locus_tag="BRARA_H02778" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02778" CDS 21813781..21817116 /locus_tag="BRARA_H02778" /codon_start=1 /product="hypothetical protein" /protein_id="RID52159.1" /db_xref="Phytozome:Brara.H02778" /translation="MSSQVRRDRPRSNPQQTWVPRGAANSVAAAVVNEPSLPPPANTD RTSESLDAGGAAASRPLRQRNGSARPSYNQHQRSNFAGPPPPNRQRRNNAPDNRHRVA SRTGPVNQGKKVVKEENTTVLTDASLPQLVQELQDKLVKSSIECMICYDMVGRSANIW SCSSCYSIFHMHCIKKWARAPTSVDLLAEKNQGDNWRCPGCQSVQLTSSREICYRCFC GKRKDPPSDPYLTPHSCGEPCGKPLEREVSAVAEMDRDDLCPHVCVLQCHPGPCPPCK AFAPPRSCPCGKKMIHTRCSERRSVLVCGQRCEKLLDCGRHRCERTCHVGPCDPCQVP VSATCFCKKKAETVICGEMNVKGELKADDGVYSCNFNCGKPLGCGNHFCSEVCHPGPC GDCELLPSRVKTCYCGKTRLEEQSRSSCLDPIPSCSNTCRKVLPCGLHTCNEVCHSGD CPPCLVKVNQKCRCGSSFRTVECYFTTSSETEKFVCAKSCGRKKNCGRHRCSERCCPL LNAKKNYLSGDWDPHACQLPCGKNLRCGQHSCESSCHSGHCPPCLEMIFTDLTCACGR TSIPPPLPCGTPVPSCQLPCSIPQPCGHSATHGCHFGDCPPCSAPVEKKCVGGHVVLR NIPCGLKDIRCNKICGKTRRCGLHACARTCHPEPCDGYNESEAVSRVTCGQTCGAPRR DCRHVCAALCHPSLPCPDSRCEFPVTITCSCGRLTATVPCDAGGESAGNLRVGSVNET SVLNKLPTPLQPVESSDNRIPLGQRKLSCDDECAKQERKRVLQDAFDITTPNLDSLHF SENSAMTEIISDIYRRDPKWVLAVEERFKFLVLGKARGSTSALKVHVFCPMQKDKLDT VRLLAERWKLAVSNGGWEPKKFIVVHVTQKSKPPTRIIGARGGAISVGGPHPPSFDPL VDMDPRLVVSLLDLPREANVSAVVLRFGGECELVWLNDKNALAVFHDPARAATAMRRL DHGSVYNGAVVVQNAAQSQSLGNNAWGKLPSSSSWDVQKANPWKKAVIQESDDSWGAD DSPIGGSSTDTQASAWRSATSNAPIVTSANRWSVLEPEKPKTSALEPLVQSGESSSSE AAGKKLVEGSGEEVVDDWEKVCE" gene complement(<21817750..>21818907) /locus_tag="BRARA_H02779" /db_xref="Phytozome:Brara.H02779" mRNA complement(<21817750..>21818907) /locus_tag="BRARA_H02779" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02779" CDS complement(21817750..21818907) /locus_tag="BRARA_H02779" /codon_start=1 /product="hypothetical protein" /protein_id="RID52160.1" /db_xref="Phytozome:Brara.H02779" /translation="MELLRFGEKGLFNSPHRRKKWLLLIAISGVVSGYGAYKVYHLPS VTRKRRRLMKILGAFLSVAELISESAEAMTVVSRDMKEFLTSDSDEIPNSFKQIAKIA NSKEFADSLSRVSQAVTIGVSRGYKSESCSNVVDSEPSVVDRVVDKAFSKAGTGFFSV VVGSFAKNLVLGFRSNEGVTRGDDDTVTPRWLSLLCDERSREVLAECIERFTSTAVGV YLDKTMDINAFDQIFEGMTNPKHQDSVKDVLVSVCNGAIETVVRTSHEVFTTSKSSAV VEEESNGGWTDAISNTLAVPSNRRFMFDVTGRVTLETTKSIIGFLMLKTLQGFRRSFD VVQGEVTERGRQVVGYVGAKASVIVTVWLALYLHIINRCVRNSPIGVSQHF" gene <21820534..>21821001 /locus_tag="BRARA_H02780" /db_xref="Phytozome:Brara.H02780" mRNA <21820534..>21821001 /locus_tag="BRARA_H02780" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02780" CDS 21820534..21821001 /locus_tag="BRARA_H02780" /codon_start=1 /product="hypothetical protein" /protein_id="RID52161.1" /db_xref="Phytozome:Brara.H02780" /translation="MRKGGQNKNKILRIISTPFRALNKFYVRSITGCVSRTHYFSSAS VFDPFSRSCSSSSSAFSSSASSRRTTDFGIDDDYSELVRAASVRSLGHKNEIDMFIQE KQKQGGLPKSSSVGMARIDEEEEVEDGSVNPTKVSDLLYPRSKSYAVTGSPKF" gene 21824961..21827558 /locus_tag="BRARA_H02781" /db_xref="Phytozome:Brara.H02781" mRNA join(21824961..21825165,21825580..21826069, 21826165..21826347,21826443..21826508,21826602..21826670, 21826772..21826843,21826924..21827046,21827139..21827226, 21827327..21827558) /locus_tag="BRARA_H02781" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02781" CDS join(21825647..21826069,21826165..21826347, 21826443..21826508,21826602..21826670,21826772..21826843, 21826924..21827046,21827139..21827226,21827327..21827349) /locus_tag="BRARA_H02781" /codon_start=1 /product="hypothetical protein" /protein_id="RID52162.1" /db_xref="Phytozome:Brara.H02781" /translation="MGGENNEGEMGFKHVDDESGISRVGVTSTDHFFSSVDWDPVVSG GGFSSSHYTSMVMDNPGISCFPHYQTGSDYPDMPPSLLPFGDCVGGGPVLGSDKKGES VGRLIRAGESHQVSDDVVLGASPSRKRRQLEAESSQRNKKAVEEFLEEPQRGSDQSQK KHKNDQSKEKESSQSEEAPEKNYIHMRARRGQATNSHSLAERVRREKISERMRLLQEL VPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELNIDIDRILAKDIMQSR DVRTTPTLGLNPFSGFQGTIPNVPTTTNPQYNPLHQTTLESELQSLYQMGFVSNPSTM SSFSPNNGRLKPEL" gene 21828731..21833153 /locus_tag="BRARA_H02782" /db_xref="Phytozome:Brara.H02782" mRNA join(21828731..21829060,21829491..21830005, 21830238..21830301,21830394..21830603,21830900..21831088, 21831172..21831470,21831543..21832095,21832176..21832409, 21832480..21833153) /locus_tag="BRARA_H02782" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02782" CDS join(21829578..21830005,21830238..21830301, 21830394..21830603,21830900..21831088,21831172..21831470, 21831543..21832095,21832176..21832409,21832480..21832788) /locus_tag="BRARA_H02782" /codon_start=1 /product="hypothetical protein" /protein_id="RID52164.1" /db_xref="Phytozome:Brara.H02782" /translation="MDVSALLTSAGINIGICVVLVSLYSILRKQPSNYCVYFGRMLSG GRVKRNDPRWYERFAPSPSWLVKAWETTEDEMLAAAGLDAVVFIRMVICSIRIFSVVA VVCISFVLPVNYYGPMMEHKEAHLEPLSIFTIENLNPRSRWLWVHCLSLYIISSAACA LLYFEYKTIAKKRLAYIAASSSKPSHFTVLMRAVPQSPDQSYNETVREYFTNYYAPSY LSHLMVYRDGIIQRLLNDAEKMRQAIKHVSPDVSCTPSLKSCNFCTGPGPTKSFQILS DEAGSVKKLELDELTLTTTEEERPVAFVFFKTRYDALVASEVLQTSNPMLWVADLAPE PHDVYWKNLKVPYRQLWMRKIATLVGAVAFMFVFLLPVTGIQGLTQLKTLSKNFPFLR PLLKGDIMNRVITGYLPSVILVLFFYTVPPLMMYFSTLEGCISRSLRKRSACIRVVYF TIWNVFFVNILSGSVIRQLSIFSSVKDLPAQLAKAVPTQAGFFMTYCFTSGWAGLACE IIQPVGLIWNLIARVIVKNKDASYETLRFPYHIEIPRLLLFGLLGFTNSVIAPLILPF LLIYFFLAYLIYKNQIINVYITKYESGGQYWPIFHNTTIFSLILSQVIALGFFGLKLS TVASGFTIPLILLTLLFSEYCRQRFAPIFQKYPAEILIAMDRADEITGKMEELHNNLK AAYSQIPLCSQESSKAGCSPPCSDQELPASEQLKPENLEAEMLKAFQRSKSSHDLEVK SCPSGSPCRYSPGFAEIYKRT" gene complement(21832480..21835127) /locus_tag="BRARA_H02783" /db_xref="Phytozome:Brara.H02783" mRNA complement(join(21832480..21833153,21833246..21833358, 21833429..21833524,21833589..21833705,21833780..21833878, 21833952..21834083,21834176..21834352,21834440..21834611, 21834690..21834754,21834869..21835127)) /locus_tag="BRARA_H02783" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02783" CDS complement(join(21833039..21833153,21833246..21833358, 21833429..21833524,21833589..21833705,21833780..21833878, 21833952..21834083,21834176..21834352,21834440..21834611, 21834690..21834754,21834869..21834934)) /locus_tag="BRARA_H02783" /codon_start=1 /product="hypothetical protein" /protein_id="RID52163.1" /db_xref="Phytozome:Brara.H02783" /translation="MFKAISSLRKSLVLPLHSNIRTLQTFSKYNAQAASALREKPLYQ DEEYADVDWDNLGFGLTPADYMYVMKCSKDGEFTQGEISRFGNIQLSPSAGVLNYGQA IYEGTKAYRKENGKLLLFRPDHNAVRMQLGAERMLMPSPSVDQFVDAVKQTAYANKRW VPPSGKGSLYIRPLLMGSGPILGLGPSPEYTFIVYASPVGNYFKEGIAALNLYVEEEY VRAAPGGAGGVKSITNYAPVLKALSRAKSRGFSDVLYLDSVKKKYLEEASSCNVFVVK GRTISTPATNGTILEGVTRKSVMEIASDQGYEVVEKSVHVDEVMDADEVFCTGTAVGV APVGTITYQDKRVEYETGDESVCQKLRSVLVGIQTGSIEDTKGWVTCIN" gene complement(21836567..21839821) /locus_tag="BRARA_H02784" /db_xref="Phytozome:Brara.H02784" mRNA complement(join(21836567..21836913,21837000..21837112, 21837220..21837315,21837401..21837517,21837614..21837712, 21837789..21837920,21838410..21838586,21838666..21838840, 21839302..21839375,21839500..21839821)) /locus_tag="BRARA_H02784" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02784" mRNA complement(join(21836567..21836913,21837000..21837112, 21837220..21837315,21837401..21837517,21837614..21837712, 21837789..21837920,21838410..21838586,21838666..21838840, 21839293..21839375,21839500..21839821)) /locus_tag="BRARA_H02784" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02784" CDS complement(join(21836799..21836913,21837000..21837112, 21837220..21837315,21837401..21837517,21837614..21837712, 21837789..21837920,21838410..21838586,21838666..21838840, 21839302..21839375,21839500..21839721)) /locus_tag="BRARA_H02784" /codon_start=1 /product="hypothetical protein" /protein_id="RID52166.1" /db_xref="Phytozome:Brara.H02784" /translation="MLLSSSPLCLSLSIYIYQPSLSLSALHSLTCQKLVTTSHPRVSV LVHTHKARTTFFMALRCLLKSPTTSSYISKICGFRMHGTKAVASVVEEHVSAILSEDE EYADVDWDNLGFSLVPTDYMFATKSCREGNFEQGCLSRYGNMELNPAAGILNYGQGLI EGMKAYRGEDGRVLMFRPELNAMRMKNGAERMCMHSPSVQQFMEGVKQTVLANKRWVP PPGKGSLYLRPLLFGSGASLGVSAASEYTFVVFGSPVQNYFKEGTAALNLYVEEVIPR AYIGGTGAVKAISNYGPVLDAMRRAKARGFSDVLYLDAETKKNIEEVSAANIFLVKGN TIVTPATNGTILRGIIRESVIEIALDLGYKVEERVVPVEEMKEAEEVFCTGTAAGIAS VGSITFQNIRTEYKVGDGLVTQQLRSILVGIQNGSIQDTKGWVLEID" CDS complement(join(21836799..21836913,21837000..21837112, 21837220..21837315,21837401..21837517,21837614..21837712, 21837789..21837920,21838410..21838586,21838666..21838840, 21839293..21839375,21839500..21839721)) /locus_tag="BRARA_H02784" /codon_start=1 /product="hypothetical protein" /protein_id="RID52165.1" /db_xref="Phytozome:Brara.H02784" /translation="MLLSSSPLCLSLSIYIYQPSLSLSALHSLTCQKLVTTSHPRVSV LVHTHKARTTFFMALRCLLKSPTTSSYISKICGFRMHGTKAVASVVEEHVSAILRSER EDEEYADVDWDNLGFSLVPTDYMFATKSCREGNFEQGCLSRYGNMELNPAAGILNYGQ GLIEGMKAYRGEDGRVLMFRPELNAMRMKNGAERMCMHSPSVQQFMEGVKQTVLANKR WVPPPGKGSLYLRPLLFGSGASLGVSAASEYTFVVFGSPVQNYFKEGTAALNLYVEEV IPRAYIGGTGAVKAISNYGPVLDAMRRAKARGFSDVLYLDAETKKNIEEVSAANIFLV KGNTIVTPATNGTILRGIIRESVIEIALDLGYKVEERVVPVEEMKEAEEVFCTGTAAG IASVGSITFQNIRTEYKVGDGLVTQQLRSILVGIQNGSIQDTKGWVLEID" gene 21841863..21844436 /locus_tag="BRARA_H02785" /db_xref="Phytozome:Brara.H02785" mRNA join(21841863..21842271,21842343..21842410, 21842604..21842674,21842751..21842993,21843110..21843270, 21843362..21843417,21843500..21843552,21843635..21843764, 21843836..21843933,21844020..21844436) /locus_tag="BRARA_H02785" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02785" CDS join(21842048..21842271,21842343..21842410, 21842604..21842674,21842751..21842993,21843110..21843270, 21843362..21843417,21843500..21843552,21843635..21843764, 21843836..21843933,21844020..21844091) /locus_tag="BRARA_H02785" /codon_start=1 /product="hypothetical protein" /protein_id="RID52167.1" /db_xref="Phytozome:Brara.H02785" /translation="MEVEEDSKIPGIVEEDGHGGSDLTKKKTKKKKKYWMGCLRAESD ESGNVDLSVEFPGERTEPTHLVVMVNGLIGSAQNWRFAAKQMLKKYPQDLVVHCSRRN HSTQTFDGVDVMGQRLAEEVRTVIKRHPSLQKISFVGHSLGGLIARYAVACLYEQVSP QKSDDTCDKGLIEEPKERIGGLEPVCFITSATPHLGSRGHKQVPLFSGSRTLEKLATR MSWCLGKTGKHLFLADGDDGKPPLLLRMVSDRRNLKFISALRCFKRRIAYANISFDHL VGWSTSSIRRRSELPKLQRGPVNEKYPHIVNVEAPGTSSNHEEVQSETNSNESKNFDM EEEMIRELTKMKWERVDVSFRGTVQRFLAHNTIQVKTKMINSAGADVIQHMIDNFEQ" gene complement(21844016..21846384) /locus_tag="BRARA_H02786" /db_xref="Phytozome:Brara.H02786" mRNA complement(join(21844016..21844436,21844547..21844753, 21846151..21846384)) /locus_tag="BRARA_H02786" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02786" CDS complement(join(21844369..21844436,21844547..21844753, 21846151..21846265)) /locus_tag="BRARA_H02786" /codon_start=1 /product="hypothetical protein" /protein_id="RID52168.1" /db_xref="Phytozome:Brara.H02786" /translation="MKGLGYWLMVVGSLRLASVWFGFFNIWALRLAVFSQTTMSEVHG RTFGVWTLLTCTLCFLCAFNPENKPLYLATFLSFIYALGHFLTEYLFYHTMTIANLST VAFFAGTSIVWMLWEWSSLDKPPSKLA" gene 21848429..21850445 /locus_tag="BRARA_H02787" /db_xref="Phytozome:Brara.H02787" mRNA join(21848429..21849300,21849505..21850445) /locus_tag="BRARA_H02787" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02787" CDS join(21848666..21849300,21849505..21850213) /locus_tag="BRARA_H02787" /codon_start=1 /product="hypothetical protein" /protein_id="RID52169.1" /db_xref="Phytozome:Brara.H02787" /translation="MDPCPFIRLTISNLALKLPLAAKLSSSAVHPSSSPCFCKIKLKN FPPQTAAIPYIPLETTQFPEIQTLAATFHLSSSDIKRLASRSIFASKPSLKIFIYTGS AGAACGVNSGGLLAEVSVPLDLAGTQSKPCVFHNGWISVGKGAGAGKASSAAQFHLNV KAEPDPRFVFQFDGEPECSPQVVQIQGSIRQPVFTCKFSCRNTTGDRTIRSRSLPTET SVPRSWLNSFGSERERPGKERKGWSITVHDLSGSPVAMASIVTPFVASPGTDRVSRSN PGSWLILRPGDGTWKPWGRLEAWRERGGATDGLGYRFELILDGSSGAGIVLAESSISS HRGGKFSIELGSSPTGGGVGRTRSRGGGSGGASPANSPRGGSVQKGFVMAASVEGEGK CSKPCVEVSVQHVSCMEDAAAYVALSAAVDLSMDACRLFNQRMRKELCYHSESLG" gene complement(21855207..21858519) /locus_tag="BRARA_H02788" /db_xref="Phytozome:Brara.H02788" mRNA complement(join(21855207..21855759,21855845..21858519)) /locus_tag="BRARA_H02788" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02788" CDS complement(join(21855407..21855759,21855845..21858392)) /locus_tag="BRARA_H02788" /codon_start=1 /product="hypothetical protein" /protein_id="RID52170.1" /db_xref="Phytozome:Brara.H02788" /translation="MSPSSRNLGFFSFLVFSLFSIVSSDDLQTLLNIKSSLLTSNPGA LDSWKLNSNHCSFTGVTCDSTNSVTEINLSHQTLSGTFPFHSLSALKNLQKLSLGFNS LSGTIPTDMNNCTNLTYLDLGNNLFSGSFPDFSSLSQLQYLYLNNSAFSGVFPWESLR NATKLVVLSLGDNPFNTTPFPEEVVTLRSLSWLYLSNCSITGNIPPAIGDLTELQNLE ISDSTLTGEIPPEIVKLTKLRQLEVYNNSLTGKLPLGFGSLTNLTLLDASTNYLEGDL SELRTLINLVSLQLFENRFSGEIPVEFGEFKDLVNLSLYTNNLTGSLPPKLGSLSDFD FIDASENRLTGPIPPDMCKRGTMKALLLLQNNLTGSIPESYGNCSTLESFRVNHNSLE GTVPAGLWGLPKVEIIDLANNNFEGPITADIKNAKTLGALYLGFNKFSDELPEEIGEA EALTKLELNDNWFSGRIPSSIGKLKGLSSLKMQSNGFSGDIPDSIGSCSMLSELDMAQ NELSGEIPHTLGSLPTLNALNLSDNKLSGKIPESLSSLKLSLLDLSNNGLSGRVPLSL SSYSGSFDGNPGLCSTTIKSFNRCTSSSGSHRDTHVFVLCIVFGLLILIASLVLFLYL KKTEKKEKQTLRRESWSIKSFRRMSFTEDDIIGSIKEENLIGRGGSGDVYRVVLGDGK ELAVKYIRRSSTDTFTQKNFSSTMPILKENEGRSKEFEREVQTLSSIRHLNVVKLYCS ITSDDSSLLVYEYLPKGSLWDILHSCEKSNLGWETRYDIALGAAKGLEYLHHGYERPV IHRDVKSSNILLDESFKPRIADFGLAKILQDKNGGLDSTLVVAGTYGYMAPEYGYSSK VNEKCDVYSFGVVLMELVTGRKPIEAEFGESKDIVDWVSNNLNSKESVMEVVDKNIGE MYREDAVKMLRVAILCTARQPGRRPTMRSVVQMIEDAEPCRLMGIVISKEVM" gene <21862517..21864396 /locus_tag="BRARA_H02789" /db_xref="Phytozome:Brara.H02789" mRNA join(<21862517..21862567,21862667..21862774, 21863000..21863079,21863178..21863280,21863402..21863476, 21863653..21863741,21863835..21863954,21864054..21864098, 21864191..21864396) /locus_tag="BRARA_H02789" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02789" CDS join(21862517..21862567,21862667..21862774, 21863000..21863079,21863178..21863280,21863402..21863476, 21863653..21863741,21863835..21863954,21864054..21864098, 21864191..21864215) /locus_tag="BRARA_H02789" /codon_start=1 /product="hypothetical protein" /protein_id="RID52171.1" /db_xref="Phytozome:Brara.H02789" /translation="MDARFLYPLESCKIIHLLRHGQAMHNVAAEKDRNALLSPHLFDA PLTDHGHEQVETLRERVVSSGLLKRVELVVTSPLLRTMQTAVGVFGNEDKQQNVTSSP SIVALEVARDRNGVRPPDMRRNVSEYQTLFPTIDFSQIESEEDNLWRPDVRESEEEIF ARGLEFMKWLWKRPEKEVAVVSHGIVLQHMLYVFANDCDDSIRHELCKRFANCEIRTV VIVDKGIGSSTES" gene 21865512..21868539 /locus_tag="BRARA_H02790" /db_xref="Phytozome:Brara.H02790" mRNA join(21865512..21865740,21865933..21866058, 21866174..21866253,21866920..21867022,21867141..21867215, 21867309..21867397,21867746..21867865,21868018..21868059, 21868144..21868539) /locus_tag="BRARA_H02790" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02790" mRNA join(21865512..21865740,21865933..21866058, 21867141..21867215,21867309..21867397,21867746..21867865, 21868018..21868059,21868144..21868539) /locus_tag="BRARA_H02790" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02790" CDS join(21865675..21865740,21865933..21866058, 21866174..21866253,21866920..21867022,21867141..21867215, 21867309..21867397,21867746..21867865,21868018..21868059, 21868144..21868228) /locus_tag="BRARA_H02790" /codon_start=1 /product="hypothetical protein" /protein_id="RID52173.1" /db_xref="Phytozome:Brara.H02790" /translation="MDLWGGQPEKLLSSPKFKTLYLVRHAQGIHNVVLEEKRGIDEIG DVHLSPKLFDAPLSPKGVQQVSEQHKQILKSGLLNNIELVITSPLRRAMETAVGIFRG HKDINLSHNFPPIVALELCRERMGLYPCDRRESISTRRICFPEIDFTMVESDEDALWR EEERENLEEVSARGFRFLKWLWERPEKEIAVVSHGIFLQQTLCALHEKVSIPLEDSLL TRFANCELRSIRIDESDMEAVTVTTYNCIKSVDPPSTSLHTLE" CDS join(21865675..21865740,21865933..21866058, 21867141..21867215,21867309..21867397,21867746..21867865, 21868018..21868059,21868144..21868228) /locus_tag="BRARA_H02790" /codon_start=1 /product="hypothetical protein" /protein_id="RID52172.1" /db_xref="Phytozome:Brara.H02790" /translation="MDLWGGQPEKLLSSPKFKTLYLVRHAQGIHNVVLEEKRGIDEIG DVHLSPKLFDAPLSPKGVQQGLYPCDRRESISTRRICFPEIDFTMVESDEDALWREEE RENLEEVSARGFRFLKWLWERPEKEIAVVSHGIFLQQTLCALHEKVSIPLEDSLLTRF ANCELRSIRIDESDMEAVTVTTYNCIKSVDPPSTSLHTLE" gene 21869162..21871033 /locus_tag="BRARA_H02791" /db_xref="Phytozome:Brara.H02791" mRNA join(21869162..21869322,21870019..21870154, 21870271..21870408,21870486..21870607,21870684..21871033) /locus_tag="BRARA_H02791" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02791" CDS join(21869279..21869322,21870019..21870154, 21870271..21870408,21870486..21870607,21870684..21870840) /locus_tag="BRARA_H02791" /codon_start=1 /product="hypothetical protein" /protein_id="RID52174.1" /db_xref="Phytozome:Brara.H02791" /translation="MTTETGEISIVCNHCDRDIPAPNIDLHRVHCARNLEKCKICGDM VPKKHAEEHFSNTHAPVPCSMCNETIARDAFDNHKGEICPKRIVTCEFCEFPLPAVDL AEHQEVCGNRTELCYQCNSYVRLRETYSHQTKCPGSVLNNVESSRRMPRAAEGDGNGR RRRDGNGVSNKRLFFTIAITGIAILIGSLFFQRKPEGS" gene <21872352..>21875357 /locus_tag="BRARA_H02792" /db_xref="Phytozome:Brara.H02792" mRNA join(<21872352..21872397,21872550..21872695, 21872871..21872992,21873076..21873141,21873261..21873377, 21873445..21873498,21873646..21873787,21873861..21873905, 21873940..21874137,21874214..21874355,21874446..21874722, 21874792..21874924,21875106..>21875357) /locus_tag="BRARA_H02792" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02792" CDS join(21872352..21872397,21872550..21872695, 21872871..21872992,21873076..21873141,21873261..21873377, 21873445..21873498,21873646..21873787,21873861..21873905, 21873940..21874137,21874214..21874355,21874446..21874722, 21874792..21874924,21875106..21875357) /locus_tag="BRARA_H02792" /codon_start=1 /product="hypothetical protein" /protein_id="RID52175.1" /db_xref="Phytozome:Brara.H02792" /translation="MFLFSSRVFHLVTTTGYQRHLLRQKGWMIALIMFLSLFEIAISQ NQSCDPETTQVLQLHYQDQHVVIDNGIFQLTLSNPEGFVTGVQYNGIENVLAYSGHEN DRGYWDLVWNFPGKKTKKTKGTLDRIEATKMEVITQNDEQIEISFSRTWNASSNVTVP VNIDKRFVVLRNSSGFYTYAIFERFDYMAISDERQRYMPLPDDRIPPRGKPLAYPEAV QLLDPIEPEFKGEVDDKYEYSMESKDIKTKIEEEKWPYNFTNSIDFPASDQRGHVSGR LLVRDRYVRSEDIPANGSYVGLAAPGDVGSWQREYKGYQFWSKADEEGYFCINNVRSG RYNLYAFVPGFIGDYHNETVFDISPGSNTCLGELVYEPPRDGPTLWEIGVPDRTAAEF YIPDPNPMFVNKLYVNSSDNKYRQYGLWERYSELYPDEDMVYDVDVDDYSKDWFYMQV TRKQADGGYNGSTWEIKFQVDDIMENLTGNFKLRIALATSNVAELQVRVNDVSVDPPL FTTGQIGKDNTIARHGIHGLYWLYSVDVPASSLGLGCNSICLTQPLAISPFQGLMYDY IRLEYPDSVNHIT" gene 21877201..21879693 /locus_tag="BRARA_H02793" /db_xref="Phytozome:Brara.H02793" mRNA join(21877201..21877266,21877449..21877523, 21877752..21877808,21877884..21878024,21878121..21878171, 21878502..21878597,21878790..21878873,21878982..21879084, 21879363..21879693) /locus_tag="BRARA_H02793" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02793" CDS join(21877219..21877266,21877449..21877523, 21877752..21877808,21877884..21878024,21878121..21878171, 21878502..21878597,21878790..21878873,21878982..21879084, 21879363..21879451) /locus_tag="BRARA_H02793" /codon_start=1 /product="hypothetical protein" /protein_id="RID52176.1" /db_xref="Phytozome:Brara.H02793" /translation="MNQLMPLIYVKLYTYQICRALAYIHNCCGLCHRDIKPQNLLVNP HTHQLKICDFGSAKLLVKGEPNISYICSRYYRAPELIFGASEYTTAIDIWSTGCVMAE LLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQK RLPPEAVDLLCRFFQYSPNLRCTALEACIHPLFDELRDPNTRLPNGRPLPPLFNFKPQ ELSGIPSEIVNRLVPEHARKQNLFMALDA" gene 21879890..21882385 /locus_tag="BRARA_H02794" /db_xref="Phytozome:Brara.H02794" mRNA join(21879890..21880270,21880339..21880712, 21881174..21881704,21881774..21882385) /locus_tag="BRARA_H02794" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02794" CDS join(21879991..21880270,21880339..21880712, 21881174..21881704,21881774..21882190) /locus_tag="BRARA_H02794" /codon_start=1 /product="hypothetical protein" /protein_id="RID52177.1" /db_xref="Phytozome:Brara.H02794" /translation="MSLCTSNLHPSSSSSLNLFSNNNISPKPFLNSLRFATSTSSSFV APLKLSTTNHALSSRLPSNRIQSRQLWLRCATSESEPSISVSPGGNGGAEERVIVLVI GGGGREHALCHALKRSPSCNSVLCAPGNPGITSSGDAACVPDLDVSDSSAVISFCRKH NVGLVVVGPEVPLVAGLANDLVSAGILTFGPSSQAAALEGSKNFMKDLCRKYSIPTAK YKTFSDATAAKEYIQEQGVPIVIKADGLAAGKGVTVAMELEEAFEAVDSMLVQGVFGA AGCQVIVEEFLEGEEASFFALVDGENAIPLESAQDHKRVGDGDTGPNTGGMGAYSPAP VLTKELEGVVMETIIHPTVKGMAEEGCKFVGVLFAGLMIEKKSGLPKLIEFNVRFGDP ECQVLMMRLESDLAKVLLAACRGELKGVTLDWSRDSAMVVVMASRGYPGAYEKGTIIR NLEEAERVAPGVKVFHAGTGLDEEGNVVASGGRVLGVTAKGRDLEEARERVYTAVGQI NWPGGFFRSDIGWRALLQKQVASKD" gene complement(21883647..21884948) /locus_tag="BRARA_H02795" /db_xref="Phytozome:Brara.H02795" mRNA complement(join(21883647..21884042,21884403..21884948)) /locus_tag="BRARA_H02795" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02795" CDS complement(join(21883896..21884042,21884403..21884672)) /locus_tag="BRARA_H02795" /codon_start=1 /product="hypothetical protein" /protein_id="RID52178.1" /db_xref="Phytozome:Brara.H02795" /translation="MNESSSWSIYSARDGESEGPWRSSTSMSAISFGFVATAILVSMF LIMAIFEHLFRPENSSFDSPHRIRQRQNQSSDGSGQFQKLATQASMVPVNMAVDVSIV MPGKNLPSHIALPAPLPCGREGIHSLASPPLASLLV" gene <21886792..>21889214 /locus_tag="BRARA_H02796" /db_xref="Phytozome:Brara.H02796" mRNA join(<21886792..21886849,21886925..21887004, 21887559..21888018,21888340..21888484,21888577..21888857, 21888934..>21889214) /locus_tag="BRARA_H02796" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02796" CDS join(21886792..21886849,21886925..21887004, 21887559..21888018,21888340..21888484,21888577..21888857, 21888934..21889214) /locus_tag="BRARA_H02796" /codon_start=1 /product="hypothetical protein" /protein_id="RID52179.1" /db_xref="Phytozome:Brara.H02796" /translation="MLKLLFAVTTILFTIAPSTHGFDPLDPYGEMIIKWDLLQSSPGH HTVLVKIENKQEYRHVEKPGWKLSWHWVNKTVIWDMRGAETTEQGNCSAFAASETLPH CCLRRPTIVDLLPGAPFNMQVSNCCRGGVLTSMSQDRINYVSAFHMTIGSFPDDDPGE FTMPYDFDIGVPGYTCGNATSVDPTKYSTDKGRRKTQALATWEAECVYSQTKSSQSPK CCVSLSAFYYQNIVPCPTCSCGCSSSNCVKPGEMPPILEQKHDPHVEVSPLVQCTKHM CPIHIHWHVKVNYKKYWRVKITATNLNTMKNYSDWNLVVLHPNLNNVTQVFSFNYKPM TPLHKSINDTGMFWGLKFYNDVLLQAGEFGNVQTEILLEKDMGSFTFKDGWGFPRRIL FNGDECVMPSPDDYPRLPNSASSSSGVSSIVTFLLCFFLLLV" gene 21890123..21892938 /locus_tag="BRARA_H02797" /db_xref="Phytozome:Brara.H02797" mRNA join(21890123..21890332,21890841..21891119, 21891192..21891820,21891917..21892205,21892291..21892446, 21892532..21892938) /locus_tag="BRARA_H02797" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02797" CDS join(21890174..21890332,21890841..21891119, 21891192..21891820,21891917..21892205,21892291..21892446, 21892532..21892693) /locus_tag="BRARA_H02797" /codon_start=1 /product="hypothetical protein" /protein_id="RID52180.1" /db_xref="Phytozome:Brara.H02797" /translation="MASNSAWKLDDHPKLPKGKTIALIVLDGWGESAPDEYNCIHTAP TPTMDSLKNGRPDTWTLIKAHGTAVGLPSEDDMGNSEVGHNALGAGRIFAQGAKLCDI ALASGKIFDGEGFKYVSESFEKNTLHFVGLLSDGGVHSRLDQLQLLIKGSAERGAKRI RVHILTDGRDVLDGSSVGFVETLEADLAKLRDNGVDAQIASGGGRMYVTLDRYENDWG VVKRGWDAQVLGEAPHKFKNAVEAVKTLRKEPGANDQYLPPFVIVDDAGKAVGPIVDG DAVVTFNFRADRMVMHAKALEYEDFDKFDRVRFPKIRYAGMLEYDGELKLPSRYLVSP PEIDRTSGEYLTHNGVSTFACSETVKFGHVTFFWNGNRSGYFNEKLEQYVEIPSDSGI SFNVKPKMKALEIAEKARDAILSGKFDQVRVNLPNSDMVGHTGDIDATVVACEAADVA VKMILDAIEQVKGIYVVTADHGNAEDMVKRDKAGKPALDKEGKLQILTSHTLKPVPIA IGGPGLSEGVRFRKDLETPGLANVAATVMNLHGFVAPADYEPTLIEVVE" gene complement(21892984..21893645) /locus_tag="BRARA_H02798" /db_xref="Phytozome:Brara.H02798" mRNA complement(join(21892984..21893410,21893520..21893645)) /locus_tag="BRARA_H02798" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02798" CDS complement(join(21893063..21893410,21893520..21893609)) /locus_tag="BRARA_H02798" /codon_start=1 /product="hypothetical protein" /protein_id="RID52181.1" /db_xref="Phytozome:Brara.H02798" /translation="MENARKKMDEDEKKAEHMKAKYKTYTKGHEKRAETVWSQIEASL KQIEIGGTEVECFKALKRQEEMAASFRKKNLEEEVVKQKETERKLQARYGELSSTLEK AEEIMVGFRAQALKQADVKDSHNLKEAKLATGEDVAISTEASV" gene 21896497..21898424 /locus_tag="BRARA_H02799" /db_xref="Phytozome:Brara.H02799" mRNA join(21896497..21896643,21896731..21896771, 21896844..21896973,21897047..21897252,21897575..21897733, 21897892..21898026,21898193..21898424) /locus_tag="BRARA_H02799" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02799" CDS join(21896562..21896643,21896731..21896771, 21896844..21896973,21897047..21897252,21897575..21897733, 21897892..21898026,21898193..21898198) /locus_tag="BRARA_H02799" /codon_start=1 /product="hypothetical protein" /protein_id="RID52182.1" /db_xref="Phytozome:Brara.H02799" /translation="MVKLTADLVWNSPHFFNAIKERELELRGNKIPVIENLGATEDQF DTIDLSDNEIVKLENFPLLNRLGTLIVNNNRITRINPNIGEFLPKLHTLVLTNNRLVN LVEIDPLASIPKLQYLSLLDNNITKKPNYRLYVIHKLKSLRVLDFIKVKAKERAEAAA LFSSKEAEEEVKKVSQEVAQKVSDTTEDAEAPKVVAPTQEQILAIKAAIINSQTIEEI ARLEQALKFGQVPAGLVVPDPASGVNDGSGPMEE" gene complement(<21898834..>21900192) /locus_tag="BRARA_H02800" /db_xref="Phytozome:Brara.H02800" mRNA complement(<21898834..>21900192) /locus_tag="BRARA_H02800" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02800" CDS complement(21898834..21900192) /locus_tag="BRARA_H02800" /codon_start=1 /product="hypothetical protein" /protein_id="RID52183.1" /db_xref="Phytozome:Brara.H02800" /translation="MASSTLHFFFVALLLPLTLTTATRDTCATAAPDGSDDLSIIPVN ARCSPFAANPTSTSVMDTVLHMAAADSHRLTYLSSLVADKPNRTSVPVASANQLLHTG NYVVRARLGTPPQLMFMVLDTSNDAVWLPCSGCSGCSTAATAAYFNPNSSSTYSTVSC SAAQCTQARGLKCPSSSPSPPSSVCSFNQSYGGGSSFSASLVQDTLTLASDVISNFSF GCINSASGNSLPPQGLMGLGRGPTSLISQTTSLYSGVFSYCLPSFRSFYFSGSLKLGP MGQPRSIRYTPLLRSAHRPSLYYVNLTGVSVGPTQVPVDPTYLTFDSSSGAGTIIDSG TVITRFVQPVYEAIRDEFRSQVKGPFSTLGAFDTCFAADSDNLAPKVTLHMTSLDLKL PVENTLIHSSAGTLACLSMAGIPLNANAVLNVIANLQQQNLRILFDVANSRLGIATEA CN" gene complement(21903837..21905839) /locus_tag="BRARA_H02801" /db_xref="Phytozome:Brara.H02801" mRNA complement(join(21903837..21904092,21904339..21904433, 21904934..21905052,21905411..21905514,21905588..21905839)) /locus_tag="BRARA_H02801" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02801" CDS complement(join(21904343..21904433,21904934..21905052, 21905411..21905514,21905588..21905789)) /locus_tag="BRARA_H02801" /codon_start=1 /product="hypothetical protein" /protein_id="RID52184.1" /db_xref="Phytozome:Brara.H02801" /translation="MDVSGSLTCVVVAVDGSEVSMEALKWALDNLKLSPSSPDSSFVV LHVQPSPSIAAGVSPGTIPFGGPSGVEVPAFAAAIEQHQKRITDAILEHARQIYADRS VNVKTQVVVGDPKDKICETVESLNADLLVLGSRAYGPIKRMFLGSVSNYCTNHAHCPV VIIKPKEDTSG" gene 21906169..21912251 /locus_tag="BRARA_H02802" /db_xref="Phytozome:Brara.H02802" mRNA join(21906169..21906420,21906722..21907110, 21907204..21907294,21907395..21907432,21907848..21908045, 21908161..21908236,21908480..21908588,21908881..21908937, 21909135..21909266,21909493..21909693,21909776..21909831, 21909906..21909999,21910278..21910368,21910519..21910638, 21910794..21911216,21911308..21912251) /locus_tag="BRARA_H02802" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02802" CDS join(21906282..21906420,21906722..21907110, 21907204..21907294,21907395..21907432,21907848..21908045, 21908161..21908236,21908480..21908588,21908881..21908937, 21909135..21909266,21909493..21909693,21909776..21909831, 21909906..21909999,21910278..21910368,21910519..21910638, 21910794..21911216,21911308..21912102) /locus_tag="BRARA_H02802" /codon_start=1 /product="hypothetical protein" /protein_id="RID52185.1" /db_xref="Phytozome:Brara.H02802" /translation="MKKNIDVFDFNEEDELAESASGKLLEKFANPSSSVSPILQCGRI QSFCQEETALKEVEGASCAEAATDVVDRHCEDAPALATEPDDFSKDHLTESDVNHGLV FGLNTEDREKEADVDEDNHGLMFGFDIEDHMKETDADHGLESFSCQPSAKSFYAETSS YSQPQLNSPFSDSSSSPERTDMMSAIDESLSDRSALSEASDSEGDEDWMSEHCFDDME KIDRNTAVIMIPEYVVLKDMPCAPSLVIFSCNGIKIKSYPDNNEEGPFCCEFGVEDIV SIQYNWYQNVGVIILRIRVLLKDEKCHEDRQHTTDIEELKFAVKDHNWPEKQQQINSL HVKYPAVWSADLDEDVEVSGDNLHQRKRYFPRFDEPFEDVVYPKGDPDAVSVCKRDVE LLQPETFVNDTIIDFYINYLKNQIQAEERQRFHFFNSFFFRKLADLDKDPSSIADGKA AFLRVRKWTRKVDMFGKDYIFVPVNFSLHWSLIIICHPGEVANGTDLDLEDSPKVPCI LHMDSIKGSHAGLKNLVQSYLCEEWKERHKETSDDISSRFMNLRFVSLELPQQENSFD CGLFLLHYLELFLAEAPQNFSPFKIYNASNFLYLNWFPPAEASLKRTLIEKLIFELLE NRSREVINEQDQSCESPVPVNNKTGIEVLSGRCTSLIDCNGNMTQTQEEQGIEMTLLE RSAMRNMQAVNDSGMVLRDLFDAGASNTGSLLGQLQQTFDEPSSFYHLSNNSLATEQV EMESGEQFMCLNSGEGSNFQRITGTASPRASASFSSLNLSMMSVQKEGVADSLSNDSE DIGIIEETGESPSRETVSLFSATLGPSTDHNTENDELPSTQDELVVASSQDGRDEEKQ LENDVGIEEKTSEDLKMGDKMSGDLVIEDKTSEAPGIGDKTSEVLGIEDKNNEDPAIE DKTSEVPGIVDKMSEDLGIEEDKISKDLGIEKTRDDLGIEEKTSEDVGDDCGDKKEKE KEPMEEEEAKRAAKRPRLSSTGEVEEMEIN" gene 21912533..21914298 /locus_tag="BRARA_H02803" /db_xref="Phytozome:Brara.H02803" mRNA join(21912533..21912638,21913134..21913396, 21913601..21914298) /locus_tag="BRARA_H02803" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02803" CDS join(21912614..21912638,21913134..21913396, 21913601..21914149) /locus_tag="BRARA_H02803" /codon_start=1 /product="hypothetical protein" /protein_id="RID52186.1" /db_xref="Phytozome:Brara.H02803" /translation="MTANEVPSGVSNCYVFKSRLQEYAQKYKLPTPIYETVKEGPSHK SLFQSTVIVDGVRYDSLPGFFNRKAAEQSAAEVALQELSKSTELGQCVSLPVHEMGLC KNLLQEYAQKMNYAIPLYQCQKSETTGRAPQFTCTVEIGGIKYTGAATKTKREAEISA GRTALIAIQSESKIDLANNHSTQLTVLPCEKKTVEVASPVKETIKTPKARRAQFKRKA KKGKRKAAGTIIPPEPTEHCQNDQQPENVEKTLNLEPSSCLNGFKEDSTFASVETEAN LA" gene 21914596..21915606 /locus_tag="BRARA_H02804" /db_xref="Phytozome:Brara.H02804" mRNA join(21914596..21914865,21915177..21915606) /locus_tag="BRARA_H02804" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02804" CDS join(21914629..21914865,21915177..21915434) /locus_tag="BRARA_H02804" /codon_start=1 /product="hypothetical protein" /protein_id="RID52187.1" /db_xref="Phytozome:Brara.H02804" /translation="MPAGHGVRARTRDLFARGFRKKGTIPLSTYLRTFKVGDYVDVKV NGAIHKGMPHKFYHGRTGRVWNVTKRAVGVEVNKQIGNRIIKKRLHVRVEHVQQSRCA EEFKLRIKKNDELKAAAKAKGETISTKRQPKGPKPGFMVEGMTLETVTPIPYDVVNDL KGGY" gene 21916080..21918375 /locus_tag="BRARA_H02805" /db_xref="Phytozome:Brara.H02805" mRNA join(21916080..21916232,21916318..21916458, 21916680..21916765,21916865..21916989,21917057..21917194, 21917273..21917389,21917756..21917899,21917986..21918375) /locus_tag="BRARA_H02805" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02805" CDS join(21916328..21916458,21916680..21916765, 21916865..21916989,21917057..21917194,21917273..21917389, 21917756..21917899,21917986..21918141) /locus_tag="BRARA_H02805" /codon_start=1 /product="hypothetical protein" /protein_id="RID52188.1" /db_xref="Phytozome:Brara.H02805" /translation="MMESGAGFAAMEERIPPGSFFQFPLSGFRASPNRSPCPPSGRER YLAELLQERQKLAPFLQVMPHCCRLLNQEIRKISSLSDLDRYENGSPFRSLGQPTNGK IDLDGWPMMQGEDNFHLQRGSPFRAPPPVGWVGMPGLHPPPIVKRIIRLDVPVDKFPT YNFVGRILGPRGNSLKRVEQATHCRVFIRGRGSVKDTVKEEKLKGKPGYEHLCEPLHI LIEAELPEDIVHSRLEHAVHFLESLLMPMDESMDHYKREQLKELAVLNGTLREESPSP SLSPCLSPSMSPFNSKRAKTGQ" gene complement(21918377..21919498) /locus_tag="BRARA_H02806" /db_xref="Phytozome:Brara.H02806" mRNA complement(join(21918377..21918774,21919237..21919498)) /locus_tag="BRARA_H02806" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02806" CDS complement(join(21918536..21918774,21919237..21919315)) /locus_tag="BRARA_H02806" /codon_start=1 /product="hypothetical protein" /protein_id="RID52189.1" /db_xref="Phytozome:Brara.H02806" /translation="MRETSASGLSWRRVVFFSSIALHFVLGLSGDSKDASKGVKAESH TASSKTGTKVILVLVGFVAAAMFAFFLYKLWQKKKRDEQYARLLKLFEEDDELEVELG LRD" gene complement(21919933..21922356) /locus_tag="BRARA_H02807" /db_xref="Phytozome:Brara.H02807" mRNA complement(join(21919933..21920449,21920535..21921018, 21921112..21921213,21921301..21921353,21921450..21921510, 21921597..21921746,21921946..21922010,21922121..21922356)) /locus_tag="BRARA_H02807" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02807" CDS complement(join(21920070..21920449,21920535..21921018, 21921112..21921213,21921301..21921353,21921450..21921510, 21921597..21921746,21921946..21921954)) /locus_tag="BRARA_H02807" /codon_start=1 /product="hypothetical protein" /protein_id="RID52190.1" /db_xref="Phytozome:Brara.H02807" /translation="MALVMHSYKGNKNSDKAFIAAEFAGVKIDMPSGFEMGVTNKTPE FLKINPLGKIPVLETPEGPIFESNAIARYVSRLNGDNSLNGSSLIEYAHIEQWIDFSS LEIYGNIFNWFVPRMGFMPYSVPGEEAAISALKRGLEALNTHLASNTYLVGHSVTLAD IITVCNLNLGFSTVLTKSFTSAFPHVERYFWTLINQPNFKKVVGDVKQTESVPAIKKA GQPAKPKEQPKKKEAPAAEAPKVVEEEEAPKPKAKNPLDLLPPSPMVLDEWKRLYSNT KSNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENMVSFVTLNKVGGFLQRMDLARKYA FGKMLICGSEGPFKVKGLWLFRGPEIPKFVMDEVYDMELYEWTKVDISDEAQKERVSQ MIEDAEPFEGEALLDAKCFK" gene complement(21922781..21923912) /locus_tag="BRARA_H02808" /db_xref="Phytozome:Brara.H02808" mRNA complement(21922781..21923912) /locus_tag="BRARA_H02808" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02808" CDS complement(21922959..21923804) /locus_tag="BRARA_H02808" /codon_start=1 /product="hypothetical protein" /protein_id="RID52191.1" /db_xref="Phytozome:Brara.H02808" /translation="MRTRTSQTQELKLLLICLFAALVLIFIVRSTLTTSQQHQTPEET TRSKGCAGACNKLPRSLAQALIHYSTSVITPQQTLKEIAVSSRVLDKKSPCNFLVFGL GHDSLMWSSLNYGGRTVFLEEDEAWIKQIKRRFPMLESYHVTYDSKVNQAENLIEVGK GPECTAIGDPRYSMCQLALKGLPAEIYETSWDLIMVDAPTGYYDEAPGRMTAIYTAGM MARNRERVGETEVFVHDVNREVEDKFSKAFLCEGYMKKQEGRLRHFVIPSYRDGSESN RPFCP" gene complement(<21924288..>21926918) /locus_tag="BRARA_H02809" /db_xref="Phytozome:Brara.H02809" mRNA complement(join(<21924288..21924394,21924493..21924799, 21924979..21925084,21925168..21925268,21925372..21925917, 21926043..21926327,21926430..>21926918)) /locus_tag="BRARA_H02809" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02809" CDS complement(join(21924288..21924394,21924493..21924799, 21924979..21925084,21925168..21925268,21925372..21925917, 21926043..21926327,21926430..21926918)) /locus_tag="BRARA_H02809" /codon_start=1 /product="hypothetical protein" /protein_id="RID52192.1" /db_xref="Phytozome:Brara.H02809" /translation="MGCSCSKGARANDTIIDENINNVKERRSTPYSKPSKKRKNSASS RIANVGSSSDGFINDMDTTLAVLIPSDATNSTHMSSGEVSKVNLERKSSRSVFQRRPN NETNKLGAPQQPKMTRITSVSNGERGAQVVAGWPSWLASVAGEAINGWIPRKADSFEK LEKIGQGTYSSVYKARDLETSQIVALKKVRFANMDPDSVRFMAREIIILRRLDHPNVM KLEGLITSRVSGSMYLIFEYMEHDLAGLASTPGVKFSESQIKCYMKQLLHGLEHCHSR GVLHRDIKGSNLLLDHNNNLKIGDFGLANFYGDHQKQPLTSRVVTLWYRPPELLFGST DYGVAVDMWSTGCILAELFNGKAIMPGRTEVEQLHKIFKLCGSPSEEYWKGSKLPHAT IFKPQQPYKRCVAETFKSLPSSALALVEVLLAVEPDARGTTALALESEFFSTKPFASD PSSLPKYQPRKELDVKLQKEEARRKKGTISKENELKQVARESKAVPAPDANAELLASI QKRQEQNNQTSMTEKFNPNEDAALKSVVMRGSSRSPPPRKELRMQRSFVQRGSAQLTK FSNSVAARDASHFAIANPRWFEDKYNNKKEKQKDGDDCTKDKESTVNKIKRMNISRAL VSAGGNLDVMLKEDERQIQLAA" gene complement(21927446..21928599) /locus_tag="BRARA_H02810" /db_xref="Phytozome:Brara.H02810" mRNA complement(join(21927446..21927839,21928312..21928599)) /locus_tag="BRARA_H02810" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02810" CDS complement(join(21927582..21927839,21928312..21928548)) /locus_tag="BRARA_H02810" /codon_start=1 /product="hypothetical protein" /protein_id="RID52193.1" /db_xref="Phytozome:Brara.H02810" /translation="MPAGHGVRARTRDLFARGFRKKGTIPLSTYLRTFKVGDYVDVKV NGAIHKGMPHKFYHGRTGRVWNVTKRAVGVEVNKQIGNRIIKKRLHVRVEHVQQSRCA EEFKLRIKKNDELKAAAKAKGETISTKRQPKGPKPGFMVEGMTLETVTPIPYDVVNDL KGGY" gene complement(<21929107..>21930434) /locus_tag="BRARA_H02811" /db_xref="Phytozome:Brara.H02811" mRNA complement(join(<21929107..21929251,21929327..21929403, 21929512..21929724,21930195..>21930434)) /locus_tag="BRARA_H02811" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02811" CDS complement(join(21929107..21929251,21929327..21929403, 21929512..21929724,21930195..21930434)) /locus_tag="BRARA_H02811" /codon_start=1 /product="hypothetical protein" /protein_id="RID52194.1" /db_xref="Phytozome:Brara.H02811" /translation="MNTMREFESSKKKMSDKMRHGLTTAILLFILVPVGEAIWLDVPT TGTKCVSEEIQSNIVVLADYIIISEDHSLKPTISAKVTSPYGNNLHHSENVTHGEFAF TTKESGNYIACFWADAKSHGNKDVSINVEWKTGIATKDWASIAKREKLEGVELEIRKL EGAVEAIHENLIYLRNKEADMRTVSEKTNSRVAWCSMMSLGICIVVSGLQVAYLKHYF EKKKLI" gene 21932508..21933583 /locus_tag="BRARA_H02812" /db_xref="Phytozome:Brara.H02812" mRNA join(21932508..21932979,21933063..21933583) /locus_tag="BRARA_H02812" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02812" CDS join(21932590..21932979,21933063..21933557) /locus_tag="BRARA_H02812" /codon_start=1 /product="hypothetical protein" /protein_id="RID52195.1" /db_xref="Phytozome:Brara.H02812" /translation="MWSMSLIRRTALSGAFRASSSSSHRTCLLGGGVLRSFSAKTSPD EEEQKKKEFTLAETKKLMRLVNLEDMKKKLVGAAERDVVPYSALLEASQGMGLARSPN EAHVLARVLDDAGVVLIFRDKVYLHPDKVVDLIRRVFPTDETPEEAQIKDEFNKMRTM KEEIDVLAHRQVRKILWCGLATAIVQISLFVRLTFWEFSWDVMEPITFFATATSIILG YAYFLITSSDPTYQDLMKRLFLSRQRKLLKAQRFDAERFQALERMHKATSSHAAASIR NRVGLELDLDDALQSRKD" gene complement(21934916..21935904) /locus_tag="BRARA_H02813" /db_xref="Phytozome:Brara.H02813" mRNA complement(join(21934916..21935589,21935673..21935904)) /locus_tag="BRARA_H02813" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02813" CDS complement(join(21935045..21935589,21935673..21935790)) /locus_tag="BRARA_H02813" /codon_start=1 /product="hypothetical protein" /protein_id="RID52196.1" /db_xref="Phytozome:Brara.H02813" /translation="MASPTSFLSIFLCLVAFLFITVSADPDMLQDLCVADLSSGIKVN GFPCKDAANVSSLDFFSQGIANPGLTNNTFGALVTGANVMTIPGLNTLGVSLARIDYA PGGLNPPHTHPRATEVVYVLEGTLDVGFLTTANRLISQSLKKGDVFAFPRGLVHFQKN NGRVPAAVIAAFNSQLPGTQSLGATLFGSTPPVPDEILSQAFQTTPRIVRNIKSRFQP KK" gene 21936971..21940767 /locus_tag="BRARA_H02814" /db_xref="Phytozome:Brara.H02814" mRNA join(21936971..21937188,21937503..21937585, 21938567..21938696,21938803..21938876,21938969..21939055, 21939151..21939275,21939360..21939420,21939508..21939561, 21939642..21939719,21940089..21940191,21940304..21940449, 21940572..21940767) /locus_tag="BRARA_H02814" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02814" CDS join(21936996..21937188,21937503..21937585, 21938567..21938696,21938803..21938876,21938969..21939055, 21939151..21939275,21939360..21939420,21939508..21939561, 21939642..21939719,21940089..21940191,21940304..21940449, 21940572..21940622) /locus_tag="BRARA_H02814" /codon_start=1 /product="hypothetical protein" /protein_id="RID52197.1" /db_xref="Phytozome:Brara.H02814" /translation="MYALLWSWSFTGLFLLSILANGVMGYDEMDLFNGTYVFHKQDDD DGDVLVVGLTLVQAATAEGAVCLDGSVPGYHLYRGYGSGANNWIIQLQGGAWCDSIED CQNRKRSSYGSSTLMEKQLNFTGLLSNKAAENPDFYNWNKVKVRYCDGASFSGDSENK TAQLQFRGKRIFLAVMEDLMAKGMCHAKQALLNGCSSGGLSAILRCDDFSSLFPSTTK VKCMSDAGFFLDAVDISGAHSIRRMYSGVVNTQGLQNTLPRTCTSHLDPTSCLFPQNI INQVKTPLFILNSAFDSWQIENSIAPPSADPSSSWHNCSSSFKCNASQMQFLEGFKMS MLDALKTFSMSSKNGMYISSRWAHCLAERKDTWSPGNSQPGEDTGMAVAVGDWYFERA KK" gene complement(21941683..21943281) /locus_tag="BRARA_H02815" /db_xref="Phytozome:Brara.H02815" mRNA complement(join(21941683..21942718,21942830..21942959, 21943031..21943281)) /locus_tag="BRARA_H02815" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02815" CDS complement(join(21941944..21942718,21942830..21942959, 21943031..21943163)) /locus_tag="BRARA_H02815" /codon_start=1 /product="hypothetical protein" /protein_id="RID52198.1" /db_xref="Phytozome:Brara.H02815" /translation="MGRHSCCYKQKLRKGLWSPEEDEKLLNHITHHGHGCWSSVPKLA GLQRCGKSCRLRWINYLRPDLKRGAFSPEEENLIVELHSVLGNRWSQIASRLPGRTDN EIKNLWNSSIKKKLKQRGIDPNTHKPISEADNDKPTRSSNDLKSPSSSAATNQDFFLE RPSDFSDYFGLQKLNFNSNLTPESSLCSLVPGQFSPGNMVGSVFQTPVCVKPSISLPT DNSSSTVSRGDHAGSNWEFQTNNTSNFFENSGFSWSIPSSSVTKPNPNFEEVKWSDYL NTPFFNSSTVQSQSSQQIYIKSEGEYLAKVSNITDPWSQSQNENLSTPEASDVFSKDL QRMAVSFGQSL" gene <21948545..>21949225 /locus_tag="BRARA_H02816" /db_xref="Phytozome:Brara.H02816" mRNA <21948545..>21949225 /locus_tag="BRARA_H02816" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02816" CDS 21948545..21949225 /locus_tag="BRARA_H02816" /codon_start=1 /product="hypothetical protein" /protein_id="RID52199.1" /db_xref="Phytozome:Brara.H02816" /translation="MNLPSSTSEAADSAATPAETCEQCGSQDSWVIHSARHRGVLRFF CTHCLLRNHPTSFCPTCFAFYDSSPPHHSCRVSCSKCGSHTHLHCAGGDEESSSPPCL CPYLCPPCLNPSSFSFFRPIINADGVRCMDKPLSEAFLCAAKISAFSMSRAVSVANME VEWKGRECAVSKKRLREAVEDVVKLNEKVRLAIAQVEEESGDQDQKPKQSVASKVIES SGQVIQEK" gene <21949999..>21950628 /locus_tag="BRARA_H02817" /db_xref="Phytozome:Brara.H02817" mRNA <21949999..>21950628 /locus_tag="BRARA_H02817" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02817" CDS 21949999..21950628 /locus_tag="BRARA_H02817" /codon_start=1 /product="hypothetical protein" /protein_id="RID52200.1" /db_xref="Phytozome:Brara.H02817" /translation="MNLPSSTPATSETADSASYSTETCDYCGSQDSWVIHPARLRGVL RFFCTHCLLRSHPMSFCPTCYDSSPPPHQSRRVSCSDCGSYTHIQCADGDESSSTHYL CPPCRDPISFSFFRPFVDTNGVRCLDKSLSEAFLCATKISAFSMNKAVYFAKMEAERK GKECAVAEKRAREALEYFVKLYEKARSDVDKLREASFEQYPAVQVKQEE" gene complement(21951019..21952567) /locus_tag="BRARA_H02818" /db_xref="Phytozome:Brara.H02818" mRNA complement(join(21951019..21951368,21951455..21951605, 21951681..21952567)) /locus_tag="BRARA_H02818" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02818" CDS complement(join(21951161..21951368,21951455..21951605, 21951681..21952500)) /locus_tag="BRARA_H02818" /codon_start=1 /product="hypothetical protein" /protein_id="RID52201.1" /db_xref="Phytozome:Brara.H02818" /translation="MYKIYKKIKRKQIEISQWPNPKDFIAKQTSFSLYFFFLVTCMLS TVSFIPFFSANFLMKNLKSFCSPYKPKKEEKKKNKQPRALMAAKQSYFFLFLSLVPLC SSTTTHDVINPPTVFPTNPTTTPPATTFPPVTITPTNPSPALPLNPPVTVVPPTLTPP VTTNPVTQYPPTQPSGTVPVIPPPVVSTSPSASGQSWCVAKPGASQTSLQLALDYACG SGKADCSQIQQGGSCYSPISLQNHASFAFNSYYQKNPSPQSCDFGGAASVVSTNPSTG SCVYQTGSSSGSSTSSPVGTTPTPSTQTVNQPPLTPTPITPTGGVTIGVGTPPAVFNP ANPSSNTLPNPSSGGSAVYGFDGSPNGNNPTPSDSTNLKIHFGHTMVVTLILHAVLFH " gene complement(21953061..21956769) /locus_tag="BRARA_H02819" /db_xref="Phytozome:Brara.H02819" mRNA complement(join(21953061..21953360,21953452..21953518, 21953646..21953698,21953776..21953846,21953924..21954054, 21954152..21954213,21954299..21954391,21954498..21954606, 21954706..21954815,21955090..21955213,21955348..21955955, 21956071..21956158,21956316..21956396,21956492..21956615, 21956712..21956769)) /locus_tag="BRARA_H02819" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02819" CDS complement(join(21953235..21953360,21953452..21953518, 21953646..21953698,21953776..21953846,21953924..21954054, 21954152..21954213,21954299..21954391,21954498..21954606, 21954706..21954815,21955090..21955213,21955348..21955955, 21956071..21956158,21956316..21956396,21956492..21956615, 21956712..21956724)) /locus_tag="BRARA_H02819" /codon_start=1 /product="hypothetical protein" /protein_id="RID52202.1" /db_xref="Phytozome:Brara.H02819" /translation="MGQRVDLWSDIIRSEEEDGDGFRDVPQVEVYQRRKKLEKPSAAE NLVWSVKGARTSFINGPKRDSWTRSLSTRGRESIAVGAFVNNQPQKKPVRRKKPAIPK GKGVKAPDLQKEKEYFHEVDAFELVEESPSPKTKNASTWINGEQVVPEVPHLATRLEK WLISKKLNRPCGPSSTLSKILETSHSTTRLEPILDDDALDALALGTPESASNSSIFRL IQSGGDSLDAGDVPLTKIKTEEIDFEDESVRKIKTEEIDLEDELKRLSLTSDLASSHL DYEKPFLDLLSACGQMRPSNFMEVFSKFCEPEGIVKIGEGTYGEAFRAGPSVCKIVPI DGDFTVNGEIQKRADELLEEVILSWTLNQLREHETEAQNLCPTFIRTQDIKVCQGPYD PILVKAWEDWDAKNGSENDHPDFPEKQCYVMFVLEHGGKDLEGFVLLNFDEARSLLVQ VTAGLAVAEAAFEFEHRDLHWGNILLSRNNSSTLPFIVEGKQVFIKTFGVQISIIDFT LSRINTGEKILFLDLSADPYLFKGPKGDKQSETYRKMKAVTKDSWEGHFARTNILWLI YLVDLLLTKKSFERSSKDERELRSLKKRMEKYKSAKEALSDPFFSDMLMDQVS" gene <21957789..21960793 /locus_tag="BRARA_H02820" /db_xref="Phytozome:Brara.H02820" mRNA join(<21957789..21958489,21958555..21960420, 21960502..21960793) /locus_tag="BRARA_H02820" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02820" CDS join(21957789..21958489,21958555..21960400) /locus_tag="BRARA_H02820" /codon_start=1 /product="hypothetical protein" /protein_id="RID52203.1" /db_xref="Phytozome:Brara.H02820" /translation="MGNVVSSVLSIGFEAAKNLLFEKAKYILELEAHLEALEKVKPDL EDAKVALKGKLEMNFRNGLGRDERCKVWISKVEAIQPKVTKLLEDSTAEIERLSMCGY CSSNFFLTYCYGRDVLETLKEVQSLLSSKPSGEVASTGPPPGIEERATEPTVGLEKML ETTWSHLMEKDVGILGLYGMGGVGKSTLLEKINEKLVEKKDEFEVVIFVLVSRDLQVE KIQNEIGERLGICDEERFVMLLDDIWEKVKLKDIGIPFPSQANGSKVVFTTRSKVVCG RMRSHHVLEVKKLDEENAWELFRRNFRGNNTLSDPEIHKLARQLCEKCGGLPLALNVI GETMAYKTSVPEWQCAIDDLDSNAGGYPEVEDEILKILKFSYDDLKDERVKQCFQYCA LFPQDAGIDKDVLVEYWISEGIIDEGGDRKRTINEGHKIIGDLVRACLLMTVDTSEKV KMHDVLRQMALWVASSFGEKEENFIVKTCAGLKDMPKVTDWKAVRRMSLGRNEIRDIS ISPDCPNLTTLLLTRSGTLANISGEFFLSMPKLVILDLSTNINLAKLPEEVSKLVSLR HLDLSTTCLENLPEGLGKLTQLRYFALRGVRTRPSLSVISSLVNIEMLLLHDTTFVSR ELIDDIKLMKNLKGLGVSINDVVVLKRLLSIPRLASCIQHITLERVISKDGPLQFETA MASLRSIEIQGGTISDIMEHTRYGGRSTSAISFQNLSVVKISRVNGMQDLSWLVFAPN VISIHVMWSSRELQEIISREKVFGILNEGSSIVPFRKLREIQLRFLMELKSIYWERLE LPSLERVFIMMCPKLKKLPFSKERAYYFDLRAHNEEWFERLEWEDEAIED" gene 21966456..21969519 /locus_tag="BRARA_H02821" /db_xref="Phytozome:Brara.H02821" mRNA join(21966456..21969142,21969229..21969519) /locus_tag="BRARA_H02821" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02821" CDS 21966578..21969118 /locus_tag="BRARA_H02821" /codon_start=1 /product="hypothetical protein" /protein_id="RID52204.1" /db_xref="Phytozome:Brara.H02821" /translation="MGNVLSNGFQAATSFFLEKAKYILELEDNLEALQEVARRLKAMK DDLQNQLEMEERKGLRALEEIKVWLSEVKAIQPKVTKLLEDRTSEIERLSMCGYCSSN FFLTYRYSKDVLETAEKVQSILSSKPSGEVARLGPPPGIEEIATQRTVGLEKVLEATW SRLMEKEVGILGLYGMGGIGKTTLLKQINNKLLEKKDEFEVVIFVVVSQNLQVEKIQN EIGERLGLCGQAWEKKTQNEKASSICGALTRKRFVMLLDDIWRKVDLVEEIGIPLPSP KNGSKVVFTTRSKNVCGRMGSHDLEVKQLDLLNAWELFRQKVRGNTLESDPKILELAI QICIKCRGLPLALNVIGETMACKTTVHEWQYAIDVLDTNAAKYREVEDEILKILKLSY DALENETVQQCFQYCALFPYHTIYKDLLVEYWISEGIINGGGDRERAINQCYSIIGTL ISVCLLMPADTSEFVTMHDVIRQMGLWVASNFGEEEEKVIVKTGAGLQQMPEVRNWKV VRRMLLAKNKILNISGSPVCPNLTTLFLTYSWLVNISGEFFLSMPKLVLLDLSCNINL TKLPEEVTSLVSLRHLDLSLTSLKKLPVGLGKLIQLRYLSLTKVRTLKSISVISSLVN IEILLVRDTICLSLELIEDFKLLKNLKGLGVSINDVVVLERLLSIPRLASCIRYMFLE GIVAIDGPLQFETAVAGLRSIMIHRCIISDIMEHTRYGFENLVFVSLFRVSGIQDLSW LLFAPNLTFLFVRGPSPELQEIISREKVSGVPFRKLRAMHLISLKELKSIYWERLELP CLKRMLIKKCPKLKKLPFSKERAYYFGLHAHNPEWFGGLEWEDEATED" gene 21981744..21984812 /locus_tag="BRARA_H02822" /db_xref="Phytozome:Brara.H02822" mRNA join(21981744..21984434,21984518..21984812) /locus_tag="BRARA_H02822" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02822" CDS 21981818..21984415 /locus_tag="BRARA_H02822" /codon_start=1 /product="hypothetical protein" /protein_id="RID52205.1" /db_xref="Phytozome:Brara.H02822" /translation="MGNVLSSGFQLATSSFLRQAKYILELEANLEDLQREERHLEAAK VDLQNQLEIEERKGLRGLEEVKVWLSEVKAIQPKITKLLEDSTAETERLSMCGGYCSS NLFLTYHYGKNVFETLGKVKSILNSKPSGDVAKRVFPPGVNDIDTQRTVGLEKTLEDA WSLLMEKEVGILGIYGMGGIGKTTLLKQINEKLLEKKDEFGVVIFVVVSQNLQVEKIQ KEIGKRLGLCDEEWEKKDQKEKATCIKEVLKSKRFVMLLDDIWEKVKLQEIGIPFPSA DNGSKVVFTTRSKYVCGRMGAHDLEVKQLDQKNAWELFRQKIRGTTLDSDPKILELAK QICAKCKGLPLALTVIGETMSYKTSVREWQCAIDDLDSNADNYPEVRDEILKILKLSY DDLKDETLQQCFQYCALFPEDKEIYKDELVEYWVSEGIIDGDGERERAMNQSYKIIGI LVSACLLMPVDTLDFVKMHDVIRQMALWVASNFGKEEEKFIVKTGAGLHQMPEVRDWN AVRRMSLAENEIQNIAGDVSPVCPNLTTLLLKDNKLVNISGDFFLSMPKLVVLDLSNN KNLTKLPEEVSKLVSLRFLDLSHTSLENLPVGLGKLIQLRYFYLTGVRTLESISVISS LVNIEMLFLHEGTFLSLELIEDIKLLKNLKGLGVSINDVVVLERLLSIPKLARCIDAI SLDGVVAKGGPLQFETAMASLRYIMIERCIISDIMDHTRYGCTSTSAICFQNLGYVNI SGVSCIQDLSWLIFAPNLAIVFVEGPSPELQEIISREKVCGILNKGSSIVPFRKLHTI YLEDLEELKSIYWERLELPSLKSIEIRECPKLKKLPLSKERAYYFDLHKEDEEWFGGL EWEDEATEE" gene complement(<21985110..>21987594) /locus_tag="BRARA_H02823" /db_xref="Phytozome:Brara.H02823" mRNA complement(join(<21985110..21985171,21985582..21985702, 21985792..21985893,21985977..21986072,21986159..21986240, 21986314..21986428,21986555..21986606,21986760..21986840, 21986928..21987089,21987190..21987366, 21987496..>21987594)) /locus_tag="BRARA_H02823" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02823" CDS complement(join(21985110..21985171,21985582..21985702, 21985792..21985893,21985977..21986072,21986159..21986240, 21986314..21986428,21986555..21986606,21986760..21986840, 21986928..21987089,21987190..21987366,21987496..21987594)) /locus_tag="BRARA_H02823" /codon_start=1 /product="hypothetical protein" /protein_id="RID52206.1" /db_xref="Phytozome:Brara.H02823" /translation="MARKKIREYDSKRLLKEHLKRLANINLQIRSAQVTEATDFTELT NQEAWLSSTKLVVKPDMLFGKRGKSGLVALKLDLAEVAEFVKARLGSEVEMGGCKAPI TTFIVEPFVPHDQEYYLSIVSDRLGCTVSFSECGGIEIEENWDKVKTVFLPAEKSMTL EVCAPLIATLPLEVRGKIGSFIMGVFAVFQDLDFSFMEMNPFTLVDGEPYPLDMRGEL DDTAAFKNFNKWGDIEFPLPFGRVLSPTESFIHGLDEKTSASLKFTVLNPKGRIWTMV AGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCATADPDGRKRA LLIGGGIANFTDVAATFNGIIRALREKVQATMTGICKRAIDCIMLPDA" gene <21988061..>21990915 /locus_tag="BRARA_H02824" /db_xref="Phytozome:Brara.H02824" mRNA join(<21988061..21988162,21988409..21988493, 21988611..21988858,21988929..21989023,21989095..21989209, 21989294..21989499,21989571..21989679,21989749..21989783, 21989877..21989997,21990102..21990304,21990399..21990599, 21990678..>21990915) /locus_tag="BRARA_H02824" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02824" CDS join(21988061..21988162,21988409..21988493, 21988611..21988858,21988929..21989023,21989095..21989209, 21989294..21989499,21989571..21989679,21989749..21989783, 21989877..21989997,21990102..21990304,21990399..21990599, 21990678..21990915) /locus_tag="BRARA_H02824" /codon_start=1 /product="hypothetical protein" /protein_id="RID52207.1" /db_xref="Phytozome:Brara.H02824" /translation="MSLTSCLLPFSQSATAPLTSTCSCHLPLSFSNFPVSSRDYYSSF RSESLVLNGGGSDLCRRFCFLEETGASGLRPEETILGTHLGAGSHRAGDTPYVSKQFL DGLLDVPRGASLCIAVVGATGELARGKIFPALFALYYSGYLPEDVGIFGYSRKNLTDE DLRSIIASTLTCRVDHQENCGDKMDAFLSRTYYINGGYDNRDGMTRLDQRMKQIEGVS KANRIFYLSVPQEALVDVACNIGDKAQAPQGWTRIIVEKPFGFNSYSSHQLTQSLLSK FEERQIYRIDHMLGRNLIENLTVLRFSNLVFEPLWNRTYIRNVQVIVSESVAQTAKYS DGYGIIRDIFHSHILQTISLLTMEPPISLDGEDIRNEKVKVLRSTRRLDPADAILGQY KYTSGDKNEAILNSVGPTYCAAALYIDNARWDGVPFLVRVGTGLIKHRVEIRVQFRHV PGNIYRDNIGISIDLGTNELILRDEPDEAILVKINNKVPGLGLQLDASELNLLYKDRY STEVPDSYEHLIHDVIDGDNHLFMRSDEVAEAWDILSPVLEEIDKHHTAPELYEFGGR GPVGAYYLWAKHGVPWADE" gene <21991143..>21991364 /locus_tag="BRARA_H02825" /db_xref="Phytozome:Brara.H02825" mRNA <21991143..>21991364 /locus_tag="BRARA_H02825" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02825" CDS <21991143..21991364 /locus_tag="BRARA_H02825" /codon_start=1 /product="hypothetical protein" /protein_id="RID52208.1" /db_xref="Phytozome:Brara.H02825" /translation="ARVHRFPSFQPEDFIFKNESEANNNENAAGNASSSASNKYSSKE EKEIAETNVCLDLNLSLSLTSGKKCSSIR" gene <21995082..>21996835 /locus_tag="BRARA_H02826" /db_xref="Phytozome:Brara.H02826" mRNA join(<21995082..21995167,21995264..21995404, 21995494..21995624,21996066..>21996835) /locus_tag="BRARA_H02826" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02826" CDS join(21995082..21995167,21995264..21995404, 21995494..21995624,21996066..21996835) /locus_tag="BRARA_H02826" /codon_start=1 /product="hypothetical protein" /protein_id="RID52209.1" /db_xref="Phytozome:Brara.H02826" /translation="MENGTSSATNQTIPLLTPFSMRNFNLSHRIVMPGMARMRSYGNV PQPHAAMYYSQRATPGGFLITEATGVSETAMAYHDMPGIWKKEQIEAWKPIIDAVHSN GAVFFCQLWHAGRVSHRDSQPNGEAPISSTDKPLAETSSNEFTTSDEFTPPRRLRTDE IPNIVNDFRLAARNAIEAGFDGVEIHGAHGYLIDQFMKDTVNDRTDVYGGSLENRCRF ALEVVEAVTNEIGSDRVGVRLSPFANYMQSGDTDPQKLGVYMAKSLNRFRTLYCHMVE PRMKTATEAFECTESLTPMRKVFEGTFIVAGGYTREDGNKAVAEGRTDLVAYGRLFLA NPDLPRRFQLDAPLNKYDRTSFYTSDPVVGYTDYPFLETEP" gene <22009105..>22010840 /locus_tag="BRARA_H02827" /db_xref="Phytozome:Brara.H02827" mRNA join(<22009105..22009119,22009339..22009395, 22009484..22009687,22010760..>22010840) /locus_tag="BRARA_H02827" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02827" CDS join(22009105..22009119,22009339..22009395, 22009484..22009687,22010760..22010840) /locus_tag="BRARA_H02827" /codon_start=1 /product="hypothetical protein" /protein_id="RID52210.1" /db_xref="Phytozome:Brara.H02827" /translation="MDPNQPPVENYANPKTCLFHVLFKGAALAFYILSALFFNSFVII FVVTVLLAALDFWVVKNVSGRILVGLRWWNEINDLGESVWKFESLDQEKQFQQFASQT IASRFQSTVQSAFTLV" gene complement(<22011581..>22012090) /locus_tag="BRARA_H02828" /db_xref="Phytozome:Brara.H02828" mRNA complement(<22011581..>22012090) /locus_tag="BRARA_H02828" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02828" CDS complement(22011581..22012090) /locus_tag="BRARA_H02828" /codon_start=1 /product="hypothetical protein" /protein_id="RID52211.1" /db_xref="Phytozome:Brara.H02828" /translation="MGLVTEEVRAKAEKYTGDEICREKTKVFLKEISMPNGLLPLKDI EEVGYDRESGVVWLKQKQSITHKFETIDKLVSYGTEVTAVVQTGKIKKLTGVKAKELL IWVSVSEIYTEEKEKITFKSPTTLSRTFPVSAFVVPEEVEPAKEEPVKEKSSEAAAEV KEAVAVKEA" gene complement(22012519..22015793) /locus_tag="BRARA_H02829" /db_xref="Phytozome:Brara.H02829" mRNA complement(join(22012519..22012834,22013036..22013091, 22013288..22013358,22013562..22013646,22013823..22013915, 22013990..22014097,22014177..22014243,22014336..22014439, 22014527..22014733,22014801..22014893,22014977..22015240, 22015313..22015387,22015643..22015793)) /locus_tag="BRARA_H02829" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02829" CDS complement(join(22012669..22012834,22013036..22013091, 22013288..22013358,22013562..22013646,22013823..22013915, 22013990..22014097,22014177..22014243,22014336..22014439, 22014527..22014733,22014801..22014893,22014977..22015240, 22015313..22015387,22015643..22015690)) /locus_tag="BRARA_H02829" /codon_start=1 /product="hypothetical protein" /protein_id="RID52212.1" /db_xref="Phytozome:Brara.H02829" /translation="MQVLARNLAQRVSRSQVIRRYAYSTQRVKDIGQPTPASHPHLMS EGEVTPGIRVEEYIGRRKKLAELLPQNSLAIVSSAPVKMMTDVVPYTFRQEADYLYLT GCQQPGGVAVLSSEHGLCMFMPEPTPNDIAWQGEVAGVDAASEVFKAEQAYPISKLPE VLSDMIRSSSTVFHNNQTASQKYTNLDEFKKSASLGKVKSLSSFTHELRLIKSPAELK LMRESASIACQGLLKTMLHSKGYPDEGILAAKVEYECRVRGAQRMAFNPVVGGGSNAS VIHYSRNDQRIKDGDLVLLDMGCELHGYVSDLTRTWPPCGKFSSLQEELYDLILETNK ESIKLCKPGTTIRQLNTYSTDMLCDGLMKMGILKNRRLYHQLNPTSIGHYLGMDVHDS SSVGYDRPLEPGSVITIEPGVYIPSSFNCPERFQGIGIRIEDEVLITETGHEVLTGSM PKEIKHIETLLNNHCHEHAAQSFAGFSS" gene 22015997..22017875 /locus_tag="BRARA_H02830" /db_xref="Phytozome:Brara.H02830" mRNA join(22015997..22016394,22016472..22016615, 22016689..22016887,22017044..22017173,22017268..22017441, 22017520..22017875) /locus_tag="BRARA_H02830" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02830" CDS join(22016181..22016394,22016472..22016615, 22016689..22016887,22017044..22017173,22017268..22017441, 22017520..22017678) /locus_tag="BRARA_H02830" /codon_start=1 /product="hypothetical protein" /protein_id="RID52213.1" /db_xref="Phytozome:Brara.H02830" /translation="MAAAAKEVVKDVGRAIGKEPVGEKREREEEAETMAPWEQHASII SIPRFDYTAPSSLLHHSHSGFLVTCSIKREKSATKEVMSILGKVLLPLGEEKGEVLKS SDASKRPKLCGQETEETGENPNVEDLKPAKESVSEEQKSLMSLVKLTKSGLLLFTFPV DNSTDTTGIVSRMFQFMESGALKAPVWCHRILPVQATCALTEKELQETVSKLVQRFVN DKQNTLSTPVKFAAGYNRRGVEEAKGKIQKASEVLDQCPLLDRTKCFETVAAGVKAIV PDSVVDLKSPELCVLVELLPLSRIPNGSYVAAVSVLPHRLVSTKPKLAIKPLVPETKQ KKGQN" gene complement(22017951..22021116) /locus_tag="BRARA_H02831" /db_xref="Phytozome:Brara.H02831" mRNA complement(join(22017951..22018157,22018237..22018628, 22018708..22018835,22019010..22019138,22019224..22019302, 22019460..22019623,22019727..22019912,22020071..22020202, 22020277..22020368,22020448..22020544,22020740..22021116)) /locus_tag="BRARA_H02831" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02831" mRNA complement(join(22017951..22018628,22018708..22018835, 22019010..22019138,22019224..22019302,22019460..22019623, 22019727..22019912,22020071..22020202,22020277..22020368, 22020448..22020544,22020740..22021116)) /locus_tag="BRARA_H02831" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02831" CDS complement(join(22018244..22018628,22018708..22018835, 22019010..22019138,22019224..22019302,22019460..22019623, 22019727..22019912,22020071..22020202,22020277..22020368, 22020448..22020544,22020740..22020976)) /locus_tag="BRARA_H02831" /codon_start=1 /product="hypothetical protein" /protein_id="RID52214.1" /db_xref="Phytozome:Brara.H02831" /translation="MSLRPSIRAELRKKIYKTGVDADEARRRREDNLVEIRKNKREDS LLKKRREGMMLQQQQQQPLGAGLDALQSAAAVEKRLEGIPMMVQGVYSDDPQAQLEAT TQFRKLLSIERSPPIDEVIKAGVIPRFVEFLGRQDHPQLQFEAAWALTNVASGTSDHT RVVIEHGAVPIFVELLSSASDDVREQAVWALGNVAGDSPNCRNLVLSCGALIPLLSQL NENSKLSMLRNATWTLSNFCRGKPPTPFEEVKPALPVLRQLIYLNDEEVLTDACWALS YLSDGPNDKIQAVIQAGVCPRLVELLSHPSPTVLIPALRTVGNIVTGDDSQTQFIIDS GVLPHLYNLLTQNHKKSIKKEACWTISNITAGNQAQIEAVVGAGLVLPLVHLLQNAEF DIKKEAAWAISNATSGGSHEQIQYLVNQGCIKPLCDLLICPDPRIVTVCLEGLENILK ISEADKEMGLNGGVNLYAQIIEESDGLDKIENLQSHDNNEIYEKAVKILEKYWAEEED EEQILTDGGNENPQQGFSFGNNQPAAPPGGFKFG" CDS complement(join(22018244..22018628,22018708..22018835, 22019010..22019138,22019224..22019302,22019460..22019623, 22019727..22019912,22020071..22020202,22020277..22020368, 22020448..22020544,22020740..22020976)) /locus_tag="BRARA_H02831" /codon_start=1 /product="hypothetical protein" /protein_id="RID52215.1" /db_xref="Phytozome:Brara.H02831" /translation="MSLRPSIRAELRKKIYKTGVDADEARRRREDNLVEIRKNKREDS LLKKRREGMMLQQQQQQPLGAGLDALQSAAAVEKRLEGIPMMVQGVYSDDPQAQLEAT TQFRKLLSIERSPPIDEVIKAGVIPRFVEFLGRQDHPQLQFEAAWALTNVASGTSDHT RVVIEHGAVPIFVELLSSASDDVREQAVWALGNVAGDSPNCRNLVLSCGALIPLLSQL NENSKLSMLRNATWTLSNFCRGKPPTPFEEVKPALPVLRQLIYLNDEEVLTDACWALS YLSDGPNDKIQAVIQAGVCPRLVELLSHPSPTVLIPALRTVGNIVTGDDSQTQFIIDS GVLPHLYNLLTQNHKKSIKKEACWTISNITAGNQAQIEAVVGAGLVLPLVHLLQNAEF DIKKEAAWAISNATSGGSHEQIQYLVNQGCIKPLCDLLICPDPRIVTVCLEGLENILK ISEADKEMGLNGGVNLYAQIIEESDGLDKIENLQSHDNNEIYEKAVKILEKYWAEEED EEQILTDGGNENPQQGFSFGNNQPAAPPGGFKFG" gene 22021366..22022973 /locus_tag="BRARA_H02832" /db_xref="Phytozome:Brara.H02832" mRNA 22021366..22022973 /locus_tag="BRARA_H02832" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02832" CDS 22021379..22022848 /locus_tag="BRARA_H02832" /codon_start=1 /product="hypothetical protein" /protein_id="RID52216.1" /db_xref="Phytozome:Brara.H02832" /translation="MFLFASCRSIASRSHTIIKRSYTNLSIKHLSSLLRKNESDPRII HQLHSHFITAGLLLLHQEQNSKKLLLFNPLLRCYSLGETPLRAYFLYDQLQQLHFLSD HEQRLPPFDSYTYLFLIKATSNTLLGIGLHGLTLKLGFEFNVYVQTALVGMYIGAGNR VDAYKVFDEMPERSPVTWNVMITDLTNLGEFEKALSLLEKMPNRNVVSWTTLIDGYAR VNKPKEAILLFRRMACDAIKPNEITILTILPAVWSFGDLRMCGSVHGYAVKRAFVPQD IRVTNSLIDAYAKCGCIQSSLKFFMDVPNERKNLVSWTTMISAFAMHGMGKEAVSMFK DMERMGLKPNRVTMISVLNACSHGGLAEEEFLEFFNKMVSKYKITPDVKHYGCLVDML RRKGRLEEAERIALEIPSDQKAVVWRMLLGACSVYDDPEMAERVTKKLMELERSHGGD YVLMSNIFCGTGRFTDGERFRKLMDVRGVAKLPGHSQLT" gene 22023560..22026632 /locus_tag="BRARA_H02833" /db_xref="Phytozome:Brara.H02833" mRNA join(22023560..22023767,22024257..22024364, 22024446..22024638,22024731..22024989,22025096..22025200, 22025292..22025378,22025453..22025510,22025700..22025767, 22025860..22025955,22026046..22026123,22026218..22026328, 22026419..22026632) /locus_tag="BRARA_H02833" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02833" CDS join(22023674..22023767,22024257..22024364, 22024446..22024638,22024731..22024989,22025096..22025200, 22025292..22025378,22025453..22025510,22025700..22025767, 22025860..22025955,22026046..22026123,22026218..22026328, 22026419..22026430) /locus_tag="BRARA_H02833" /codon_start=1 /product="hypothetical protein" /protein_id="RID52217.1" /db_xref="Phytozome:Brara.H02833" /translation="MAKLILGLVSLILIGLVAIASAAVIFEERFDDGWENRWVKSEWK KDDQAAGEWNHTSGNWSGDANDKGIQTSEDYRFYAISAEFPEFSNKDKTLVFQFSVKH EQKLDCGGGYMKLLSGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHAILTYNDANHLI KKDVPCETDQLTHVYTFILRPDATYSILIDNVEKQTGSLYSDWDLLPPKKIKDPNAKK PEDWDEQEYIPDPEDKKPNGYDDIPKEIPDTDAKKPEDWDEEEDGEWTAPTVPNPEYM GEWKPKQIKNPNYKGKWEAPEIDNPDFKDDSELYVFPKLKYVGIELWQVKSGSLFDNV LICDDPDYAKKLADETWGKLKDAEKAAFDEIEKKKEEEESKDAPAETDAEDEAEEDEG DESDTEAKTEAKSEVSEETSDKDATAHDEL" gene 22027165..22027779 /locus_tag="BRARA_H02834" /db_xref="Phytozome:Brara.H02834" mRNA 22027165..22027779 /locus_tag="BRARA_H02834" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02834" CDS 22027210..22027620 /locus_tag="BRARA_H02834" /codon_start=1 /product="hypothetical protein" /protein_id="RID52218.1" /db_xref="Phytozome:Brara.H02834" /translation="MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRP GTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLV GLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA" gene 22028158..22029591 /locus_tag="BRARA_H02835" /db_xref="Phytozome:Brara.H02835" mRNA join(22028158..22028407,22028627..22028758, 22029019..22029591) /locus_tag="BRARA_H02835" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02835" CDS join(22028279..22028407,22028627..22028758, 22029019..22029339) /locus_tag="BRARA_H02835" /codon_start=1 /product="hypothetical protein" /protein_id="RID52219.1" /db_xref="Phytozome:Brara.H02835" /translation="MFLFDWFYGILATLGLWQKEAKILFLGLDNAGKTTLLHMLKDER LVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKER FVESKRELDALLSDEALASVPFLILGNKIDIPYAASEDELRYHLGLTNFTTGKSNVSL EESGVRPLEVFMCSIVRKMGYGEGFKWLSYYIK" gene complement(22029646..22032921) /locus_tag="BRARA_H02836" /db_xref="Phytozome:Brara.H02836" mRNA complement(join(22029646..22029943,22030046..22030138, 22030341..22030448,22030614..22030733,22030814..22030894, 22030978..22031019,22031137..22031289,22031362..22031966, 22032383..22032921)) /locus_tag="BRARA_H02836" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02836" CDS complement(join(22030100..22030138,22030341..22030448, 22030614..22030733,22030814..22030894,22030978..22031019, 22031137..22031289,22031362..22031966,22032383..22032863)) /locus_tag="BRARA_H02836" /codon_start=1 /product="hypothetical protein" /protein_id="RID52220.1" /db_xref="Phytozome:Brara.H02836" /translation="MNSSNLQSHPTAPPHLFTSIDMGTNSFKLLIVHADPSTRSFVPV ERLKEPVVLSRESPTSISPQSQSRAIQSLRRFKSLILSHNVPLNQIRCVATEALRRAE NQKHFVETALDDVGIQIDVLSGEEEARLVYLGVLQFLPVFERSALCIDIGGGSTEFVI GKRGEVKLAVSLKLGHVNLTQMCVGLVEMREYIRGVIDESSLGDRLRECEGFEVVVGS SGTIRAIENAVFSGYGSDLCHLEEGYKRDWRFGRSELSGVVERLCSEGDEGLIRREGF FGRRAEFIVAGAVLLEEIFEALGIEEMEVSEYALAEGVIADSLGKAFGGLYDLNANAR WRSVMRLATRLNGKKRMNHAVHCANIAKEIFVGLRKCNDFNVVLDDKDLEYLEAACFL HNIGIITGKKGYHKQSYHIIKNGDHLYSYTAEEVELIALLTRYQRKKFPKLDRAPFKN FAEKAKRKFIIMCVIIRLSVLLQRSESMDLQEVEFLESTDSFKLVLKQQNQDPLVMGS QDQAEEKSDALHMEQEVEHFKRLFKKEMVIVFPS" gene complement(<22033476..>22034003) /locus_tag="BRARA_H02837" /db_xref="Phytozome:Brara.H02837" mRNA complement(<22033476..>22034003) /locus_tag="BRARA_H02837" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02837" CDS complement(22033476..22034003) /locus_tag="BRARA_H02837" /codon_start=1 /product="hypothetical protein" /protein_id="RID52221.1" /db_xref="Phytozome:Brara.H02837" /translation="MAFSNKLHCISMFMIISYLTLILFASGLDISNTMAEAPAPTPTP GSGHDGVLPLAPKHIVIHNTVENGEVLNVHCKSGDDDLGLIRIPWDKYWGFRFHVNIW KTTKFRCLFTWYGGGSHYFNIFTVARDDTPSGETPVCRECVWEVGKESKGEKTPMCRV DGDGYTRYCFEWDDE" gene complement(<22035376..>22035858) /locus_tag="BRARA_H02838" /db_xref="Phytozome:Brara.H02838" mRNA complement(join(<22035376..22035629,22035714..>22035858)) /locus_tag="BRARA_H02838" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02838" CDS complement(join(22035376..22035629,22035714..22035858)) /locus_tag="BRARA_H02838" /codon_start=1 /product="hypothetical protein" /protein_id="RID52222.1" /db_xref="Phytozome:Brara.H02838" /translation="MYKLIPHVLLLLLVTHAYTYVSAVHLQPDSMTGTEVDFPGWRRE LRGGGGGSGGSGNGRGGSSGRGGGSGGSGDGGSSESGSGGGGSGGNGNGGGSSGGGHI TRSGGDCLKHWGLTGTLLSIVFVSCLILIR" gene 22038698..22044333 /locus_tag="BRARA_H02839" /db_xref="Phytozome:Brara.H02839" mRNA join(22038698..22039008,22039238..22039443, 22039732..22039875,22040336..22040578,22040656..22040727, 22040822..22040890,22040959..22041123,22041227..22041308, 22041390..22041485,22041558..22041734,22041819..22041925, 22042003..22042181,22042275..22042436,22042520..22042773, 22042892..22043055,22043134..22043319,22043403..22043815, 22043882..22044333) /locus_tag="BRARA_H02839" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02839" CDS join(22038885..22039008,22039238..22039443, 22039732..22039875,22040336..22040578,22040656..22040727, 22040822..22040890,22040959..22041123,22041227..22041308, 22041390..22041485,22041558..22041734,22041819..22041925, 22042003..22042181,22042275..22042436,22042520..22042773, 22042892..22043055,22043134..22043319,22043403..22043815, 22043882..22044017) /locus_tag="BRARA_H02839" /codon_start=1 /product="hypothetical protein" /protein_id="RID52223.1" /db_xref="Phytozome:Brara.H02839" /translation="MATEEQDSRLCLASILEDFIKQRNIQVSVDVDSSSKNADETSVV GGRDLPADPSDLKRNEAARWIRHTLGVVGGRDLPADPSEDDFRIALRSGILLCNVLNK VKPGAVPKVVEAPNDPLVNQEGAALSAFQYFENLRNFLVVVEEMGIPTFEVSDFEKGG KSTRIVECVLALKSYREWKQSGGSGTWRYIVTSKPTTFGIAKQYKRKDSEASVDAVTT STPSNTPSSEQPLFVSNTKNEGTVSSIDAIVRAVFSDKSKEEVPSIVEDMLKSVMVEY ERRLATQSAMYVEEDVTKMVNNNMEASQANNAEVSKIQDRDVYVISKDKAEKQQMILD RQKTHTKELKHDIKAVKAGISLLQMKYQQEFKILGEHLHGLAYAATGYQRVLEENRKL YNQVQDLKGSIRVYCRVRPFLPGQTSALTTVDHIEDSTISIATPSKYGKEGRKSFTFN KVFGPSASQEAVFADTQPLIRSVLDGYNVCIFAYGQTGSGKTFTMMGPNELTEESLGV NYRALSDLFHLSSERKETFSYKISVQMLEIYNEQVRDLLATNGQTSRLEIRNSSQDGI NVPEATLVPVSTTSDVISLMNLGQKNRAVSATAMNDRSSRSHSCLTVHVQGRDLTSGA TLRGSMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVIASLSQKNNHIPYRN SKLTQLLQDSLGGQAKTLMFIHISPEVDTLGETLSTLKFAERVATVELGAARVNKDTS EVKELKEQIASLKLALARKESELDQTQIPRALTPDKLLRRKSLGVSKSANTRQFQTKH KPSLVDDVNSIEGQSDSASSVDLQGLVGSSPPSWKSPSTDGKEEIGEWVDKHEDEITR DKRVSSMKREPSSRAIESKKINVVDKGFEVRKIPYEEEANESDETATSDGSEPSNMMW QLNVQVNVPRAAASSNGSSGGSSTKLKKSLSKTKSMIPSLIPAPTRRLSLGANGSPGQ TSSSRQSSNTVVVKKRQNPK" gene <22044371..>22044688 /locus_tag="BRARA_H02840" /db_xref="Phytozome:Brara.H02840" mRNA <22044371..>22044688 /locus_tag="BRARA_H02840" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02840" CDS 22044371..22044688 /locus_tag="BRARA_H02840" /codon_start=1 /product="hypothetical protein" /protein_id="RID52224.1" /db_xref="Phytozome:Brara.H02840" /translation="MRFLTNKVVSSLMAEAIAVCLAVVTAVYSNVRSLAVLSDSLSFI KLLKNGGSQPELFGIMFDIYHYLSYFDVISCTFISQNFNGEADPVAKSAFSLVVTIPL VGV" gene 22045189..22047558 /locus_tag="BRARA_H02841" /db_xref="Phytozome:Brara.H02841" mRNA join(22045189..22045388,22045526..22045749, 22045845..22046016,22046092..22046309,22046389..22046496, 22046592..22046717,22046799..22047028,22047138..22047558) /locus_tag="BRARA_H02841" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02841" CDS join(22045540..22045749,22045845..22046016, 22046092..22046309,22046389..22046496,22046592..22046717, 22046799..22047028,22047138..22047357) /locus_tag="BRARA_H02841" /codon_start=1 /product="hypothetical protein" /protein_id="RID52225.1" /db_xref="Phytozome:Brara.H02841" /translation="MSPSKASRTKESLVPLATLIGRELRSEKLEKPLLIYGQAALAKK GEDFFLIKTDCERVPGDPSSAFSVFGIFDGHNGNSAAIYTKERLLDNVVSAIPQGASR DEWLQALPRALVAGFVKTDIEFQQKGETSGTTVTFVIIDGWTITVGSVGDSRCILDTQ GGVVSLLTVDHRLEENVEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIG DTDVGEYIVPIPHVKQVKLSDAGGRLIIASDGIWDILSSDMAAKACRGLSAELAAKLV VKEALRTKGLKDDTTCVVVDIVPSDHLTSAPTPKKKQNTFTAFLSKKKHTDTNNKNGN KLSSVGVEELFEEGSAMLADRLGKDLPSNTDTGLLKCAVCQVDQSPAEALSSNEGSII SSASKRWEGPFLCTVCKKKKDAMEGKRPSKGSVTT" gene 22048489..22049602 /locus_tag="BRARA_H02842" /db_xref="Phytozome:Brara.H02842" mRNA join(22048489..22048762,22048850..22048968, 22049048..22049602) /locus_tag="BRARA_H02842" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02842" CDS join(22048517..22048762,22048850..22048968, 22049048..22049495) /locus_tag="BRARA_H02842" /codon_start=1 /product="hypothetical protein" /protein_id="RID52226.1" /db_xref="Phytozome:Brara.H02842" /translation="MKMLPEACVANILSFTTPADTFSSASVSSVFRLAGESDFVWEKF LPSGYSRLISTWTHGSFSSKKELYRCLCESILIENGRKIFKIDKLSGKITYVLSARDL SITWSDQRHYWSWSHRSDSRFSEAVQLIMTDWLEVTGKIQTGALSPNTSYGAYLIMKV TDRAYGLDLVPAETWVKVGNGGKKIDTSYLSCLDDKKQLMKRLFYGHRDQRTAKNEPK VRDDGWMEIELGEFETGREGGDKEVVMSLTEVKGYQLKGGIVIDGIEVRPKP" gene complement(22052092..22054592) /locus_tag="BRARA_H02843" /db_xref="Phytozome:Brara.H02843" mRNA complement(join(22052092..22052382,22052500..22052563, 22052691..22052758,22052854..22052990,22053441..22053538, 22053635..22053702,22053777..22053858,22054257..22054311, 22054408..22054592)) /locus_tag="BRARA_H02843" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02843" mRNA complement(join(22052092..22052382,22052500..22052563, 22052691..22052758,22052854..22052990,22053441..22053538, 22053635..22053702,22053777..22053858,22054257..22054380)) /locus_tag="BRARA_H02843" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02843" CDS complement(join(22052365..22052382,22052500..22052563, 22052691..22052758,22052854..22052990,22053441..22053538, 22053635..22053702,22053777..22053858,22054257..22054267)) /locus_tag="BRARA_H02843" /codon_start=1 /product="hypothetical protein" /protein_id="RID52227.1" /db_xref="Phytozome:Brara.H02843" /translation="MFKKFSLEEVSSQNQVKASVQRRIRQSIQDEYPGLETVMEDLLP KKSPLIVVKCPNHLTLVVVNNVPLFFCIRDGPYMPTLRLLHQYPNIMQRFQVDRGAIK FVFSGANIMCPGLTSPGGVLDEEVDSERPVAIYAEGKQHALAIGFTKMSAKDIKSINK GIGVDNMHYLNDGLWKMERLD" CDS complement(join(22052365..22052382,22052500..22052563, 22052691..22052758,22052854..22052990,22053441..22053538, 22053635..22053702,22053777..22053858,22054257..22054267)) /locus_tag="BRARA_H02843" /codon_start=1 /product="hypothetical protein" /protein_id="RID52228.1" /db_xref="Phytozome:Brara.H02843" /translation="MFKKFSLEEVSSQNQVKASVQRRIRQSIQDEYPGLETVMEDLLP KKSPLIVVKCPNHLTLVVVNNVPLFFCIRDGPYMPTLRLLHQYPNIMQRFQVDRGAIK FVFSGANIMCPGLTSPGGVLDEEVDSERPVAIYAEGKQHALAIGFTKMSAKDIKSINK GIGVDNMHYLNDGLWKMERLD" gene 22054800..22057341 /locus_tag="BRARA_H02844" /db_xref="Phytozome:Brara.H02844" mRNA join(22054800..22055121,22055351..22055452, 22055530..22055652,22055726..22055785,22055926..22056022, 22056109..22056182,22056351..22056404,22056490..22056573, 22056731..22056853,22057126..22057341) /locus_tag="BRARA_H02844" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02844" CDS join(22054840..22055121,22055351..22055452, 22055530..22055652,22055726..22055785,22055926..22056022, 22056109..22056182,22056351..22056404,22056490..22056573, 22056731..22056826) /locus_tag="BRARA_H02844" /codon_start=1 /product="hypothetical protein" /protein_id="RID52229.1" /db_xref="Phytozome:Brara.H02844" /translation="MASCLQASMNSLLPRSSPPLSLNSSGRRNSKAFRVKAAAKIPMP PINPNDPFLSKLASVAAQSPERLIARPLNADTPPYLDIFDSPQLMSSPAQVERSVAYN EHRPRTPPPDLPSMLLDGRIVYIGMPLVPAVTELIVAELMYLQWLDPKEPIYIYINST GTTRDDGETVGMESEGFAIYDSLMQLKNEVHTVCVGAAIGHACLLLSAGTKGKRFMMP HSKAMIQQPRVPSSGLMPASDVLIRAKEVITNRDILVGLLAKHTGNSVETVANVMRRP YYMDALKAKEFGVIDKVLWRGQEKIIADVVPSDEFDKNAGIRSAERV" gene complement(<22058254..>22059213) /locus_tag="BRARA_H02845" /db_xref="Phytozome:Brara.H02845" mRNA complement(<22058254..>22059213) /locus_tag="BRARA_H02845" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02845" CDS complement(22058254..22059213) /locus_tag="BRARA_H02845" /codon_start=1 /product="hypothetical protein" /protein_id="RID52230.1" /db_xref="Phytozome:Brara.H02845" /translation="MECRPLDLTIISAEDLKDIQLIGKQDLYAVVSLNNDARTKQKTK VDKDCGTKPKWKHQMKLTVDDTAARENHLTLVIQIIADRPIAGDKPVGEVSVPLKELL DQNNKEGDVEEEETVTYAVKLPNGKTKGSLKFSFKFGEKYTFVSSSDPHAPGSSSVDN KSMDQPVAAYPPGQGAPGAYPAPPGHDEKHGGVYGYPQAGGYPPPGGPGGYPPAGPGG YPPPGAYPQQGGYPPQGGYPGYPPQGPGYGYPPQGPGYGYPPQGPYGYPQQQGYGGQP QKPKKHGGAGMGLGLGLGAGLLGGLLVGEAIDDMADMGGDCDF" gene 22066984..22071746 /locus_tag="BRARA_H02846" /db_xref="Phytozome:Brara.H02846" mRNA join(22066984..22067109,22067625..22068201, 22068405..22068547,22068632..22068731,22068828..22068960, 22069036..22069090,22069161..22069752,22069833..22070162, 22070269..22070610,22070708..22070777,22070868..22070953, 22071045..22071164,22071244..22071314,22071429..22071746) /locus_tag="BRARA_H02846" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02846" CDS join(22067635..22068201,22068405..22068547, 22068632..22068731,22068828..22068960,22069036..22069090, 22069161..22069752,22069833..22070162,22070269..22070610, 22070708..22070777,22070868..22070953,22071045..22071164, 22071244..22071314,22071429..22071531) /locus_tag="BRARA_H02846" /codon_start=1 /product="hypothetical protein" /protein_id="RID52231.1" /db_xref="Phytozome:Brara.H02846" /translation="MSGANEQTRSSSGNNANGEGTIGIPDELRCKRSDGKQWRCTAMS MPDKTVCEKHYVQAKKRAANSASRASQKKVQRRSSPPLGEADTYSVDDHLVIPPPSSS NGHASGSNKYDGGGREKRHDKIMSRYLPETPMMRGFSPRVAVDLNDEVDGDDGGMFEE SYRSYRRTPPSAGVMERSRERSQQSMSPMEYSGESTDVSEESLGQTCHHCLRKDRERI ISCLKCNQRAFCDACITTQYSEIPLEEVEKVCPACRGLCDCKHCLRSDNTIKVRIQKV PVLDKLQYLYRLLSAVLPVIKQIHLDQCTELELEKRLRGAEIDLVRARLKADDQMCCN VCQVPVVDYYRCCPNCSFDLCLRCCQDLRAESSVEIGGTSQTIGDRRTGVPKLKLNFS NKFPEWEVNSDGSIPCPPKEHGGCGSKSLNLARIFKMNWVAKLVKNAEEMVNGCKVSD LCSPELCDNPVYSPSVETVRSDGVATFEKQWSEGRIVIVKRVLEESSFSRWDPETIWR DIEDVSEHDPFLKAINCLDGSEVEVRLGEFRKAYRDGRNKETALPFSWKLKDWPSPSA SEEFIFYQRPEFIRRFPFLEYIHPRLGLLNVAAKMPHYSLQNDSGPKVIVSCGTYKET DGGDSSNSIHYSMRDMVYLLVHTPEGTKLERVRETTNHGPGKADEKMGENESLVSPKE KLIDGELHDLSLGTSNTEKNESEMMLSVEPSCTSSCAGGVQWDVFRRQDVPKLAEYLQ RTFQKPDDSLKCDFVSRPLFEGLFLNEHHKRQLKDEFGVEPWTFEQHRGEAIFIPAGC PFQFRNLQSNVQVALDFLCPESVGESARLAEEIRCLPYDHKAKPQILEIGKISLYAAS SAIKEVQKLILNPEFGAELGFEDPNLTKAVSNNLDKVIKRPQQISCT" gene complement(<22071840..>22074401) /locus_tag="BRARA_H02847" /db_xref="Phytozome:Brara.H02847" mRNA complement(join(<22071840..22072847,22072881..22073663, 22073730..>22074401)) /locus_tag="BRARA_H02847" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02847" CDS complement(join(22071840..22072847,22072881..22073663, 22073730..22074401)) /locus_tag="BRARA_H02847" /codon_start=1 /product="hypothetical protein" /protein_id="RID52232.1" /db_xref="Phytozome:Brara.H02847" /translation="MVRLMDEGNTLMEESSDVEFACGDPKVEPRVGDEFQAEIPPMMS ASKRAAFLSTPLPLDDSSYPFLVGQPVQVTWIDKHHQKGQLNGDDDSIDMNQSLKSLR TKRSRCSSKKQRLNLEAVPEIPSSSWEDHEVASFLLGLYTFGKNFTQLKKFMESKGTG EIVLFYYRKFYKSASYHSWSDSRTKRRRKCVYGRKLYSGWRQHQLLSRLIPSISDESQ KQTLVNVSKSFAEGNITLEKYISLVKDLVGLKLLVEAVGIGKGKEDLTVITSGPPVKT KPWFTVSSKTSSSVPGLDAYTSLTSADIINQLTGSSRRLSKARCSDIFWEAVWPRLLA RGWRSEQPKERGYFASKDNIVFIVPGVKEFSRGELVKGDDYFDSVSDILTKVAMEPEL LEFETGGEIKEGDANAENSSEQSDEGSSPSDKQKHRYLKSPCSNRGTLQMNFTVVDTS LVAGGKLCDLRNLNTEPLVCSVPKTRLGDELDCQNDYLEEESSIKEEEEETLERVKDP SKRLIKHRSNQRAEANDGSVSSAPSLKRRRLSACVRSEKSLSRDKHSPGDESTVCSES EQLSSCAVQHQNGSSEEMNEDKERYGRDYMNLKSDQSKHTGSGPSSAVVKIEETSEET RTSPHELISSEQEPNGCCSVSDSNTKRATIVPKQEQAVELPSIPSSNNSPSNDLGTTQ ELGSSDAPRRQSTRKRPLTTRALEALESGFLTTKEMKSTVKPRKRAKKNRSAKACNRE QPLPDNGSAGLEQRGEDESKATDQIEDSKPSLPLNGETTATMALDQRQDSKTVPPERP RLPPIVLKLSLKRRRGASETQV" gene 22077238..22081921 /locus_tag="BRARA_H02848" /db_xref="Phytozome:Brara.H02848" mRNA join(22077238..22077758,22077851..22077938, 22078333..22078494,22078824..22078946,22079037..22079096, 22079300..22079389,22079696..22079830,22080259..22080403, 22080701..22080874,22081011..22081074,22081158..22081227, 22081350..22081467,22081574..22081921) /locus_tag="BRARA_H02848" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02848" CDS join(22077634..22077758,22077851..22077938, 22078333..22078494,22078824..22078946,22079037..22079096, 22079300..22079389,22079696..22079830,22080259..22080403, 22080701..22080874,22081011..22081074,22081158..22081227, 22081350..22081467,22081574..22081668) /locus_tag="BRARA_H02848" /codon_start=1 /product="hypothetical protein" /protein_id="RID52233.1" /db_xref="Phytozome:Brara.H02848" /translation="MFSSTLDNSRGNSAAAGQVVTPTRFVWPYGGRRVYLSGSFTRWT EHVPMSPIEGCATVFQVICNLTPGYHQYKFFVDGEWRHDEHQPFVTANGGVVNTIFIT GPDMVATGFNSSNMDVDDFSQRAADPSQESIPRMSGGDLEMSRHRISALLSNRTAYEL LPESGKVIALDVNLPVKQAFHILYEQGIPLAPLWDFGKGQFVGVLGPLDFILILRELG THGSNLTEEELETHTIAAWKEGKAHISRQYDGIGRQYPRPLVQVGPYDNLKDVALKIL QNKVAAVPVIYSSLQDGSYPQLLHLASLSGILKCICRYFRHSSSSLPILQQPICSIPL GSWVHRIGESSSKPLATLRPNASLGSALSLLVQAQVSSIPVVDDNDSLIDIYSRSDIT ALAKDKAYAQIHLDDMTVHQALQLGQDASPPYGSLNGQRCQMCLRSDSLGKVMERLAN PGVRRLVIVEAGSKRVEGIISLSDVFRFLLGL" gene 22082421..22086843 /locus_tag="BRARA_H02849" /db_xref="Phytozome:Brara.H02849" mRNA join(22082421..22082690,22082870..22083008, 22083079..22083306,22083380..22083438,22083501..22083549, 22083630..22083860,22083950..22084119,22084393..22085242, 22085715..22085942,22086025..22086843) /locus_tag="BRARA_H02849" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02849" CDS join(22082542..22082690,22082870..22083008, 22083079..22083306,22083380..22083438,22083501..22083549, 22083630..22083860,22083950..22084119,22084393..22085242, 22085715..22085942,22086025..22086732) /locus_tag="BRARA_H02849" /codon_start=1 /product="hypothetical protein" /protein_id="RID52234.1" /db_xref="Phytozome:Brara.H02849" /translation="MSEIRKTLLHSGWLAARSTEVNEDGKQLTTTNPPSLGSQSKWME AVVPGTVLGTLVKNKAIPDPFYGLNNETIIDIADSGRDYYTFWFFTKFQCKRLLNQYV HLNFRAINYSAEVYVNGHKTALPKGMFRRHTLDVTDILHPESENLLAVIVHPPDHPGT IPPQGGQGGDHEIGKDVAAQYVEGWDWICPIRDRNTGIWDEVSISVTGPVRIIDPHLV STFFDNYKRVYLHVTAEFENKSTWKADCSVTIQITTELENGVCLVEILHTESVIIPAR GHVQHTFKPLFLYKPELWWPNGMGKQSLYDVVITVVVKEFGESDSWMQPFGFRRVESV IDSVTGGRLFKINGEPIFIRGGNWILSDGLLRLSKERYRTDIKFHADMNMNMIRCWGG GLAERPEFYHYCDIYGLLVWQEFWITGDVDGRGVPVSNPNGPLDHDLFLLCARDTVRL LRNHPSLALWVGGNEQVPPKDINEALKQDLRLHPYFATPSLPDWDADPSVYLDGARVY VQGSMWDGFADGKGNFTDGPYEIQYPEDFFKDTFYKFGFNPEVGSVGMPVADTIRATM PREGWEIPLFKKGGDGFVEEVPSRMWDYHKYIPYSKPGKVHDQILMYGAPRDLDDFCL KAQLVNYIQYRALLEGWSSRMWTKYTGVLVWKNQNPWTGLRGQFYDHLLDQTASFYGC RSAAEPVHVQLNLASNFIEVVNTTSKKLSDVEIEASVWDLDGNCPYSNVFNRVSAPPK KVVKVSEFTYPKSENPKPVYFLLLKLYHVSDKKVISRNFYWLHLPGKDYTLLEPYRKK QIPLKITCDSVLVGPKFELEITVHNTSRANDVLQEEGLLHKLFSRSAVVAGDSNRGLK VVVGSDPGVAFFLRFSVHNVEAEQQDTRILPVHYSDNYFSLVPGESMSFKISFAAPTG MKKSPRVVLRGWNYPDGFTVFG" gene complement(22086969..>22089556) /locus_tag="BRARA_H02850" /db_xref="Phytozome:Brara.H02850" mRNA complement(join(22086969..22087543,22088019..22088470, 22088794..>22089556)) /locus_tag="BRARA_H02850" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02850" CDS complement(join(22087061..22087543,22088019..22088470, 22088794..22089556)) /locus_tag="BRARA_H02850" /codon_start=1 /product="hypothetical protein" /protein_id="RID52235.1" /db_xref="Phytozome:Brara.H02850" /translation="MKLKNKNSSKRFFMFYLMLTSLSFLGLVLRFKPLFLLNPMITSP SIIEIHYSLPVVPVNRNPRWLRLIKNYLPDQKKIRVGLLNIAENERESYEATGTSILE NVHVLLDPLPKNLTWESLFPVWIDEDHTWNAPVCPEVPLPQVEGTAADVDVVVVKTPC DGFFESKGLRDVFRLQVNLAAAKLVVESGRRNVDRTVYVVFIGSCEPMHEIFRCDERV RRVGKYWVYKPNLKKLKQKLLMPVGSCQIAPPVTELDQETWREQKNESLSSTTTFAAQ RVAYVTLLHSSESYVCGAIALAQSIRQSGSRHDMILLHDDSIKNKSRIGLSLAGWKLR RVERISSPFSQKGSYNEWNYSKLRVWQVTDYDKLVFIDADFIIAKNVDYLFFYPQLSA AGNNRVLFNSGVMVLEPSACLFEELMQQSFKIKSYNGGDQGFLNEYFVWWHRLSKRVN TMKYFGEETNIGPKRNLPDNLEGIHYLGIKPWRCYRDYDCNWDLTTRRVYASESVNKK WWKVYDKMPKKLQRYCGLTSKMDKNIEKWMKAAKVKGFPEKHWRVQVRDPRKKNLVD" gene complement(22090373..22091677) /locus_tag="BRARA_H02851" /db_xref="Phytozome:Brara.H02851" mRNA complement(join(22090373..22090583,22090680..22091352, 22091613..22091677)) /locus_tag="BRARA_H02851" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02851" mRNA complement(join(22090373..22090583,22090680..22091352, 22091520..22091677)) /locus_tag="BRARA_H02851" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02851" CDS complement(22090691..22091347) /locus_tag="BRARA_H02851" /codon_start=1 /product="hypothetical protein" /protein_id="RID52236.1" /db_xref="Phytozome:Brara.H02851" /translation="MDQQEHGQSRAMNYGTSPYQTGSVGPTAQSGQMAFHQHHQQQQL TQQLQVFWQNQFKEIENTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEM FILELTLRSWNHTEENKRRTLQKNDIAAAVTRTDIFDFLVDIVPREDLRDEVLGSIPR GTVPEASAAGYPYGYLPSGTAPVGNPGMVMGNPGAGYPPNPYMGQPMWQHQGPGQPDQ " CDS complement(22090691..22091347) /locus_tag="BRARA_H02851" /codon_start=1 /product="hypothetical protein" /protein_id="RID52237.1" /db_xref="Phytozome:Brara.H02851" /translation="MDQQEHGQSRAMNYGTSPYQTGSVGPTAQSGQMAFHQHHQQQQL TQQLQVFWQNQFKEIENTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEM FILELTLRSWNHTEENKRRTLQKNDIAAAVTRTDIFDFLVDIVPREDLRDEVLGSIPR GTVPEASAAGYPYGYLPSGTAPVGNPGMVMGNPGAGYPPNPYMGQPMWQHQGPGQPDQ " gene complement(22094260..22097954) /locus_tag="BRARA_H02852" /db_xref="Phytozome:Brara.H02852" mRNA complement(join(22094260..22094565,22094704..22094818, 22094920..22094979,22095116..22095169,22095284..22095343, 22095426..22095488,22095577..22095620,22095766..22095850, 22095934..22096014,22096085..22096177,22096395..22096459, 22096547..22096622,22096757..22096822,22096916..22096981, 22097066..22097125,22097241..22097330,22097414..22097476, 22097611..22097954)) /locus_tag="BRARA_H02852" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02852" CDS complement(join(22094441..22094565,22094704..22094818, 22094920..22094979,22095116..22095169,22095284..22095343, 22095426..22095488,22095577..22095620,22095766..22095850, 22095934..22096014,22096085..22096177,22096395..22096459, 22096547..22096622,22096757..22096822,22096916..22096981, 22097066..22097125,22097241..22097330,22097414..22097476, 22097611..22097910)) /locus_tag="BRARA_H02852" /codon_start=1 /product="hypothetical protein" /protein_id="RID52238.1" /db_xref="Phytozome:Brara.H02852" /translation="MDRQKSMEKGLLRKSLSIRERKFPNDDLFLETGLSRKSPRDIAK KSPRGIIKKSPRDIIKKSPRDIIKKAENDDGECRVTAIVFLSTFVAVSGSFCTGCGAG FSSGAQSGITKDLSLSVAEYSMFASVLTLGGLIGAVFSGKVADVLGRKRTMLFCEAFC LAGWLAVALAQDALWLDCGRLLLGIGVGLFSYVIPVYIAEIAPKHVRGSFVFANQLLQ NCGIALFFIIGNFIPWRWLAIVGFMPCVLHVFCLFFIPESPRWLAKRGRDKECRSALQ RLRGPDVDISREANTIRDTIELSELDGETRMAELFQRRYAYPLLIGVGLMFLQQLSGS SGVTYYASSLFQKGGFPSAIGTSVIATIMVPKAMLGTIIVDKLGRRTLLMSSCAAMCL SALLLSVSYGFESFGILPDLTPILTCIGVLGHIVSFAMGMGGLPWIIMAEIFPMNVKV SAGTLVTVTNWLFGWVITYTFNFMLQWNASGMFFIFSMVSAFSIVFIYFLVPETKGRS LEEIQALFSNSVQ" gene complement(22105573..22108788) /locus_tag="BRARA_H02853" /db_xref="Phytozome:Brara.H02853" mRNA complement(join(22105573..22105974,22106059..22106173, 22106258..22106317,22106400..22106453,22106546..22106605, 22106697..22106759,22106850..22106893,22106990..22107074, 22107155..22107235,22107320..22107412,22107500..22107564, 22107652..22107727,22107823..22107888,22107971..22108096, 22108193..22108282,22108378..22108440,22108555..22108788)) /locus_tag="BRARA_H02853" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02853" CDS complement(join(22105850..22105974,22106059..22106173, 22106258..22106317,22106400..22106453,22106546..22106605, 22106697..22106759,22106850..22106893,22106990..22107074, 22107155..22107235,22107320..22107412,22107500..22107564, 22107652..22107727,22107823..22107888,22107971..22108096, 22108193..22108282,22108378..22108440,22108555..22108701)) /locus_tag="BRARA_H02853" /codon_start=1 /product="hypothetical protein" /protein_id="RID52239.1" /db_xref="Phytozome:Brara.H02853" /translation="MTMSENQRNLEAGLLLNKNRNDINECRITVVVLFSTFVSVCGSF CFGCAAGYSSVAQAGITKDLGLSVAQYSMFGSIMTFGAMFGAIFSGKVSDLIGRKGTM WFAQIFCIAGWLAIAFAKDTIWLDAGRFSTGFAVGLFSYVIPVYIAEITPKHVRGAFV FANQLMQSCGLSLYYVIGNFVHWRNLALIGLIPCVLQVVTLFFIPESPRLLGKWGLEK ECTASLQLLRGEDADVSEEANTIKETMILFNEGPNSRVMDLFQRRYAPSLVIGVGLML LQQLSGSSGIMFYVGSVFDKGGFPSSIGSMILAVIMIPKAILGLILVEKMGRRPLLLA STSGMCLCSLFLAFSFSFRSYGMLDELTPIFTCIGVVGFISSFAVGMGGLPWIIMSEI FPMNVKVSAGTLVTLANWSFSWIVAFAYNFMIEWNASGTFLIFFSICAGGIVFIYAMV PETKGRTLEDIQASLTDFLQ" gene complement(22112515..22116935) /locus_tag="BRARA_H02854" /db_xref="Phytozome:Brara.H02854" mRNA complement(join(22112515..22113285,22113373..22113957, 22114040..22114156,22114262..22114313,22114405..22114456, 22114616..22114717,22114804..22114888,22114973..22115021, 22115111..22115178,22115433..22115507,22115583..22115634, 22115792..22115874,22115956..22116021,22116320..22116385, 22116503..22116578,22116660..22116728,22116863..22116935)) /locus_tag="BRARA_H02854" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02854" CDS complement(join(22112764..22113285,22113373..22113957, 22114040..22114156,22114262..22114313,22114405..22114456, 22114616..22114717,22114804..22114888,22114973..22115021, 22115111..22115178,22115433..22115507,22115583..22115634, 22115792..22115874,22115956..22116021,22116320..22116385, 22116503..22116578,22116660..22116728,22116863..22116885)) /locus_tag="BRARA_H02854" /codon_start=1 /product="hypothetical protein" /protein_id="RID52240.1" /db_xref="Phytozome:Brara.H02854" /translation="MVIPTSSTLNHRSEVVESNKEFHAYCFSLANRIDASIGNDEVPV DAQELAKTLLNQVCRRRCDDETKAVLMVLMISVKTACELGWFPERETQELLALVDSMW KGFSGPENVASGLSSPVSLIPQVMERFYPFTKLGQILVSSESEAESNILMKDFYISKK MLQHSPKQKVGLFVFRTEDISKSSCIIHPQEVSFLLNGKGVDKRYGLSMDTGPQCPTN VTSLLNAGSNLLQTIGCFGGSYFIIIALFDTISLPANPLLKDYVRSEVTESNSDCDVI EGPSRISLSCPISRTRFKLPVKGHACKHLQCFDLWNYIKINTRIPSWRCPHCNQSVCY TDIRVDQNMRKILEEAGCNASDVVISSDGSWKVVTENDENVGAVPETTHGHGDPTSFQ KLGPTVLDLTRDEDEMETSGGTHVNEQKPCVSEIQCPSSASTDALPELPQTLNTSDGQ QQFVNSAARDAIRTNPYPLERLATNTASFHISMSGAQSSQFQGSHVTPLRNCLGRTTD LMERWNHIYGNSTTQTPSTPMPPPLHHQYALQNQRLPTRSLSTVQDRPIPSAITRPQT LGVNYGGASVQRHMQTPVQRRNLGGAASRESMNLTPASTGNRRPPHIRMRGSLMPGST GYDHMIIRPTQPVQTQAQTLPQPTAYNNMPVQAQAQTLPQPQPTGYYNSLDDEIQAFL AQQTEAGIGSVPVGEGVGTQGSVWSMPPETW" gene 22117635..22118423 /locus_tag="BRARA_H02855" /db_xref="Phytozome:Brara.H02855" mRNA join(22117635..22117895,22117984..22118423) /locus_tag="BRARA_H02855" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02855" CDS join(22117689..22117895,22117984..22118205) /locus_tag="BRARA_H02855" /codon_start=1 /product="hypothetical protein" /protein_id="RID52241.1" /db_xref="Phytozome:Brara.H02855" /translation="MSTGAASGTTKGGRGKPKATKSVSRSSKAGLQFPVGRIARFLKA GKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELS KLLGAVTIANGGVLPNIHSNLLPSKVGKNKGDIGSASQEF" gene 22119064..22122729 /locus_tag="BRARA_H02856" /db_xref="Phytozome:Brara.H02856" mRNA join(22119064..22119496,22120076..22120203, 22120510..22120580,22120720..22120801,22121140..22121255, 22121329..22121508,22121711..22122163,22122243..22122320, 22122397..22122729) /locus_tag="BRARA_H02856" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02856" mRNA join(22119699..22119848,22120076..22120203, 22120510..22120580,22120720..22120801,22121140..22121255, 22121329..22121508,22121711..22122163,22122243..22122320, 22122397..22122729) /locus_tag="BRARA_H02856" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02856" CDS join(22120155..22120203,22120510..22120580, 22120720..22120801,22121140..22121255,22121329..22121508, 22121711..22122163,22122243..22122320,22122397..22122510) /locus_tag="BRARA_H02856" /codon_start=1 /product="hypothetical protein" /protein_id="RID52242.1" /db_xref="Phytozome:Brara.H02856" /translation="MNMPLLDIQPRTLKFVVDLKKQSTCVVQLTNTTHLFVAFKVKTT SPKKYCVRPNVGVVAPKSTCEFSVIMQAFKEPPPDMACKDKFLIQSTAVPEETTDEDI TASMFSKAEGKHIEENKLRVTLVMASDSPELSPIKGALKQESVFEDSIPKDRLHGQSE TLPPQYESEIVKEPPRMVGHDELKQPRKGVMDFIENDIKATKGGGYDTSKMAKETESD PIKSHKDEDAGRGIKPTHDLGTTTNMAMDLSGDQGFANGKTSAKSVTYPEEPKIHRDR DVVSQQKTDAQNSKALDEYKLVKDIEEMKLKVNALESKLKQADSTISKLMEERSISSQ HRESLQQELAEMRMKKIVKEVHIGFPLLFVCVVAFISIVIGYCLRT" CDS join(22120155..22120203,22120510..22120580, 22120720..22120801,22121140..22121255,22121329..22121508, 22121711..22122163,22122243..22122320,22122397..22122510) /locus_tag="BRARA_H02856" /codon_start=1 /product="hypothetical protein" /protein_id="RID52243.1" /db_xref="Phytozome:Brara.H02856" /translation="MNMPLLDIQPRTLKFVVDLKKQSTCVVQLTNTTHLFVAFKVKTT SPKKYCVRPNVGVVAPKSTCEFSVIMQAFKEPPPDMACKDKFLIQSTAVPEETTDEDI TASMFSKAEGKHIEENKLRVTLVMASDSPELSPIKGALKQESVFEDSIPKDRLHGQSE TLPPQYESEIVKEPPRMVGHDELKQPRKGVMDFIENDIKATKGGGYDTSKMAKETESD PIKSHKDEDAGRGIKPTHDLGTTTNMAMDLSGDQGFANGKTSAKSVTYPEEPKIHRDR DVVSQQKTDAQNSKALDEYKLVKDIEEMKLKVNALESKLKQADSTISKLMEERSISSQ HRESLQQELAEMRMKKIVKEVHIGFPLLFVCVVAFISIVIGYCLRT" gene 22123648..22124899 /locus_tag="BRARA_H02857" /db_xref="Phytozome:Brara.H02857" mRNA join(22123648..22123804,22123905..22124034, 22124146..22124899) /locus_tag="BRARA_H02857" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02857" CDS join(22123672..22123804,22123905..22124034, 22124146..22124680) /locus_tag="BRARA_H02857" /codon_start=1 /product="hypothetical protein" /protein_id="RID52244.1" /db_xref="Phytozome:Brara.H02857" /translation="MGRPPCCDKIGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNT GLLRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIIHLQALLGNKWASIASYLPQRTDN DIKNYWNTHLKKKLNKSESEERSISENIPLQTSAARNTINHRSTYASSTENISRLLEG WMRASPKSSTANFLEQKSQNRTNDLMDHHNDQSPYEQGSWDQGFHVHPKNSEENVKCD HDVQEDGDGDGDATPPLTFIEKWLLEETNTGGQMEVMSPLMELSNML" gene 22125854..22130040 /locus_tag="BRARA_H02858" /db_xref="Phytozome:Brara.H02858" mRNA join(22125854..22125979,22126413..22128321, 22128414..22129518,22129591..22130040) /locus_tag="BRARA_H02858" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02858" CDS join(22126464..22128321,22128414..22129492) /locus_tag="BRARA_H02858" /codon_start=1 /product="hypothetical protein" /protein_id="RID52245.1" /db_xref="Phytozome:Brara.H02858" /translation="MDTTQISPAKSQTGSRSFTKALALAFNEWLLMVMLFANSIFTYV ITKFADYSQLQSPCLICSRLDHILGNTKDLKTSHWDMFCSKHKSEISSLVYCHAHGKL VDVRGMCEACLVSFDTTNKSNAESYKLLVGKLDDQPSTSPRHCTCCNQLWIPQIDSTG EVLAKPETLAKIGLVSDERTGKETCTPKKSVRFNDLPHVGYTELKVHSDTEQEDVFSE DEGVAVKEKDHRIQNVDLETSEKVLKEEEIISLDDSFVTSRAMEHSEAALEEKEDLIQ VQDTSIISDSKESPADALLEVSELITVNVVPEISEEVLKEEEITSLDHLLLASRGMED LIQLRDISLTSDFKELPKNILIEESELTGTSTSVAAETHEDLLVEDTVLEEKEELVHL QDTSVTPDCEESSAGALMGETKLLCINDVTTSTSVAAETSEDVFMGETVSKEKGEMLH LQDTSLTPDFKQSPAHASWEEAELVCLSDATSTPVSADPRDILMGEAILKEEEELLHL QDTSLTPDSKESPADALMEKAELVCLNDVTTSTSSDSDTNPEHVLKETQLMPLHETSP EEVPESFTTTETPIETYKERDTNQADMTSLESEYVVVSPSKSTNSMLEYSNENCVSDN KKETSLTLSEMASHTVAAPESESSSFNSMSVAAETNLDSCEWTQGGGELLDLADAYKN IIVHNESNREAHIEQWMSKDTSRVSQDLKALLTQISASRISPRISIVDQETKNLDNDM QLLIQKRMLERNESTLSLEGVSVSEIEGESEVERLKRQVDHDRKFLTGLYKELEEERS ASAVATNQAMAMITRLQEEKATFQMEALQNLRMMEEQAEYDLEAIQKLNELLVEREKV IQDLEAEIDYFRSKNVTERVSDKVQNCLSGFDEERLYITSCLKKIENMVQEDVDGEAH VDNLARQESVSELRERVEKLKGDFDFLEHVVSSLGHGSEGVQFVEEIASHLQTLSMKR HNHTEC" gene complement(<22130380..>22131360) /locus_tag="BRARA_H02859" /db_xref="Phytozome:Brara.H02859" mRNA complement(join(<22130380..22130459,22130865..>22131360)) /locus_tag="BRARA_H02859" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02859" CDS complement(join(22130380..22130459,22130865..22131360)) /locus_tag="BRARA_H02859" /codon_start=1 /product="hypothetical protein" /protein_id="RID52246.1" /db_xref="Phytozome:Brara.H02859" /translation="MVRVGEALSVSTSSSSSLNESEADELQKMSLEPPRAKPKKRLSK QLSMLETKRDKAWERRRRQMLHHLEMKQINEGGDIDLTDEDLSELKGSIELGFGFNEE QGQQLTTTLPALDLYFAVNRQISPVSTPSSGGSSSSRLTSLGEGSSSFGSPMSDSDGL KFMSPGDNPQQVKTRLRHWAQAVACSVIQYN" gene 22132348..22133304 /locus_tag="BRARA_H02860" /db_xref="Phytozome:Brara.H02860" mRNA join(22132348..22132446,22132539..22132661, 22132924..22133304) /locus_tag="BRARA_H02860" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02860" CDS join(22132435..22132446,22132539..22132661, 22132924..22133184) /locus_tag="BRARA_H02860" /codon_start=1 /product="hypothetical protein" /protein_id="RID52247.1" /db_xref="Phytozome:Brara.H02860" /translation="MMQQGKSSSSGSEMQVTWEDQQNINTFSRLNNRFHELEDDIKFA KEKCDNLEDAGNELILSDEEMVRFQIGEVFAHMPREEVETKIEEMKEATFKSLEKLQQ EKESIVSQMAELKKVLYAKFKDSINLEED" gene complement(22133470..22145687) /locus_tag="BRARA_H02861" /db_xref="Phytozome:Brara.H02861" mRNA complement(join(22133470..22133819,22133926..22134008, 22134080..22134160,22134253..22134309,22134393..22134449, 22134530..22134629,22134725..22134795,22134874..22135017, 22135110..22135316,22135391..22135435,22140597..22140635, 22140710..22140866,22140951..22141096,22141207..22141350, 22141429..22141557,22141741..22142632,22142720..22142793, 22142871..22143240,22143462..22143767,22145363..22145687)) /locus_tag="BRARA_H02861" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02861" mRNA complement(join(22133470..22133819,22133926..22134008, 22134080..22134160,22134253..22134309,22134393..22134449, 22134530..22134629,22134725..22134795,22134874..22135017, 22135110..22135316,22135391..22135437)) /locus_tag="BRARA_H02861" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02861" CDS complement(join(22133696..22133819,22133926..22134008, 22134080..22134160,22134253..22134309,22134393..22134449, 22134530..22134629,22134725..22134795,22134874..22135017, 22135110..22135316,22135391..22135435,22140597..22140635, 22140710..22140866,22140951..22141096,22141207..22141350, 22141429..22141557,22141741..22141743)) /locus_tag="BRARA_H02861" /codon_start=1 /product="hypothetical protein" /protein_id="RID52249.1" /db_xref="Phytozome:Brara.H02861" /translation="MGTPVNIIVGSHVWVEDSDVAWIDGEVEKLTGQEVVIQATTGKT ITAKLSKIYPKDVEAPAGGVDDMTKLSYLHEPGVLQNLKIRYELNEIYTYTGNILIAI NPFQRLPHIYDAHMMQQYKGAPFGELNPHVFAVADVAYRAMINEGKSNSILVSGESGA GKTETTKMLMRYLAYLGGRAVTEGRTVEQQVLESNPVLEAFGNAKTRRRSSSATLFGR MTQSFRGAPPGVNLAMINGAAGGGADTLRQVEAKYPALLFKQQLTAYVEKIYGMIRDN LKKEISPLLGLCIQAPRTSRASLVKGASRSVANTAGQQALIAHWQGIVKSLTNFLNTL KSNNVPSFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLSELEHWCFKA TDEYAGSSWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDD KYGTHSVSPDVIANMRVLMTEDSNNAVSNSFLLDDDSSIPFSVDDLSKSMERFDIADI EPPPLIRENSGFSFLLPVAE" CDS complement(join(22133696..22133819,22133926..22134008, 22134080..22134160,22134253..22134309,22134393..22134449, 22134530..22134629,22134725..22134795,22134874..22135017, 22135110..22135316,22135391..22135399)) /locus_tag="BRARA_H02861" /codon_start=1 /product="hypothetical protein" /protein_id="RID52248.1" /db_xref="Phytozome:Brara.H02861" /translation="MTQSFRGAPPGVNLAMINGAAGGGADTLRQVEAKYPALLFKQQL TAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGASRSVANTAGQQALIAHW QGIVKSLTNFLNTLKSNNVPSFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYV KAGLSELEHWCFKATDEYAGSSWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLS IQQLYRISTMYWDDKYGTHSVSPDVIANMRVLMTEDSNNAVSNSFLLDDDSSIPFSVD DLSKSMERFDIADIEPPPLIRENSGFSFLLPVAE" gene complement(<22147930..>22148949) /locus_tag="BRARA_H02862" /db_xref="Phytozome:Brara.H02862" mRNA complement(join(<22147930..22148292,22148365..22148448, 22148540..22148697,22148769..>22148949)) /locus_tag="BRARA_H02862" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02862" CDS complement(join(<22147930..22148292,22148365..22148448, 22148540..22148697,22148769..>22148949)) /locus_tag="BRARA_H02862" /codon_start=1 /product="hypothetical protein" /protein_id="RID52250.1" /db_xref="Phytozome:Brara.H02862" /translation="GTNFELDSLLKFNIRSAASPYYITLVACLPSSGLQQIFQVLVEE ERLGILDLTCPISRPQGTESSRKESTPFLRPHSEPVFSTYQDRLDDWPSSIIPWPSSE IGFIDTKCFYMLNESELQCDWIFLYVELAICTSQRWFKAKDLSNFLEIVQVAIESLDD KEPPSLKSKAVVIYIAYKDLAKARTGEPYHCQDVVRRVINEATGILSIQGDCWIEEAA MASVPSKKRSRMLRCRLGVHKLWRLSSPRWYQMYKNHGLQSSPQ" gene complement(22153127..22154648) /locus_tag="BRARA_H02863" /db_xref="Phytozome:Brara.H02863" mRNA complement(join(22153127..22153640,22153753..22154648)) /locus_tag="BRARA_H02863" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02863" CDS complement(join(22153591..22153640,22153753..22154554)) /locus_tag="BRARA_H02863" /codon_start=1 /product="hypothetical protein" /protein_id="RID52251.1" /db_xref="Phytozome:Brara.H02863" /translation="MTCSQNNNNNDNKYQICEEIGRGRFGTVTRVYAPATGDFFACKT IDKSSLTDALDRACIDTEPKLMALLSYHPNIIQIHDLVDTDSTLSIYMELVDPSVSIY DRLVSSGTFSESQTASFAKQILQGLSHCHRYGVVHRDIKPENILLDLRNDAVKICDFG SGAWLGEGETTEGVVGTPYYVAPEVLMGCSYGEKVDLWSAGVVFYTMLAGAPPFYGET AEEIFEAVLRGNLRFPPSVFRGVSSMAKDFLRKLMCKDASRRLSAEQALRHPWIQRAG EAEERFI" gene <22158689..22160857 /locus_tag="BRARA_H02864" /db_xref="Phytozome:Brara.H02864" mRNA join(<22158689..22159131,22159200..22159311, 22159752..22159802,22159893..22160097,22160187..22160245, 22160317..22160417,22160535..22160601,22160698..22160857) /locus_tag="BRARA_H02864" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02864" CDS join(22158689..22159131,22159200..22159311, 22159752..22159802,22159893..22160097,22160187..22160245, 22160317..22160417,22160535..22160601,22160698..22160769) /locus_tag="BRARA_H02864" /codon_start=1 /product="hypothetical protein" /protein_id="RID52252.1" /db_xref="Phytozome:Brara.H02864" /translation="MVIRSVDLRSDTVTRPTDAMREAMAGAEVDDDILGYDPTARHLE EEMAKMMGKEAALFVPSGTMGNLICVMVHCEVRGSEVILGDNSHIHVYENGGISTIGG VHPKTIKNEEDGTMDLGAIEAAIRDPKGSTFYPSTRLICLENTHANSGGRCLSAEYTD RVGEIAKRHGLKLHIDGARLFNASIALGVPVHRLVEAADSVSVCLSKGLGAPVGTVIV GSHTFIEKAKTLRKTLGGGMRQIGVLCAAALVALQENLPKLQFDHKKAKLLAEGLNQM KGIRINVAAVETNMIFMDMEDGSRLTAEKLRKSLTECGILVLPGNSSRIRMVVHHQIT ISDVHYTLSCLQQAMQMIQEPSLNQTGKTENALSF" gene complement(22160948..22166432) /locus_tag="BRARA_H02865" /db_xref="Phytozome:Brara.H02865" mRNA complement(join(22160948..22161602,22161691..22161888, 22161982..22162085,22162164..22163712,22163836..22163997, 22164189..22164419,22164513..22165007,22165091..22165168, 22165245..22165316,22165397..22165670,22166107..22166432)) /locus_tag="BRARA_H02865" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02865" CDS complement(join(22161285..22161602,22161691..22161888, 22161982..22162085,22162164..22163712,22163836..22163997, 22164189..22164419,22164513..22165007,22165091..22165168, 22165245..22165316,22165397..22165663)) /locus_tag="BRARA_H02865" /codon_start=1 /product="hypothetical protein" /protein_id="RID52253.1" /db_xref="Phytozome:Brara.H02865" /translation="MGTELMRICVKEESDELPSVPPGFESYATFTLKRVVPDPGAAME SVSSVSNQGEMEIESDEAKAARSLRRRPWINYDDDSNALSQNLDQTCGVKPSLPKGVT RGCAECNDCQKVTARWQPDEARRPDLEDAPIFHPTEEEFEDTLSYIAKIRPKAEKYGI CRIVPPPSWKPPCPLKEKQVWEGSKFTTRVQRVDKLQNRSSMKKVSKLSNQIRRKKRK CMKMGMDPDSASPGMSELETFGFEPGPGFTLKDFKKYADEFKAQYFKKSETSTDGECT VGGSWEPAVEDVEGEYWRIVDKATEEIEVLYGADLETGVFGSGFPKKSSSHEAASSSE EKYAKSGWNLNNFSRLPGSLLKHEGSDISGVLVPWLYIGMCFSSFCWHVEDHHLYSMN YMHWGAPKLWYGVAGKDAVKLEEAMRKHLPDLFEEQPDLLHKLVTQLSPSKLKTAGVP VHRCVQHAGEFVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLPHGQIAIELYCQQGRKT SISHDKLLLGAAREVVKADWELNLLKKNTIDNLWWKEFSGKDGILAATLKARIDMERT RREVLCSSSLALKMHSNFDATNERECCICFFDLHLSAAGCRCSPEKYSCLTHVKQLCS CPWVAKYYLFRYDMDELNVLLEAVEGKLSSVYRWARQDLGLALSEHLSGSKMETGEEE PQAAALLGKDLQLKVTSREDLTRGLEKTSRLSDANLLLKVKEEQLTPSHSMKTVKEET VYDSVPAAKSISGKKNTQSVPDDVILLSDDEHDVSSKRVSEKRDAVLSGKHLKIHERP THVLALEAPSITPVPMVEKQAISLPDRQITMPLPTNDQRAVQGDVTSSVSPAEVNAVV DGLARVTSNQDGVNPTSSKSKISGGLAIQEVVDGIRSTSGTPSCSQNNSPDRIIRQKG PRIAKVVRRINCNVEPLNYGCVLSGKSWCNRRAIFPKGFRSRVKYINVLDPTRMSFYV SEILDAGRNSPLFMVYLEGVPSEVFAHLSPTRCWEMVRDRVNQEISKQHKAGKLDLPP LQPSGSPDGFEMFGYTSPAILQAIEALDVNRVCTEYWDSRPYSRPQVQFPANALLLRE ANTSIQSSDVRSLQKAPRQRLLPAGTKSNLKVLLKKANMEELSSLQEVLSESNIDLVT ELVKEEIQKRC" gene complement(<22167546..>22170608) /locus_tag="BRARA_H02866" /db_xref="Phytozome:Brara.H02866" mRNA complement(<22167546..>22170608) /locus_tag="BRARA_H02866" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02866" CDS complement(22167546..22170608) /locus_tag="BRARA_H02866" /codon_start=1 /product="hypothetical protein" /protein_id="RID52254.1" /db_xref="Phytozome:Brara.H02866" /translation="MTIPQLFFLFCYITSSLVLSETFQEQEILLAIKSDLFDPSNNLQ DWKRPENATESVHCHWTGVHCDQNGFVAKLLLPSMNLSGNISDQIQSFSSLTVLDLSN NAFECSLPKSLSNLTSLKVFDVSVNSFFGTFPYGLGTATGLTHVNASSNNFSGFLPED LGNATALEALDFRGGYFEGTVPSSFKSLKKLKFLGLSGNNLSGKLPKVIGELSSLETI ILGYNGFTGEIPEEFGKLRNLQYLDLAVGNLTGPIPSSLGQLKELTTVYLYQNRLNGK IPREVGNITSLVFLDLSDNQITGEIPREIAELKSLQLLNLMRNQLTGTIPSKIAELPH LEVLELWQNSLVGSLPADLGKSSPLKWLDVSSNKLTGAIPSGLCYYRNLTKLILFNNS FSGQIPEDIFSCPTLVRVRIQKNLISGPIPAGSGDLPMLQHLELAKNNLTGQVPDDIT SSKSLSFIDISFNHLSSLPYSIFSSPNLQTFIASHNSFNGNIPNQIQDRPSLSVLDLS FNRFSGQIPERIASFEKLVSLNLKSNDLVGEIPQALAGMHMLAVLDLSNNSLTGNIPP SLGASPTLEMLNVSYNKLTGPVPSNGLFAAINPNDLVGNDNLCGGVLPSCSKSLALST NPGRNRIHLHHAIFGFIVGTAVILSLGIIFLAGRWVYRRWDLYSNFAREYLFCKQPQE EWPWRLVAFQRLSFTAGDILSHIKETNIIGMGAMGIVYKAEVMRRPLLTVAVKKLWRS PSPDIEDNHHSIQDEDDDDILKEVNLLGNLRHRNIVKILGYIHNEREVMMVYEFMPNG NLGTALHSKDDNKFLLRDWLSRYNVAVGVVQGLNYLHNDCYPPIIHRDIKSNNILLDS SLEARIADFGLAKMMLHKNETVSMVAGSYGYIAPEYGYALKIDEKSDIYSLGVVMLEL VTGKMPIDPSFEESIDVVEWIRRKVKKGEGLEEVLDPSVAGECRHVIEEMLLALRIAL LCTAKLPKDRPSIRDVMTMLAEAKPRRKSVSHGGDLPVFRNSPVVGLI" gene 22174038..22175075 /locus_tag="BRARA_H02867" /db_xref="Phytozome:Brara.H02867" mRNA 22174038..22175075 /locus_tag="BRARA_H02867" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02867" CDS 22174069..22174983 /locus_tag="BRARA_H02867" /codon_start=1 /product="hypothetical protein" /protein_id="RID52255.1" /db_xref="Phytozome:Brara.H02867" /translation="MNDLMTKSFTSYVDLKKAAMKDLESGPADLEMTNKTDENLSSFL EEAEKVKSEMSLIEAALSRISRYNDESKSAHRSESVKSLRNKISNEIVSGLRKAKSIK SNLEEMDRANREIKRLSGTTPVYRSRVTVTNGLRKKLKEVMMEFQGLRQRMMSEYKET VERRYFTVTGERPDEEMIEKIVTDGGEEFLTRAIQEHGKGKVLETVVEIQDRYDAAKE IEKSLLELHQVFLDMAVMVEAQGEQMDEIEHHVVNAGHYVKDGAKELKTAKNHQRSSR KWMCVGIIVLLLIILVVVIPILTSFTSS" gene complement(22175562..22178286) /locus_tag="BRARA_H02868" /db_xref="Phytozome:Brara.H02868" mRNA complement(join(22175562..22175935,22176026..22176226, 22176299..22176439,22176522..22176752,22177001..22177600, 22177669..22177850,22178083..22178286)) /locus_tag="BRARA_H02868" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02868" CDS complement(join(22175651..22175935,22176026..22176226, 22176299..22176439,22176522..22176752,22177001..22177600, 22177669..22177850,22178083..22178161)) /locus_tag="BRARA_H02868" /codon_start=1 /product="hypothetical protein" /protein_id="RID52256.1" /db_xref="Phytozome:Brara.H02868" /translation="MSSCLLPQFKCPPDSFSIQFRTSHSVSKHNKGTVFFQPQCAVST SPPLLTSVLDVAKIRLPSFGTDSNPRVSDRQWTYTGTIGPSTEAKYLEAETLLTSDEA VVAAAAAEAVALAKAAVKVAKDATLFRNSYNTKLLVSSMAGEKRSKWDQFTEKERAGI LGHLAVSDSGVVSDRIISPPAAPECNNKESSHDLESEKEEVDVEEVSVSSAVRSTRQT ERKARRAKGLEKTASCMQSSVKTSSSTRKKRVASQEIDHNDPLRYLRMTTSSSKLLTA REETELSEGIQDLLKLERLQVELTERCGHQPTFGQWASAAGVDQKTLRKRINHGTQCK DRMIKSNIRLVISIAKNYQGAGMNLQDLVQEGCRGLVRGAEKFDATKGFKFSTYAHWW IKQAVRKSLSDQSRMIRLPFHMVEATYRVKEARKQLYSETGQQPKNEEVAEATGLSMK RLMAVLLAPKPPRSLDQKIGINLNLKPSEVISDPEAETSEDILIKQFMREDLDKVLDS LSTREKQVIRWRFGMEDGRMKTLQEIGETMGVSRERVRQIESSAFRKLKNKKRNNHLQ QYLVSQ" gene 22180366..22183576 /locus_tag="BRARA_H02869" /db_xref="Phytozome:Brara.H02869" mRNA join(22180366..22180570,22181569..22182095, 22182328..22182461,22182535..22182648,22182729..22182900, 22182985..22183576) /locus_tag="BRARA_H02869" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02869" CDS join(22181589..22182095,22182328..22182461, 22182535..22182648,22182729..22182900,22182985..22183305) /locus_tag="BRARA_H02869" /codon_start=1 /product="hypothetical protein" /protein_id="RID52257.1" /db_xref="Phytozome:Brara.H02869" /translation="MVATSATSSFFPLPSSSLDPNGKTNKLTSTNFSGLNPTPNSSGR LKVKPNAQAPSKINGKKVSLPGSVHIVKTDNNHDLSQQHAPRTFINQLPDWSMLLAAI TTVFLAAEKQWMMLDTKPRRSDMIMDPFGLGRIVQDGLVYRQNFDIRSYEIGADRSAS IETVMNHLQETALNHVKSAGLLGDGFGSTPEMVKKNLIWVVTRMQVVVDKYPTWGDVV EVDTWVSKSGKNGMRRDWLVRDCNTGEILTRASSVWVMMNKVTRKLSKLPEEVRGEIE PYFVNSDPILAEDSRKLTKLDDKTADYVRSGLTPRWSDLDVNQHVNNVKYIGWILESA PVEMMEKHKLKSMTLEYRRECGRDSVLQSLTAVSGCDVGSLGTAGEVECQHLLRLQDG AEVVKGRTVWSSKTPSTTWDTTS" gene complement(22184368..22185604) /locus_tag="BRARA_H02870" /db_xref="Phytozome:Brara.H02870" mRNA complement(join(22184368..22184990,22185227..22185604)) /locus_tag="BRARA_H02870" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02870" CDS complement(join(22184485..22184990,22185227..22185431)) /locus_tag="BRARA_H02870" /codon_start=1 /product="hypothetical protein" /protein_id="RID52258.1" /db_xref="Phytozome:Brara.H02870" /translation="MSCSMRSSSASCFNVLLIMSLMVLSLSADAYKNYTVGESKGWFD IQERPSVNYQKWADSKSFSLGDFLIFNTDSNHSVVQTYDFKTYKSCDYNNNEDNSTKE WSAAKPSATSPVPVSVKVPLVKEGSNYFFSGNYDGEQCKFGQHFMINVTHGQGLPALS SPDEDDETAPGPGQSSQSGDDEVAPDTIVPANFDHPKDIESDDDDDSLVKGRKNSSSI AKYNLLCLVFMGFLASFF" gene complement(22186318..22187834) /locus_tag="BRARA_H02871" /db_xref="Phytozome:Brara.H02871" mRNA complement(join(22186318..22186700,22186894..22186996, 22187556..22187834)) /locus_tag="BRARA_H02871" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02871" CDS complement(join(22186549..22186700,22186894..22186996, 22187556..22187723)) /locus_tag="BRARA_H02871" /codon_start=1 /product="hypothetical protein" /protein_id="RID52259.1" /db_xref="Phytozome:Brara.H02871" /translation="MGDSRSLLDGFKGFWEERLSFLENYTRFTKRDTPLPSWSSSDVD EFIASDPVNGPTLKTAREAAAFGATGAALGAVSTAAFSWKYSRSPHGTALSFLGGGLF GWTFGQEVANHTMQLYKLDTMAAQVKFMEWWERKSQRS" gene complement(22190172..22194520) /locus_tag="BRARA_H02872" /db_xref="Phytozome:Brara.H02872" mRNA complement(join(22190172..22190601,22191016..22191091, 22191165..22191213,22191304..22191433,22191526..22191657, 22194213..22194520)) /locus_tag="BRARA_H02872" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02872" mRNA complement(join(22190172..22190601,22191016..22191091, 22191165..22191213,22191304..22191436,22191526..22191657, 22194213..22194520)) /locus_tag="BRARA_H02872" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02872" CDS complement(join(22190494..22190601,22191016..22191091, 22191165..22191213,22191304..22191433,22191526..22191657, 22194213..22194281)) /locus_tag="BRARA_H02872" /codon_start=1 /product="hypothetical protein" /protein_id="RID52262.1" /db_xref="Phytozome:Brara.H02872" /translation="MSIDLSSDRVCYVHCNFCTTILAVSVPYASMFTLVTVRCGHCTN LLSLNIGVSLHQSPPTPIHQDLQHKQQITTSITRKEYGSSSRSSNHFSTTLSENVDRE APRMPPIRPPEKRQRVPSAYNRFIKEEIQRIKAGNPEISHREAFSTAAKNWAHFPHIH FGLKLDGNKKGKQLDQTVAGQKSNGYY" CDS complement(join(22190494..22190601,22191016..22191091, 22191165..22191213,22191304..22191436,22191526..22191657, 22194213..22194281)) /locus_tag="BRARA_H02872" /codon_start=1 /product="hypothetical protein" /protein_id="RID52261.1" /db_xref="Phytozome:Brara.H02872" /translation="MSIDLSSDRVCYVHCNFCTTILAVSVPYASMFTLVTVRCGHCTN LLSLNIGVSLHQSPPTPIHQDLQQHKQQITTSITRKEYGSSSRSSNHFSTTLSENVDR EAPRMPPIRPPEKRQRVPSAYNRFIKEEIQRIKAGNPEISHREAFSTAAKNWAHFPHI HFGLKLDGNKKGKQLDQTVAGQKSNGYY" gene <22194498..>22195201 /locus_tag="BRARA_H02873" /db_xref="Phytozome:Brara.H02873" mRNA join(<22194498..22194588,22195014..>22195201) /locus_tag="BRARA_H02873" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02873" CDS join(22194498..22194588,22195014..22195201) /locus_tag="BRARA_H02873" /codon_start=1 /product="hypothetical protein" /protein_id="RID52260.1" /db_xref="Phytozome:Brara.H02873" /translation="MGFVWGGRGTSGDYTTMFFIYYTFYIGTVPQINWWKQKFEAVSF IWIGRDRNKVADCLAKHRLSNNMLFVSHFYVPNFITHLLHSDQIRSLS" gene 22195975..22198989 /locus_tag="BRARA_H02874" /db_xref="Phytozome:Brara.H02874" mRNA join(22195975..22196137,22196234..22196341, 22196462..22196654,22196776..22197034,22197113..22197169, 22197274..22197321,22197459..22197545,22197647..22197704, 22197805..22197872,22198033..22198128,22198226..22198282, 22198382..22198468,22198586..22198618,22198706..22198989) /locus_tag="BRARA_H02874" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02874" CDS join(22196029..22196137,22196234..22196341, 22196462..22196654,22196776..22197034,22197113..22197169, 22197274..22197321,22197459..22197545,22197647..22197704, 22197805..22197872,22198033..22198128,22198226..22198282, 22198382..22198468,22198586..22198618,22198706..22198717) /locus_tag="BRARA_H02874" /codon_start=1 /product="hypothetical protein" /protein_id="RID52263.1" /db_xref="Phytozome:Brara.H02874" /translation="MRLTQNKLKPFHLFLFSLLTLTPLAFSEIFFEEHFEGGWKSRWV LSDWKRNEGKAGTFKHTAGKWPGDPDNKGIQTYNDAKHYAISAKIQEFSNKNRTLVVQ YSVKIEQDIECGGAYIKLLSGYVNQKQFGGDTPYSLMFGPDICGTQTKKLHVILSYQG QNYPIKKDLQCETDKLNHFYTFILRPDASYSVLVDNKEREFGSMYTDWDILPPRKIKV KNAKKPVDWDDREYIDDPDDVKPEGYDSIPREIRDQKAEEPEDWDEEENGPWEAPKIP NPAYKGPWKAKKIKNPNYKGKWKNPWIDNPEFEDDPDLYVLKPIKYIGIEVWQVKAGS IFDNILITDDPQYARAMVDDYFEQHRESEKELFAEAEKERKAREEEESRKAREEGERR RKERDHRYGDRRRRYKRPNPRDYMDDYHDEL" gene complement(<22201116..>22203729) /locus_tag="BRARA_H02875" /db_xref="Phytozome:Brara.H02875" mRNA complement(join(<22201116..22201754,22202101..22202244, 22202359..22202480,22202698..22202970,22203292..22203432, 22203528..>22203729)) /locus_tag="BRARA_H02875" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02875" CDS complement(join(22201116..22201754,22202101..22202244, 22202359..22202480,22202698..22202970,22203292..22203432, 22203528..22203729)) /locus_tag="BRARA_H02875" /codon_start=1 /product="hypothetical protein" /protein_id="RID52264.1" /db_xref="Phytozome:Brara.H02875" /translation="MEKVREIWKEGRRVGKEDPRRIVHSFKVGLALALVSSFYYYQPL YDNFGVNAMWAVMTVVVVFEFSVGATLGKGINRAVATLVAGGLGVGAHHLASLSGPTV EPILLAIFVFAQAALSTFVRFFPRVKARYDYGILIFILTFSLISVSGFREDEILDLAH KRLSTVIMGGVSCVLISIFICPVWAGQDLHSLLASNFDTLSRFLQEFGDEYFEETDDG DVKEVEKRRRNLEKYKCVLNSKSNEEALANFAKWEPRHGQFRFRHPWKQYLAVAALLR QCAYRMDALNSYINSDFQIPMDIKKKLEEPLRKMSSESGKSMKEASISLKKMRKSSSS DIHVLNSQSACKDLSTLLKSGILNDVEPLQMIALTTTVSLLIDIVNLTEKVSQSVHEL ASAARFKNKKKSTVSSKKSDSVSTVRAMPTKSQDDHVVTILCDDDLSNTVDQSCGESS VDSCHHVAIKIDDDDDSVHEKHEDGEIHVHTSCVLCGQTNASDVLDCGNQKIINNL" gene complement(22205681..22208016) /locus_tag="BRARA_H02876" /db_xref="Phytozome:Brara.H02876" mRNA complement(join(22205681..22206311,22206545..22206688, 22206794..22206915,22207125..22207397,22207483..22207623, 22207737..22208016)) /locus_tag="BRARA_H02876" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02876" CDS complement(join(22205724..22206311,22206545..22206688, 22206794..22206915,22207125..22207397,22207483..22207623, 22207737..22207947)) /locus_tag="BRARA_H02876" /codon_start=1 /product="hypothetical protein" /protein_id="RID52265.1" /db_xref="Phytozome:Brara.H02876" /translation="MEKLREIVREGRRVGEEDPRRIVHAFKVGVALVLVSSFYYYQPF GPFTDYFGINAMWAVMTVVVVFEFSVGATLSKGLNRGVATLVAGGLALGAHQLASLSG RTIEPILLATFVFVTAALATFVRFFPGVKATFDYGMLIFILTFSLISLSQFRDEEILD LAESRLSTVLVGGVSCILISIFVCPVWAGQDLHSLLISNLDTLSHFLQEFGGEYFEAR EYGDIKVVEKRRRNLERYKSVLNSKSDEDTLANFAKWEPPHGKFGFRHPWKQYLVVAA LLRQCAHRIDALNSYINSDFQIPIDIKKKLEEPFRRMSLESGKALKEASISLKKMMKS SSYDIHIINSQSASKALSTLLKSGILNDVEPLRMVSLLTTVSLLNDIVHITEKISESV RELASAASFKNKMKPTEPTVSLKKSDSGSIGCAMPINSRDGDHVVTILLSDDDDDTSN NVVDDDTINEKPEDGEIHVDTSCVHGVGMMLEHSLGVRILQI" gene complement(22208610..22215748) /locus_tag="BRARA_H02877" /db_xref="Phytozome:Brara.H02877" mRNA complement(join(22208610..22209229,22209315..22209445, 22209536..22209671,22209826..22209901,22210007..22210206, 22210280..22210378,22210473..22210557,22210650..22210888, 22211279..22211449,22211582..22211804,22211897..22212164, 22212491..22212670,22213015..22213096,22213172..22213273, 22213591..22213660,22213742..22213841,22213942..22214036, 22214129..22214208,22214319..22214397,22214503..22214563, 22215073..22215748)) /locus_tag="BRARA_H02877" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02877" CDS complement(join(22209143..22209229,22209315..22209445, 22209536..22209671,22209826..22209901,22210007..22210206, 22210280..22210378,22210473..22210557,22210650..22210888, 22211279..22211449,22211582..22211804,22211897..22212164, 22212491..22212670,22213015..22213096,22213172..22213273, 22213591..22213660,22213742..22213841,22213942..22214036, 22214129..22214208,22214319..22214397,22214503..22214563, 22215073..22215481)) /locus_tag="BRARA_H02877" /codon_start=1 /product="hypothetical protein" /protein_id="RID52266.1" /db_xref="Phytozome:Brara.H02877" /translation="MDEDSSMVPEHDHDQHDEAQSQRDASGDGGGSMPAFSSPEPSQQ EAVGGGEAQSAQVVVGPRCAPPYSVVDALMDKKEDGPGPRCGHTLTAVPAVGEEGTNG YIGPRLVLFGGATALEGNSGGTGTPTSAAPSAGIRLAGATADVHCYDVLSNKWTRLTP FGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGP GPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMY ATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSARYQHAAVF VNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDA SVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDGLLVAEDLAAAETTYAASHAAAAAAT NSAPGRLPGRYGFSDERNRELSDSAADGAVVLESPVAPPVNGDLHNDISSENAINPGI RRTSKGVEYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDCGGEASPSGTPTFSL MKPDSMGSAGIRLHHRAVVVAAETGGALGGMARQFSIDQFENEGRRVSYGTPESATAA RKLLDRQMSISSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSNEPT VLQLKAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDISYIDYLFLGDYVDRGQHSLET ICLLLALKVEYQHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWVWHRINRLFN WLPLAASIEKKILCMHGGIGRSINHVEQIESIQRPITMEAGSIVLMDLLWSDPTENDS VEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSA TNYCGTANNAGAILVLGRDLVVVPKLIHPLPPALSSTEASHPDDTWMQELNANRPATP TRGRPQAPNDKGGSLAWM" gene complement(22216432..22219373) /locus_tag="BRARA_H02878" /db_xref="Phytozome:Brara.H02878" mRNA complement(join(22216432..22217636,22217736..22217815, 22217896..22217952,22218018..22218111,22218632..22218718, 22218787..22218888,22219069..22219373)) /locus_tag="BRARA_H02878" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02878" CDS complement(join(22216553..22217636,22217736..22217815, 22217896..22217952,22218018..22218111,22218632..22218718, 22218787..22218888,22219069..22219295)) /locus_tag="BRARA_H02878" /codon_start=1 /product="hypothetical protein" /protein_id="RID52267.1" /db_xref="Phytozome:Brara.H02878" /translation="MVKSEKTTLGRSLVKHHNHMIQESKDKGKYYKNLQKKVLESVTE VSDIDAILEQAEEAERLFTINHSNTTPLPINVDTQSSSSTIAAEEWREQQKIEEALHA SSLQVPRRPPWTPEMSVEELDANEKQAFLNWRRMLVRLEENEKLVLTPFEKNLDIWRQ LWRVLERSDLIVMVVDARDPLFYRCPDLEAYAREIDEHKKMMLLVNKADLLPPEVRAK WAEYFRRNDILFVFWSAIAATAVLEGKVLKEQWRKSDNFQNTDDPEVVIYGRDELLAR LQSEAQEIVRARNSRSPVDESQRENAVVGFVGYPNVGKSSTINALVGQKRTGVTSTPG KTKHFQTLIISDELMLCDCPGLVFPSFSSSRYEMITCGVLPIDRMTEHREAIQVVADK VPRSVIESVYNITLPKPKTYERQSRPPLAAELLRAYCASRGYVASSGLPDETKAARQI LKDYIGGKLRHFAMPPGMTEEKIEDDTGRETGSDSEEGEGDEKESDEQVPGIDDVLED LSSFDLANGLASSKKVTVKKETASHKQHKKPQRKKDRNWRVKNTEEGDGMPLVRVFQK PANTGPLTMR" gene <22222764..>22223359 /locus_tag="BRARA_H02879" /db_xref="Phytozome:Brara.H02879" mRNA join(<22222764..22222818,22222903..22222975, 22223083..>22223359) /locus_tag="BRARA_H02879" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02879" CDS join(22222764..22222818,22222903..22222975, 22223083..22223359) /locus_tag="BRARA_H02879" /codon_start=1 /product="hypothetical protein" /protein_id="RID52268.1" /db_xref="Phytozome:Brara.H02879" /translation="MGLGGSSVSRINTKAFSSSFLKPTLRANNPLRVAGASGGRFTCF ERNWLRRDLNVVGFGLIGWLAPSSIPAINGKSLTGLFFESIGNELAHFPTPPALTSQF WLWLVTWHLGLFICLTFGQIGFKGRTEDYFER" gene complement(<22223610..>22225704) /locus_tag="BRARA_H02880" /db_xref="Phytozome:Brara.H02880" mRNA complement(join(<22223610..22223666,22223736..22224200, 22224439..22224556,22224706..22224762,22225025..22225169, 22225287..22225339,22225417..22225457, 22225537..>22225704)) /locus_tag="BRARA_H02880" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02880" CDS complement(join(22223610..22223666,22223736..22224200, 22224439..22224556,22224706..22224762,22225025..22225169, 22225287..22225339,22225417..22225457,22225537..22225704)) /locus_tag="BRARA_H02880" /codon_start=1 /product="hypothetical protein" /protein_id="RID52269.1" /db_xref="Phytozome:Brara.H02880" /translation="MFQNGKLVPPKMHQYQNTTRLLNLKVLRRIDPFIEEILITAAHV TLYEFNVQKSEWSRKDVEGSLFVVKRNRQQPRFQFIVMNRRNTDNLVEDLLGEFEYEV QGPYLLYRNAAQEVNGIWFYNKSECEEVARLFDRLLIAYSKVNQKPNKPSSRREFEEL EAVPTMGVKDGPLEPSLSGRDGAPNDPAFVNFFSSAMSLGNTTSGSASGPPYQTLVDT RQPHQPTISPAAAAPPQILSPPPLPSSSPLMPLFDNNPNRISSNKSNVHTDLVTPSSF FSPPRMMAQPHFIPGSSMPSAPPLNLNNTTYYQQGPHGTPMLQPFPPPSLAPAHNGSF INRDKVKEALLALVQENEFIDMVTRALQNAHQP" gene complement(<22226481..>22227911) /locus_tag="BRARA_H02881" /db_xref="Phytozome:Brara.H02881" mRNA complement(join(<22226481..22226623,22226732..22227008, 22227089..22227359,22227436..22227647, 22227753..>22227911)) /locus_tag="BRARA_H02881" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02881" CDS complement(join(22226481..22226623,22226732..22227008, 22227089..22227359,22227436..22227647,22227753..22227911)) /locus_tag="BRARA_H02881" /codon_start=1 /product="hypothetical protein" /protein_id="RID52270.1" /db_xref="Phytozome:Brara.H02881" /translation="MGDSSENSKRVKLSDGRFLAYRESGVPKEEAKYKIILVHGFGSS KDMNFSASKELIQELGVYLLFYDRSGYGESDSNSKRSLKSEVNDIVELADQLEIGPKF YLIGLSMGSYPTWGCLKHIPHTLSGVAFVAPVVNYWWPSLPKNLIKENYMGGLIRWGL RISKLAPGLLHWLVIFTQKVLASTSSVLESKQVYFSSHDMEVLKRTTGFPMFTKEKLR ERDVFDTLRDDFMACFGQWDFEPADLRITQESNVHIWHGKEDKVVPFQLQRCVLQKQP LINYHEIPQGGHLIVHYDGTCDAILRALLLENNIYVQSVYRDPALLTEKEWSCTVPSQ TFTWPKACQKMWPSNVTFI" gene 22233290..22236446 /locus_tag="BRARA_H02882" /db_xref="Phytozome:Brara.H02882" mRNA join(22233290..22233453,22233538..22233837, 22234917..22235201,22235306..22235436,22235507..22235746, 22235947..22236446) /locus_tag="BRARA_H02882" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02882" mRNA join(22233290..22233453,22233607..22233837, 22234917..22235201,22235306..22235436,22235507..22235746, 22235947..22236446) /locus_tag="BRARA_H02882" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02882" CDS join(22233369..22233453,22233607..22233837, 22234917..22235201,22235306..22235436,22235507..22235746, 22235947..22236327) /locus_tag="BRARA_H02882" /codon_start=1 /product="hypothetical protein" /protein_id="RID52271.1" /db_xref="Phytozome:Brara.H02882" /translation="MGEEERSGDGRKTSEEVDAGALFVLKSKGTWWHCGFHLTTSIVA PPLLSLPYAFKFLGWAAGISCLVGGAAVTFYSYTLLSLTLQHHASLGHRYLRFRDMAH HILGPKWGRYYVGPIQMAVCYGVVIANTLLGGQCLKAIYLIMEPNGEMKLFEFVILFG GLLLVLAQIPSFHSLRHINFFSLVLCLLYSALAAAASIIIGNKSNGPEKDYAIVGDKE TKVFGIFNAMAIIATTYGNGIIPEIQATLAAPVKGKMFKGLCMCYAVVIVTFFTVAIS GYWAFGNKANGLLFTNFLNPETNHYLVPTWFIFLINLFTVLQLSAVAVVYLQPINDIL ESALSDPTKKEFSIRNVIPRLAARSLFVVVATIFAAMLPFFGDVNSLLGAFGFMPLDF VLPVVFFNFTFKPSKKSFIFWINTIIAVLFSFLGVIAMVAAVRQIVLDAKTYKLFADV " CDS join(22233819..22233837,22234917..22235201, 22235306..22235436,22235507..22235746,22235947..22236327) /locus_tag="BRARA_H02882" /codon_start=1 /product="hypothetical protein" /protein_id="RID52272.1" /db_xref="Phytozome:Brara.H02882" /translation="MAHHILGPKWGRYYVGPIQMAVCYGVVIANTLLGGQCLKAIYLI MEPNGEMKLFEFVILFGGLLLVLAQIPSFHSLRHINFFSLVLCLLYSALAAAASIIIG NKSNGPEKDYAIVGDKETKVFGIFNAMAIIATTYGNGIIPEIQATLAAPVKGKMFKGL CMCYAVVIVTFFTVAISGYWAFGNKANGLLFTNFLNPETNHYLVPTWFIFLINLFTVL QLSAVAVVYLQPINDILESALSDPTKKEFSIRNVIPRLAARSLFVVVATIFAAMLPFF GDVNSLLGAFGFMPLDFVLPVVFFNFTFKPSKKSFIFWINTIIAVLFSFLGVIAMVAA VRQIVLDAKTYKLFADV" gene 22237719..22239996 /locus_tag="BRARA_H02883" /db_xref="Phytozome:Brara.H02883" mRNA join(22237719..22237992,22238064..22238104, 22238388..22238451,22238559..22238747,22238949..22239020, 22239111..22239286,22239370..22239490,22239559..22239608, 22239683..22239996) /locus_tag="BRARA_H02883" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02883" mRNA join(22237719..22237992,22238064..22238104, 22238388..22238451,22238559..22238747,22238949..22239020, 22239111..22239286,22239370..22239490,22239683..22239996) /locus_tag="BRARA_H02883" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02883" CDS join(22237828..22237992,22238064..22238104, 22238388..22238451,22238559..22238747,22238949..22239020, 22239111..22239286,22239370..22239487) /locus_tag="BRARA_H02883" /codon_start=1 /product="hypothetical protein" /protein_id="RID52273.1" /db_xref="Phytozome:Brara.H02883" /translation="MATTLNRFLKQKCRSVYRNQHQVLFNLTRYENHESLPSRLPALR STTRSFLDFYQFGNKKAIEDERARLNDEMNRGYFADMKEFKEHGGKIAAASKTLIPAA SAMKFPVLAVTSSNGKSMKLPVTSNSNEVNKESLDVPKVTLVCLSFRASSQEMISSWS KPFVESFGDRKDLQLFEVSFIDKWLLGLAPIKKLLLRVLRKPNNSENSVLQRQIVYSF GDHYHFRKQMKVLNLLTGYILLLDKSGRIRWQGFGTATPEEVAQLLSCTSLLLKDQ" CDS join(22237828..22237992,22238064..22238104, 22238388..22238451,22238559..22238747,22238949..22239020, 22239111..22239286,22239370..22239487) /locus_tag="BRARA_H02883" /codon_start=1 /product="hypothetical protein" /protein_id="RID52274.1" /db_xref="Phytozome:Brara.H02883" /translation="MATTLNRFLKQKCRSVYRNQHQVLFNLTRYENHESLPSRLPALR STTRSFLDFYQFGNKKAIEDERARLNDEMNRGYFADMKEFKEHGGKIAAASKTLIPAA SAMKFPVLAVTSSNGKSMKLPVTSNSNEVNKESLDVPKVTLVCLSFRASSQEMISSWS KPFVESFGDRKDLQLFEVSFIDKWLLGLAPIKKLLLRVLRKPNNSENSVLQRQIVYSF GDHYHFRKQMKVLNLLTGYILLLDKSGRIRWQGFGTATPEEVAQLLSCTSLLLKDQ" gene 22240173..22243312 /locus_tag="BRARA_H02884" /db_xref="Phytozome:Brara.H02884" mRNA join(22240173..22240481,22240994..22241123, 22241199..22241443,22241521..22241748,22241817..22241979, 22242054..22242127,22242222..22242315,22242691..22242760, 22242846..22242917,22242991..22243312) /locus_tag="BRARA_H02884" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02884" CDS join(22240237..22240481,22240994..22241123, 22241199..22241443,22241521..22241748,22241817..22241979, 22242054..22242127,22242222..22242315,22242691..22242760, 22242846..22242917,22242991..22243169) /locus_tag="BRARA_H02884" /codon_start=1 /product="hypothetical protein" /protein_id="RID52275.1" /db_xref="Phytozome:Brara.H02884" /translation="MAVDSPSGVIIIAAVFLHAATTIVCVSDSVLKLERLIPPSHELS LAELRAFDSARHGRLLQSPVGGVVDFPVYGASDPFLVGLYYTKVKLGTPPREFNVQID TGSDVLWVACSSCNGCPKTSELQIELSFFDPGSSSSASMVSCSDKRCSSNFESESGCS PNNLCSYAFKYGDGSGTSGYYISDFVSFDTVITSTLAINSSAPFVFGCSNLQTGDLQR PRRAVDGIFGLGQGSLSVISQLATQGLAPRVFSHCLKGDSNGGGVMVLGQIKRPDTVY TPLVPSQLHYNVNLQSIAVNNQILPIDPSVFTIATGDGTIIDTGTTLAYLPDEAYNPF VQAISSAVSQYGRPITYESYQCFDITSGDVDVFPEVSLSFAGGASMVLTPRDYLQMFS SSGSSIWCIGFQRSPQQHRITILGDLVLKDKVVVYDLVRQRIGWAVYDCSLEVNVSAT GGGRSKDVINTGQWRDSKSSEIDYYYYLFLLQVAFLLHILLSRNFLHFL" gene 22243805..22246394 /locus_tag="BRARA_H02885" /db_xref="Phytozome:Brara.H02885" mRNA join(22243805..22244137,22244354..22244446, 22244526..22244633,22245031..22245145,22245229..22245362, 22245446..22245547,22245632..22245724,22245805..22245940, 22246025..22246394) /locus_tag="BRARA_H02885" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02885" CDS join(22243859..22244137,22244354..22244446, 22244526..22244633,22245031..22245145,22245229..22245362, 22245446..22245547,22245632..22245724,22245805..22245940, 22246025..22246137) /locus_tag="BRARA_H02885" /codon_start=1 /product="hypothetical protein" /protein_id="RID52276.1" /db_xref="Phytozome:Brara.H02885" /translation="MANNGAVRLDLDGKPIKPLTICMIGAGGFIGSHLCEKLLNETPH KVLALDVYNDKIKHLLEPDTSEWAERIQFHRINIKHDSRLEGLVKMADLTINLAAICT PADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPKDHPLRQ DPDFYVLKEDTSPCIFGSIEKQRWSYACAKQLIERLVYAEGAENGLEFTIVRPFNWIG PRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFIYIKDAIEAVLLM IENPERANGHIFNVGNPNNEVTVRQLAEMMTKVYSKVSGETAIESPTIDVSSKEFYGE GYDDSDKRIPDMTIINRQLGWNPKTSLWDLLESTLTYQHRTYAEAVKKATSKPVAS" gene 22247190..22247830 /locus_tag="BRARA_H02886" /db_xref="Phytozome:Brara.H02886" mRNA 22247190..22247830 /locus_tag="BRARA_H02886" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02886" CDS 22247287..22247610 /locus_tag="BRARA_H02886" /codon_start=1 /product="hypothetical protein" /protein_id="RID52277.1" /db_xref="Phytozome:Brara.H02886" /translation="MSKVLEPLEEAKVEEQKPINQEEEGSKQEELFGSLCTPTSSDHK IPELETCPPAPRKRPREIPLTKKKKLSKDLKFFEATDVGSHEVETFFVHNPNHVRKKR RSNSA" gene complement(22249576..22250112) /locus_tag="BRARA_H02887" /db_xref="Phytozome:Brara.H02887" mRNA complement(join(22249576..22249956,22250030..22250112)) /locus_tag="BRARA_H02887" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02887" CDS complement(22249760..22249936) /locus_tag="BRARA_H02887" /codon_start=1 /product="hypothetical protein" /protein_id="RID52278.1" /db_xref="Phytozome:Brara.H02887" /translation="MNMNSDERRWEIEKLTKVLVNGTLVHYESLSLTGQFRDLWQCFS WYLYLCYCVLSIGL" gene 22251347..22252167 /locus_tag="BRARA_H02888" /db_xref="Phytozome:Brara.H02888" mRNA 22251347..22252167 /locus_tag="BRARA_H02888" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02888" CDS 22251396..22252022 /locus_tag="BRARA_H02888" /codon_start=1 /product="hypothetical protein" /protein_id="RID52279.1" /db_xref="Phytozome:Brara.H02888" /translation="MVPPNPPPRTQPHPQALPARGLNPVLCIIIALILLGLLVGLAIL ITYLTLRPKRLVYTVEAASVQDFAIAKDDHISAKFDYVIKSYNPEKHVSVRYHSMRIS TAHHNQSVAHKEIPAFKQHPKNDTRIETQLVSHNVALSKFNAKDLRAETTKGVVEMEV YITARVSYKTWIFRSRRRTLKAVCTPVMINVTSNSLNGFQRVLCRTRL" gene complement(22260143..22262552) /locus_tag="BRARA_H02889" /db_xref="Phytozome:Brara.H02889" mRNA complement(join(22260143..22261040,22261477..22261592, 22261693..22262552)) /locus_tag="BRARA_H02889" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02889" CDS complement(join(22260374..22261040,22261477..22261592, 22261693..22262502)) /locus_tag="BRARA_H02889" /codon_start=1 /product="hypothetical protein" /protein_id="RID52280.1" /db_xref="Phytozome:Brara.H02889" /translation="MGDPSGEPGSSMHGVTGREQSFAFSVASPIVPTDTTAKFDLPVD TEHKAKVFKLFSFANPHMRTFHLSWISFSTCFVSTFAAAPLVPIIRENLNLTKQDIGN AGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLVMLSAPTVFSMSFVADAGGFITVRFM IGFCLATFVSCQYWMSTMFNSQIIGLVNGTAAGWGNMGGGITQLLMPVVYEIIRRCGA TAFTAWRLAFFVPGWLHIIMGILVLTLGQDLPDGNRSALEKKGEVAKDKFGKIMWYAV TNYRTWIFVLLYGYSMGVELSTDNVIAEYFFDRFHLKLHTAGIIAASFGMANFFARPA GGYASDIAATYFGMRGRLWALWIIQTAGGLFCVWLGRANTLVTAIVAMILFSLGAQAA CGATFAIVPFVSRRALGIISGLTGAGGNFGSGLTQLIFFSTSQFTTEQGLTWMGVMIV ACTLPVTLIHFPQWGSMFLPPSKDPVKGTEEHYYASEWNEQEKQKNMHQGSLRFAENS KSEGGRRVRSAATPPENTPNNV" gene complement(<22268953..>22269327) /locus_tag="BRARA_H02890" /db_xref="Phytozome:Brara.H02890" mRNA complement(<22268953..>22269327) /locus_tag="BRARA_H02890" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02890" CDS complement(22268953..22269327) /locus_tag="BRARA_H02890" /codon_start=1 /product="hypothetical protein" /protein_id="RID52281.1" /db_xref="Phytozome:Brara.H02890" /translation="MNNEDRCEVLENSTEEATTYVEPMSPLSSGDSGFTALSPLCDHY NNQMKIDSPTTCSDEEIIESLYQNLFSIVLCLQLEESGNDGSNTHLSPPCPGAPMKLT RFSRNMDPGFQRKLFSNIGVNF" gene 22276492..22280318 /locus_tag="BRARA_H02891" /db_xref="Phytozome:Brara.H02891" mRNA join(22276492..22276623,22276879..22276958, 22277042..22277213,22277310..22277381,22277760..22278045, 22278364..22278504,22278578..22278682,22278770..22278914, 22279209..22279258,22279328..22279378,22279463..22279582, 22279658..22279795,22279906..22280318) /locus_tag="BRARA_H02891" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02891" CDS join(22276901..22276958,22277042..22277213, 22277310..22277381,22277760..22278045,22278364..22278504, 22278578..22278682,22278770..22278914,22279209..22279258, 22279328..22279378,22279463..22279582,22279658..22279795, 22279906..22280055) /locus_tag="BRARA_H02891" /codon_start=1 /product="hypothetical protein" /protein_id="RID52282.1" /db_xref="Phytozome:Brara.H02891" /translation="MQMNPISKLALVVLILLCSVIVSFGELDFDHCERIVKKWVDASS SSEEHNNKDKRSLQDLLFFLHVPRTGGRTYFNCFLRKLYDNAEECPRSYDKLRFNPRK EKCKLLATHDDYSLMEMLPRDKTSVMTIVRDPVARVLSTYEFSVEVAARFLVHPNLTS AARMASRIRKKNVISTLDIWPWKYLVPWMREDLFARRDARKVKGVVIIEDDNPYDMEE MLMPLHKYLDTPTAHDIIHNGATFQIAGLTNNSHLPEAHEVRHCVQKYKSLGELVLQV AKRRLDSMLYVGLTEEHRESASLFANVVGSQVLSQVVASNLTAKNKNTKSEERDTVSE SGSDKSDIQNGTSEVASDKIEAKSGNMTVKTLMEIYEGCSTHLRKSQGTRRVNSLKRI SPANFTTETRTRVPREVIQQIKTLNNLDVELYKYAKEIFVKEHELVSKKIIVSTSKRS IADLQNVFGEMDDEKLWTVALMLLLFFLFFLFVHARRKRTSKVKI" gene <22281842..>22283184 /locus_tag="BRARA_H02892" /db_xref="Phytozome:Brara.H02892" mRNA join(<22281842..22282087,22282579..>22283184) /locus_tag="BRARA_H02892" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02892" CDS join(22281842..22282087,22282579..22283184) /locus_tag="BRARA_H02892" /codon_start=1 /product="hypothetical protein" /protein_id="RID52283.1" /db_xref="Phytozome:Brara.H02892" /translation="MNWLLPEEGLSDDLFGDLFNSVELSLQDIDDDDTTNGEVEDWEA KFQHLEPPPMDVFTSFPTEFTSSCRVNKLGRVGTVPVLKQSGACAALSGTSPHKFSSP ADDIKVSKMFQSLSPVSVFESSDASFSPHNTRSQKLTSPVKGMRSKRKRPTTVRFKYL HQFEASKPEMLAPGESGLRTYYAFEQHAKKGRKISSQVARKCTHCETTETPQWREGPS GPKTLCNACGVRFRSGRLVPEYRPASSPTFVPSVHSNSHRKIIEMRRKEGGQFHTSMI HGVISRA" gene complement(22283269..22288381) /locus_tag="BRARA_H02893" /db_xref="Phytozome:Brara.H02893" mRNA complement(join(22283269..22284118,22284201..22284271, 22284400..22284429,22284501..22284633,22285004..22285086, 22285187..22285309,22285467..22285629,22285718..22285821, 22285913..22286010,22286249..22286457,22286535..22286629, 22286739..22286855,22286939..22286998,22287350..22287403, 22287502..22287576,22287648..22287723,22287819..22287911, 22287981..22288084,22288161..22288381)) /locus_tag="BRARA_H02893" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02893" CDS complement(join(22283431..22284118,22284201..22284271, 22284400..22284429,22284501..22284633,22285004..22285086, 22285187..22285309,22285467..22285629,22285718..22285821, 22285913..22286010,22286249..22286457,22286535..22286629, 22286739..22286855,22286939..22286998,22287350..22287403, 22287502..22287576,22287648..22287723,22287819..22287911, 22287981..22288084,22288161..22288199)) /locus_tag="BRARA_H02893" /codon_start=1 /product="hypothetical protein" /protein_id="RID52284.1" /db_xref="Phytozome:Brara.H02893" /translation="MFWKLTSLSASSPVETILDKENFTLEELLDEEEIIQECKALNSR LINFVRDKAQVEQLLRYIVEEPPSDAADDSKRAFKFPFISCEIFTCEIDVILKTLVEE DELMDLLFSFLEPNRPHSALLAGYFSKVVICLMVRKTAALMNYVKGHENVFCQLVDLI GITSIMEVLVRLVGADDHVYPNFLDVMQWLADSNLLEMIVDKLKPSCPPEVQANASET LCAISRNAPSALASQLSSPGFVSRIFGHALEDSHSKSGLVHSLSVCTSLLDPRKSATS SSMFNSYSGQHMFESPVPVSQETIGAMLPKLSDLLMFLTVASDSTVLPTTYGELKPPL GKHRLKIVEFIAILLRTGSEAAQKELVSSGTIKRTLDLFFEYPYNNALHHQVESIILS CLENKSELMVSHILRECNLIGKFLASDRDSDLSGDSQPTVAATGKKIPRVGYIGHITR ISNKIVQLGTSNDQIKAYLQENNEWNEWQGSVLQERNTVENVYRWGCGRPTTVQDRIR DSDEEDKDYDVAALANNLSQAFRYQTYDNGDNGEDHIALDRDDDDVYFDDESAEVIIS SLRLGDDQGSLFTNSDWFTFQDDRFSSTPPDPAGSNTIEDAELNRTFNANTSSSDDDE VVVGVEDDDLTRNPKDGETNFQMESPMGFFDFNTLEKTEEAFSEQPPGWVGWDEPSSD MQVSGTGLNPFLDDDDEDSKNVMNLDTPMLEAKTEPVIPNGSSSPTERSLFEKDVEFV GVEAEGTEKAMEQAMKEGIVGEAGAMKRNMESGEDNQKEEESSGGEEFNDNNYWKVDQ DVGVLE" gene complement(<22289477..>22289890) /locus_tag="BRARA_H02894" /db_xref="Phytozome:Brara.H02894" mRNA complement(<22289477..>22289890) /locus_tag="BRARA_H02894" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02894" CDS complement(22289477..22289890) /locus_tag="BRARA_H02894" /codon_start=1 /product="hypothetical protein" /protein_id="RID52285.1" /db_xref="Phytozome:Brara.H02894" /translation="MEEEPILSRLDRIDIMVRKLEEMRLGSSRRSSSPSTPSSGTQPS SSLDLSSPRSIGKVQCRSMEQVMEETERKGSLLERLNNVEEQVLKLCLHFEKEVEEEE RKKDDKKKKKGLRKFVDKVVGSSSPTKSHSKSWRC" gene complement(22290861..22293249) /locus_tag="BRARA_H02895" /db_xref="Phytozome:Brara.H02895" mRNA complement(join(22290861..22292012,22292093..22292574, 22293199..22293249)) /locus_tag="BRARA_H02895" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02895" mRNA complement(join(22290861..22292012,22292093..22292607)) /locus_tag="BRARA_H02895" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02895" CDS complement(join(22291125..22292012,22292093..22292554)) /locus_tag="BRARA_H02895" /codon_start=1 /product="hypothetical protein" /protein_id="RID52286.1" /db_xref="Phytozome:Brara.H02895" /translation="MGKEKFHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEK EAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNM ITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTP KYSKARYDEIIKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLE ALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGMLKPGMVVTFAPSGLTTE VKSVEMHHESLVEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKGAANFTSQVII MNHPGQIGNGYAPVLDCHTSHIAVKFSEILTKIDRRSGKEIEKEPKFLKNGDAGMVKM TPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVDKKDPTGAKVTKAAVKKGAK" CDS complement(join(22291125..22292012,22292093..22292554)) /locus_tag="BRARA_H02895" /codon_start=1 /product="hypothetical protein" /protein_id="RID52287.1" /db_xref="Phytozome:Brara.H02895" /translation="MGKEKFHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEK EAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNM ITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTP KYSKARYDEIIKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLE ALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGMLKPGMVVTFAPSGLTTE VKSVEMHHESLVEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKGAANFTSQVII MNHPGQIGNGYAPVLDCHTSHIAVKFSEILTKIDRRSGKEIEKEPKFLKNGDAGMVKM TPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVDKKDPTGAKVTKAAVKKGAK" gene complement(22294145..22295715) /locus_tag="BRARA_H02896" /db_xref="Phytozome:Brara.H02896" mRNA complement(join(22294145..22294374,22294463..22294521, 22294617..22294719,22294798..22294877,22294964..22295098, 22295173..22295238,22295310..22295484,22295566..22295715)) /locus_tag="BRARA_H02896" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02896" CDS complement(join(22294359..22294374,22294463..22294521, 22294617..22294719,22294798..22294877,22294964..22295098, 22295173..22295238,22295310..22295484,22295566..22295684)) /locus_tag="BRARA_H02896" /codon_start=1 /product="hypothetical protein" /protein_id="RID52288.1" /db_xref="Phytozome:Brara.H02896" /translation="MTKNYPTVSEDYKKAVEKCRRKLRGLIAEKHCAPIMVRLAWHSA GTFDCVTKTGGPFGTMRFTDEQAHEANSGIQIALGLLDPVRVQFPTISFADFHQLAGV VAVEVTGGPEIQFHPGREDKPQPPPEGRLPDATKGCNHLRDVFAKQMGLTDNDIVALS GAHTLGKCHKDRSGFEGAWTSNPLIFDNSYFKELLSGEKEGLIQLVSDKALLDDPTFR SLVEKYAADEDAFFSDYALAHLKLSELGFADA" gene 22304393..22306435 /locus_tag="BRARA_H02897" /db_xref="Phytozome:Brara.H02897" mRNA join(22304393..22304718,22304871..22305112, 22305185..22305374,22305451..22305842,22305912..22306435) /locus_tag="BRARA_H02897" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02897" CDS join(22304601..22304718,22304871..22305112, 22305185..22305374,22305451..22305842,22305912..22306223) /locus_tag="BRARA_H02897" /codon_start=1 /product="hypothetical protein" /protein_id="RID52289.1" /db_xref="Phytozome:Brara.H02897" /translation="MGCFGSSKKSSKRSDKNRKDTAKIRKTLGGTSDQTQPCSDSTKV SPYRDVDKEGVVVKEEDQLALDVKGLNLDDQPTGKKAQTFTFEELKVATGNFRSDCFL GEGGFGKVFKGTLEKLDQVVAIKQLDRNGAQGIREFAVEVLTLSLADHPNLVKLIGFC AEGDQRLLVYEYMPQGSLEDHLHDLPYGKKPLDWSTRMKIAAGAARGLEYLHDRMKPP VIYRDLKCSNILLGEDYQPKLSDFGLAKVGPSGDNTHVSTRVMGTYGYCAPDYAMTGQ LTFKSDIYSFGVVLLELITGRKAIDSTKERKDQNLVGWARPLFKDRRNFPKMVDPLLQ GQYPVRGLYQALAIAAMCVQEQPNMRPVVSDVVLALNFLASSKYDPNSPSSSSRRRNA SLHRDRDDEEKRPDLLKETESEVSS" gene 22307065..>22307753 /locus_tag="BRARA_H02898" /db_xref="Phytozome:Brara.H02898" mRNA join(22307065..22307359,22307434..>22307753) /locus_tag="BRARA_H02898" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02898" CDS join(22307194..22307359,22307434..22307753) /locus_tag="BRARA_H02898" /codon_start=1 /product="hypothetical protein" /protein_id="RID52290.1" /db_xref="Phytozome:Brara.H02898" /translation="MAERSFSQLPISSSHGGRRMMNTRRGVFPVSEYWIHAIPVIIFL CFFTLWFFSHSVRLDEDSGEIMSVRLLEKSMAVRNESHVSLAVLASSAVSPVSVNQTL IAHHNATQSHNATDKPELRKGQETKGKGKKKKKGGRKEKMEKDVNKSKSLKKAKKPLA G" gene 22308285..22308924 /locus_tag="BRARA_H02899" /db_xref="Phytozome:Brara.H02899" mRNA join(22308285..22308350,22308447..22308924) /locus_tag="BRARA_H02899" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02899" CDS 22308472..22308783 /locus_tag="BRARA_H02899" /codon_start=1 /product="hypothetical protein" /protein_id="RID52291.1" /db_xref="Phytozome:Brara.H02899" /translation="MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGV KRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFG G" gene <22310817..>22313743 /locus_tag="BRARA_H02900" /db_xref="Phytozome:Brara.H02900" mRNA join(<22310817..22310834,22311230..22311341, 22311422..22311571,22311679..22311858,22311932..22312060, 22312356..22312414,22312662..22313069,22313318..22313343, 22313438..22313576,22313678..>22313743) /locus_tag="BRARA_H02900" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02900" CDS join(22310817..22310834,22311230..22311341, 22311422..22311571,22311679..22311858,22311932..22312060, 22312356..22312414,22312662..22313069,22313318..22313343, 22313438..22313576,22313678..22313743) /locus_tag="BRARA_H02900" /codon_start=1 /product="hypothetical protein" /protein_id="RID52292.1" /db_xref="Phytozome:Brara.H02900" /translation="MSTVNMSTSSLTGSTSSVPDGSGRTFTPFFPQFGSMSPVLNPDG TLQGVYDVKDHIFFPTSNVSRAGPRNSGLNGVPYARFYNRFGDYILLTSVSMSRALVF DGGSILSSTGSMAGGGLMSRALISDGGSIMSSIGSMDGSTKHNRSMMIGLHGSPQVYS MPGSSYPSAAGEHSQTHVQAMNSLSSMSLMNSNYMTTDYQMDLHQQELMMQSQQSSTG GPPTIGSRSVNAATGYDDQPLRHHQNTSQFGGEKLPAIGQPIRDVGSQPTQAATQSTP DPFSMLGLVNVINQTNPDVTTLALGVDLQEIGLDMTSKEKLFKTFASPWANEPLKEDH EHFDLPQCYNGVQVPPPNAQLYAANELNNRYWFYHKEHKCWFKRTGIPLVQTNAYERG TYDCFDPDKFETVQKENFVIYYEMLERRPSLPQHRV" gene complement(<22321596..>22322786) /locus_tag="BRARA_H02901" /db_xref="Phytozome:Brara.H02901" mRNA complement(<22321596..>22322786) /locus_tag="BRARA_H02901" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02901" CDS complement(<22321596..22322786) /locus_tag="BRARA_H02901" /codon_start=1 /product="hypothetical protein" /protein_id="RID52293.1" /db_xref="Phytozome:Brara.H02901" /translation="MARRFSTAEKGKWTAAPSVPPAPVRRAPIPIPATNNSVLIEQNK LSLIGRVSNPAAQNTHALVDFFLHHWHVSGSITGRELGPHLFQFTFESEKDLQNILLK APYHFKRWMIMLQRWEPVVSDSFPSIISFWIRIHGIPLHYWTEEALEAIGSELGRVES KDFHNGRVRVSINGLLPLERHLEISLPSGEIKEVELEYEKLEKHCFSCQSLSHDQDHC TARTSSAQASRSINQERTLDRLAERRRGVDRSVRSVARPERPDKHAAIPGPRRPYHEN DDRRIRYSKPSSDRDFRNDHRAVSADFRRRPPVSPPRRTETANREVWVPRKDLPSVSV AASDPRGSGRLSVRTARQHSASHSSHTPPPRPQREPIQTPPGTGSARNTSKDRRPALE RISPV" gene complement(22323764..22325718) /locus_tag="BRARA_H02902" /db_xref="Phytozome:Brara.H02902" mRNA complement(join(22323764..22324877,22325164..22325718)) /locus_tag="BRARA_H02902" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02902" CDS complement(join(22323930..22324877,22325164..22325640)) /locus_tag="BRARA_H02902" /codon_start=1 /product="hypothetical protein" /protein_id="RID52294.1" /db_xref="Phytozome:Brara.H02902" /translation="MDLLCLLSSLLISYLIFKIWKRIDCKRDQNCYILDYQCHKPSDD RMVSTQFSGEIILRNKHLRLNEYKFLLKAIVSSGIGEQTYAPRLFFEGREECPTQQDA LSEMEEFYIDTIKKLLERNKLSPTDIDVLVVNVAMLNSAPSLSARIVNHYKMREDIKV FNLTAMGCSASVISVDIVKNIFKTYKNKLALVVTSESLSQNWYSGNNRSMILANCLFR SGGCAVLLTNKRSLSGKAMFKLKCLVRTHHGAREDSYNACVQKEDELGRVGIHLDKTL PKAATRAFVDNLKVITPKILPVTELFRFMLSLLLKKLRGNPSKGSTAVAQAAPKAGIN FKTGIDHFCIHTGGKAVIDAIGYSLDLSEYDLEPARMTLHRFGNTSASSLWYVLGYME AKKRLRRGDRVFMISFGAGFKCNSCVWEVVRDLNVGESLGNVWNHCIDQYPPQSIVNP FLEKYGWIREEDDHDTFKIREEAM" gene complement(<22329524..>22330621) /locus_tag="BRARA_H02903" /db_xref="Phytozome:Brara.H02903" mRNA complement(<22329524..>22330621) /locus_tag="BRARA_H02903" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02903" CDS complement(22329524..22330621) /locus_tag="BRARA_H02903" /codon_start=1 /product="hypothetical protein" /protein_id="RID52295.1" /db_xref="Phytozome:Brara.H02903" /translation="MAGCKILFLFVLSLAITFVSPARLLNEEEDIGLVPVPTTTSGSG LFPTSTGITTGASPASGGSGPLNTLSGSGPLPTTGSGSLPVASSVPLPATVPGSLPTT GSGPVPVASSGPLPAAGSGPLPTAGSGAGGLLPDHTLVFFMHDILGGSNPTARAVTGV VANAALSGQLPFAKPNGANLPVSNGVPSNSNNNGILNNNNVPLLVGLGGTTSSILQNN GNSLLNGLPVANGGQLPSGSSLQMLMFGTMTVMDNELTEGHELGSGLLGKAQGFYVAS AVDGTSQTMAFTAMFESGGYEDSISFFGVHRTAASESHLGVMGGTGKYVNARGYAVVK TYTGGSGNTQQPHQFTDGLETVLECTVYLSY" gene complement(22331059..22334678) /locus_tag="BRARA_H02904" /db_xref="Phytozome:Brara.H02904" mRNA complement(join(22331059..22332865,22332964..22333116, 22333201..22333271,22333372..22333468,22333538..22333630, 22333713..22333850,22334002..22334083,22334162..22334277, 22334346..22334425,22334554..22334678)) /locus_tag="BRARA_H02904" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02904" CDS complement(22331080..22332459) /locus_tag="BRARA_H02904" /codon_start=1 /product="hypothetical protein" /protein_id="RID52297.1" /db_xref="Phytozome:Brara.H02904" /translation="MHRRLSSSPLTTIASRHHYHTSQSVKPTKKPNIHEQTTIHKVTR KPWEEVPFLTDLKQTEETEEALSLFHHYQQMGFRHDYPSYSSLIYKLAKSRDFDAVDQ ILLLVHHRNVRCRESLFIGLIQHYGKANSIDKAVDVFHKMTSFDCVRTIQSLNTLINV LVDSNELEKAKTFVDGAKDMGLRPNSVSFNVLIKGFLEKCDWEGAREVFDEMLEREVQ PTVVTYNSLIGFLCRSNDVGEAKSLLEDMMVKRIRPNHVTFALLMKGLCCKGEYNEAK KLMFDMEYRGCKPGLVNYGVLMSDLGKRGKIDEARLLLNEMKKRRIKPDVVIYNILVN HLCSEGRASEAYRTLMEMQMKGCKPNAATYRMMVDGFCRIGDFDSALSILNAMLASRH APTPATFVCLVDGLVKGGNLDHACFVLEVMGKKNLSFGFGAWEKLVNGLCIKDGGGCC EALSKVIST" gene complement(<22333235..>22334641) /locus_tag="BRARA_H02905" /db_xref="Phytozome:Brara.H02905" mRNA complement(join(<22333235..22333271,22333356..22333462, 22333538..22333630,22333713..22333850,22334002..22334083, 22334162..22334277,22334346..22334425, 22334554..>22334641)) /locus_tag="BRARA_H02905" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02905" CDS complement(join(22333235..22333271,22333356..22333462, 22333538..22333630,22333713..22333850,22334002..22334083, 22334162..22334277,22334346..22334425,22334554..22334641)) /locus_tag="BRARA_H02905" /codon_start=1 /product="hypothetical protein" /protein_id="RID52296.1" /db_xref="Phytozome:Brara.H02905" /translation="MAPLKHLEMENSIIDACFRDFCASHGILTVEDFLLHDLYELAAF AEREANADRLKEGITVMLSLIEVKCRPLLNGMELLEDLQRNKHTLSSGDNGADSLLQG GLREGQLTELVGPSSSGKTQFCMQASASVAEKQDGRVFYIDTGNSFCARRIAQFICGS SDAAALRKRVLSRISCHTVYDIYTMFDTLQGLEVALRSQMNVNEYRLRLLVVDSISSL ITPILGGSGSQGTCPCTTRFDGGTWISA" gene <22335967..>22336260 /locus_tag="BRARA_H02906" /db_xref="Phytozome:Brara.H02906" mRNA <22335967..>22336260 /locus_tag="BRARA_H02906" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02906" CDS 22335967..22336260 /locus_tag="BRARA_H02906" /codon_start=1 /product="hypothetical protein" /protein_id="RID52298.1" /db_xref="Phytozome:Brara.H02906" /translation="MRFTGVVCIAFVIVLVSALAPTKADLEEKVACIPTELMTCIPAL QTGSQPSAECCGKLKEQESCLCGYIQNPLFSQYVTSENAHKILATCGIPYPTC" gene <22336683..>22337463 /locus_tag="BRARA_H02907" /db_xref="Phytozome:Brara.H02907" mRNA join(<22336683..22336902,22337372..>22337463) /locus_tag="BRARA_H02907" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02907" CDS join(22336683..22336902,22337372..22337463) /locus_tag="BRARA_H02907" /codon_start=1 /product="hypothetical protein" /protein_id="RID52299.1" /db_xref="Phytozome:Brara.H02907" /translation="MHRVCDSPCVSFSSSNPTELTPCIPAGQTGSQPSAECCGKLKEQ ESCLCKYINKRLFPPYVSSANVQKVLAACGGKKMASEVLLELRKDSSTSRSTLPLLRK S" gene <22338771..>22339064 /locus_tag="BRARA_H02908" /db_xref="Phytozome:Brara.H02908" mRNA <22338771..>22339064 /locus_tag="BRARA_H02908" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02908" CDS 22338771..22339064 /locus_tag="BRARA_H02908" /codon_start=1 /product="hypothetical protein" /protein_id="RID52300.1" /db_xref="Phytozome:Brara.H02908" /translation="MKFTGVVCIAFVIVLLSALAPTKAVFEEKVACIPTELMTCIPAL QTGSQPSADCCGKLKEQESCLCGYIQNPLFSQYVTSENAHKVLATCGISYPTC" gene complement(<22339474..>22341136) /locus_tag="BRARA_H02909" /db_xref="Phytozome:Brara.H02909" mRNA complement(join(<22339474..22339627,22339704..22340424, 22340941..>22341136)) /locus_tag="BRARA_H02909" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02909" CDS complement(join(22339474..22339627,22339704..22340424, 22340941..22341136)) /locus_tag="BRARA_H02909" /codon_start=1 /product="hypothetical protein" /protein_id="RID52301.1" /db_xref="Phytozome:Brara.H02909" /translation="MELDLTPKLPKQVYGGDGGSYHAWCPEELPMLKEGNIGAAKLAL EQHGFAVPRYSDSPKVAYVLQGSGTAGIVLPEKEEKVIAIKKGDSIALPFGVVTWWFN SEETELVILFLGETHKAHKAGQFTDFYLTGSNGIFTGFSTEFVGRAWDLEESVVKTLV GSQTGKGIVKLEAGFKMPQPKVEDRDGFVLNCLEAPLDVDIKDGGRVVVLNTKNLPLV GQVGFGADLVQIDGHSMCSPGFSCDSALQVTYIVAGSGRVQVVGADGKRVLETHITAG SLFIVPRFFVVSKIADPEGMSWFSIVTTPDPIFTHLAGRTSVWKALSPEVLQAAFKVD PEVEESFRFKRTSDAIFFPPSN" gene complement(22343061..22344111) /locus_tag="BRARA_H02910" /db_xref="Phytozome:Brara.H02910" mRNA complement(join(22343061..22343332,22343418..22343578, 22343669..22343823,22344034..22344111)) /locus_tag="BRARA_H02910" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02910" CDS complement(join(22343234..22343332,22343418..22343578, 22343669..22343801)) /locus_tag="BRARA_H02910" /codon_start=1 /product="hypothetical protein" /protein_id="RID52302.1" /db_xref="Phytozome:Brara.H02910" /translation="MVRISVLNDALKSMFNAEKRGKRQVMIRPSSKVIIKFLIVMQKH GYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYI VLTTSAGIMDHEEARRKNVGGKVLGFFY" gene 22348184..22350087 /locus_tag="BRARA_H02911" /db_xref="Phytozome:Brara.H02911" mRNA join(22348184..22348667,22348771..22350087) /locus_tag="BRARA_H02911" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02911" mRNA join(22348263..22348497,22348771..22350087) /locus_tag="BRARA_H02911" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02911" CDS join(22348471..22348497,22348771..22349748) /locus_tag="BRARA_H02911" /codon_start=1 /product="hypothetical protein" /protein_id="RID52304.1" /db_xref="Phytozome:Brara.H02911" /translation="MVFSSNWSQPTNSNHHQHQLHENGSLVSGHGLLSQQLPPCPPNP NPNNHYAAVASDLPARLGGSMAERARQAKAPPPEGALKCPRCDSINTKFCYYNNYNLT QPRYFCKACRRYWTRGGALRNVPVGGGCRKNSKKGKNGNSKSSSSSSKQSSSTVNDPS SNSGQLRTNYQFPFLPTLHNLTQLEGIGLNLTAANGNNQGHQIGSSLMNDLGFLHVGN GRNIAAPISGNIHDNNNNGASSLMSHFNLFDPTTGLHAFQNGGNIVNNVGISFSSTPM VDSRAYQAAPVKMEEQPGLVNLSRPVSGLTSPGNQTNQYLWNSSDFSGPSSNDHHQLL " CDS join(22348665..22348667,22348771..22349748) /locus_tag="BRARA_H02911" /codon_start=1 /product="hypothetical protein" /protein_id="RID52303.1" /db_xref="Phytozome:Brara.H02911" /translation="MPTNSNHHQHQLHENGSLVSGHGLLSQQLPPCPPNPNPNNHYAA VASDLPARLGGSMAERARQAKAPPPEGALKCPRCDSINTKFCYYNNYNLTQPRYFCKA CRRYWTRGGALRNVPVGGGCRKNSKKGKNGNSKSSSSSSKQSSSTVNDPSSNSGQLRT NYQFPFLPTLHNLTQLEGIGLNLTAANGNNQGHQIGSSLMNDLGFLHVGNGRNIAAPI SGNIHDNNNNGASSLMSHFNLFDPTTGLHAFQNGGNIVNNVGISFSSTPMVDSRAYQA APVKMEEQPGLVNLSRPVSGLTSPGNQTNQYLWNSSDFSGPSSNDHHQLL" gene complement(22351689..22354170) /locus_tag="BRARA_H02912" /db_xref="Phytozome:Brara.H02912" mRNA complement(join(22351689..22352111,22352210..22352474, 22352568..22352681,22352780..22354170)) /locus_tag="BRARA_H02912" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02912" CDS complement(join(22351996..22352111,22352210..22352474, 22352568..22352681,22352780..22354030)) /locus_tag="BRARA_H02912" /codon_start=1 /product="hypothetical protein" /protein_id="RID52305.1" /db_xref="Phytozome:Brara.H02912" /translation="MGNGVTKLRTCFTGRERFRQKDITFQLPDPLDEGLGHSFCYVRP DQTLTTSSKVHAELEETTTTVFRTISGASVSANAATPLSTSLYDPYGHVDLASAASFE STTSFPSIPLQPIPKSSGDGDPNERGFLSGPIERGFMSGSLDDDGDGLDNPSSDHHHF QRSFSHGLALRVGSKRRSLVRTIRRVISRTVSRRQNSVVAPIRPEKNLKEGTLNDGVS VESQSLQWAQGKAGEDRVHVVVSEEEHGWLFVGIYDGFNGPDAPDYLLSHLYPTLHRE LKDLLLWDGPHNHCERRWRCEWDQEKQDLDRRLKEQINCRTGSRSDRLTDHKHVLHAL SEALRKTEEAYLDTADKMLEENPELALMGSCVLVMLMKGEDVYVMNVGDSRAVLGCEG DHDSSLVKNLSASQLTFDHSTNVEEEVERIRNEHPDDARAVTNERVKGSLKVTRAFGA GFLKQPKWNNALLEMFQIDYKGQSPYINCLPFLYHHRLSSKDRFLILSSDGLYQYFTN EEAVSEVELFITLQPEGDPAQHLVQELLFRAAKKAGLDFHELLEIPQGERRRYHDDVS IVVISLEGRMWKSCV" gene 22357612..22359863 /locus_tag="BRARA_H02913" /db_xref="Phytozome:Brara.H02913" mRNA join(22357612..22357803,22357993..22358141, 22358230..22359135,22359224..22359863) /locus_tag="BRARA_H02913" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02913" CDS join(22358012..22358141,22358230..22359135, 22359224..22359732) /locus_tag="BRARA_H02913" /codon_start=1 /product="hypothetical protein" /protein_id="RID52306.1" /db_xref="Phytozome:Brara.H02913" /translation="MRKHLKSEKSSPRHGKYNHKSGWLAGMLHVLDFHNWRTKNRPIC WKTPRTHSLVREADEQEPFLDSKNEDSKIPSVVAEDPTRQLKKLMTTKQVNEYVDFLE ILNKEDVFVKILKDPNSEFDKQVQIKTSPRVLPKSGSYPLSGSSRPARIEHKQKENWY APKQNAAVLTFNVSTDASEEHKPIVPSHGSAVINGFRKIKKLLKNSLKDRKQMKKNEK CSPVSEDDYVERYSLLLEQSFRRARGGDLRSKSLKLSHEEKKSGLRDENKPQFFRRVS SLSSLEVLGSFLTELPRDSSARKSVDLDTNLVPKKSLLLSETLVRTEKEEEKNEEQEE RSQEAETLLSPGLISLENNNHEEEEDEDVYFCYVKKLLKFSGFLENEVKWYSEDKPLD PSLLYELNIQEEEEEVNKELLFDLVNEAITETRNQSHIYFPKTFSFAYPNGKRFLDEV WRRVEWSLLGLGAQGSDRSLDDIVGRDFTKGDGWMSLRGESEWLTLELEDLIFDELLD EILCAY" gene 22360193..22362409 /locus_tag="BRARA_H02914" /db_xref="Phytozome:Brara.H02914" mRNA join(22360193..22360425,22360508..22360616, 22360885..22360967,22361044..22361106,22361183..22362409) /locus_tag="BRARA_H02914" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02914" CDS join(22360288..22360425,22360508..22360616, 22360885..22360967,22361044..22361106,22361183..22362247) /locus_tag="BRARA_H02914" /codon_start=1 /product="hypothetical protein" /protein_id="RID52307.1" /db_xref="Phytozome:Brara.H02914" /translation="MTWLSRAVPLRYLISNRRLQKPPWMTYPAAFYSDSTEKKGKVTP LQETRMRDRFTLYARGGEGGNGCSSLRRSRTDRFGKPDGGNGGRGGDVILECTHAVWD FSGLQPHVKGGKAGHGTSKNRIGNRGEDKVLQVPIGTVIHLQEGELPSQIQVGSPKSS DPWDLPGTLVDDPASDENSDVDQDSSVVSESEEESVAASALHVGTEEETDFEEGQVRY NVAELTEEGQSIIIARGGEGGLGNVSATRYLRGTKFAKTSTLRTMEGDSDDEDGNGQR TSIKCGSLGTESVLILELKSIADVGLVGMPNAGKSTLLGALSRAKPRVGHYAFTTLRP NLGNVNYDDFSVTVADIPGLIKGAHQNRGLGHNFLRHIERTKVLAYVVDLASGLDGCE GVTPWQQLRDLVMELEYHEEGLSDRSSLIVANKIDEDGADERLEELERRVRGVRIFPV CAVLEEGVDELKDGLKMLVNGEGSERLKLENISVD" gene 22363682..22364261 /locus_tag="BRARA_H02915" /db_xref="Phytozome:Brara.H02915" mRNA join(22363682..22363804,22363936..22364261) /locus_tag="BRARA_H02915" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02915" CDS join(22363749..22363804,22363936..22364017) /locus_tag="BRARA_H02915" /codon_start=1 /product="hypothetical protein" /protein_id="RID52308.1" /db_xref="Phytozome:Brara.H02915" /translation="MAGSNCGCGSACKCGDSCSCEKNYNTECDNCSCGSNCSCGDSCS C" gene <22364968..>22365372 /locus_tag="BRARA_H02916" /db_xref="Phytozome:Brara.H02916" mRNA <22364968..>22365372 /locus_tag="BRARA_H02916" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02916" CDS 22364968..22365372 /locus_tag="BRARA_H02916" /codon_start=1 /product="hypothetical protein" /protein_id="RID52309.1" /db_xref="Phytozome:Brara.H02916" /translation="MDISCCGDYSDASPVAEMATESEWFALRLLCVVFFFSSVLLLCY FLYPKLQKAAAGDEESGEPLPPAMILGKIGGGGITTDVCVICLEEFRRNDAVRVLVTC RHVFHVQCIDSWCLYKLACPVCRAPFRLFGEW" gene 22366044..22370447 /locus_tag="BRARA_H02917" /db_xref="Phytozome:Brara.H02917" mRNA join(22366044..22366152,22366267..22366807, 22367225..22367703,22367788..22367932,22368085..22368156, 22368236..22368307,22368379..22368456,22368763..22368911, 22368977..22369173,22369268..22369394,22369472..22369537, 22369622..22369811,22369905..22370447) /locus_tag="BRARA_H02917" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02917" CDS join(22366071..22366152,22366267..22366807, 22367225..22367703,22367788..22367932,22368085..22368156, 22368236..22368307,22368379..22368456,22368763..22368911, 22368977..22369173,22369268..22369394,22369472..22369537, 22369622..22369811,22369905..22370310) /locus_tag="BRARA_H02917" /codon_start=1 /product="hypothetical protein" /protein_id="RID52310.1" /db_xref="Phytozome:Brara.H02917" /translation="MKTLNKLMLVACATFSIIHLVMSQNQQGFISLDCGLPSNEPPYN EQFTNLTYISDANFIQGGKTGYIQNNSETVSVSKPNRVLRYFPDGIRNCYSLSVTQDT KYLIRTVFVYGNYDGLNSPPRFDLYLGPNIWTSLDLKTSGLSETEEIIHITRSNSLDI CVVKTGTSTPLISVIELRPLPYHTYTTRTGSLRTLAHLYFSYSDKIISYPKDVYDRIW EPYFLLEWTQITTTLNVTDSSNGYAPPRDAITTAAIPTNASEPLTIIWSLETSDDETY GYLYFAEIQQLRANETREFKIVANGRVDYDSYSPMNFEADTVFNHVSLKCEGAVCRLQ LLRTPKSTLPPQMNAMEIFSAIYFPQSETSTDDVIAIKNIQSTYNLSRTSWQGDPCVP KQFSWIGLSCNVMDTSTPPRIITLDLSESGLTGMISPSIQNLSQLQELDLSNNNLTGE VPEFLAKMTSLLVINLSGNNLRGSVPQSLHNRKKDGLKLSVDANIKQCGSCKPRSWVV VIVVSVSCVAIIIIVLVLIFFFRKRKRSSCKVTRPSLEMKNRRFTYSEVKEMTNNFQV VLGKGGFGVVCHGYLNNEHVAVKVLSQSSTQGYKEFKTEVELLLRVHHVNLVSLIGYC DEGNDLALIYEYMGNGNLKEHLSGKRRGSVLNWSNRLKIAIESALGIEYLHIGCKPPM VHRDVKSTNILLGETFEAKLADFGLSRSFLVGSNTHVSTNVAGTIGYLDPEYYQKNWL TEKSDVYSFGIVLLEIITGQPVIEQSREKPYIVEWAKSMLANGDIDSIVDPNLHRGYD KSSSWKALELAMSCINPSSTERPNMTRVAHELNECLEIYENLSKRSQDANSTNSMGHG TSFISDTPSAR" gene complement(22371141..>22372712) /locus_tag="BRARA_H02918" /db_xref="Phytozome:Brara.H02918" mRNA complement(join(22371141..22371800,22372363..>22372712)) /locus_tag="BRARA_H02918" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02918" CDS complement(join(22371278..22371800,22372363..>22372712)) /locus_tag="BRARA_H02918" /codon_start=1 /product="hypothetical protein" /protein_id="RID52311.1" /db_xref="Phytozome:Brara.H02918" /translation="SVFDHLGLALPTAMNQGQEYPNWLELPPELTSSILNRLGVMEIL ESAQKVCRSWRRICKEPLTWSKIDMRNVGHMGGSSHELEKICRHAVDRSQGGLVEIEI WHFATHDLLNYIADSSSKLRSLKLAMCYLIENEGLIEVIAKLPLLEVLEVSYCSLSGE PLEPIVPSSCPNQMTLGNNCDDVALAIAESMPGLTRLQLFGNRLTNFGLNAILDRCPN LEYLDLRQCINVDIVGDMERRCYERIKVLRRPNDPVDDYPYGVSDVDEMSEEYYGNYS AASDYSDTGEDLGF" gene <22373554..>22376106 /locus_tag="BRARA_H02919" /db_xref="Phytozome:Brara.H02919" mRNA join(<22373554..22373634,22373726..22374407, 22374496..22374660,22374790..22374849,22374931..22375010, 22375092..22375333,22375407..22375676,22375762..22375825, 22375927..>22376106) /locus_tag="BRARA_H02919" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02919" CDS join(22373554..22373634,22373726..22374407, 22374496..22374660,22374790..22374849,22374931..22375010, 22375092..22375333,22375407..22375676,22375762..22375825, 22375927..22376106) /locus_tag="BRARA_H02919" /codon_start=1 /product="hypothetical protein" /protein_id="RID52312.1" /db_xref="Phytozome:Brara.H02919" /translation="MVLQTRLDYGFNGYEVPPTPRAARSPRKSAFKKKSENNQISSSF DLLAAVAGKLLLESGGVSSSSSNNASGDNNEDQCGGKKESGDQMVVEETHCDHHHHDN NAERSFFVSEILPKAHEMESFNRSPNPNKDFLFGSTSGITYEYSSEKLGTQELAYEEA KIHNGDCFRSDTNDKKPMLERLNCEPELSRNKDKHHIDLLNGMENNDGENFSARYATK SFRSTLRVGDGRVKKVMSSKYCKVSSNQKDTMVAGSDLDLKPGYYSKKHCFKSLRSEK KYPIKKRRYFDGYTASQSEETVRNEGLSGSPRKAFLSTIACQKQPALQSRDSHVKLGI KSFRVPELSIEVPETATIGSLKRTVLEAVTTILEGGLRIGVLVHGKKVRDDNKMLLQT GISLDTLSDTLSFCLETNPPQSTKPLSPKDSDYMRPCYVPHTITRCLPSLGKHAKPSD SVESDLDSKPSSPFRAKPVYSRALIPVSPPLHAQALNVVPPRKPKRSEVAQRRIRRPF SVAEVEALVQAVERLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRR GEPVPQELLDRVLMAHAYWSQQQGKQQLLEGPQNLETSLGL" gene 22377425..22380120 /locus_tag="BRARA_H02920" /db_xref="Phytozome:Brara.H02920" mRNA join(22377425..22377530,22377627..22380120) /locus_tag="BRARA_H02920" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02920" CDS 22377688..22379919 /locus_tag="BRARA_H02920" /codon_start=1 /product="hypothetical protein" /protein_id="RID52313.1" /db_xref="Phytozome:Brara.H02920" /translation="MGSYSGGSLDGFDFTSEFDNLPASNQTVDSSDGFYLDDPLLNFV SFDHSSAPPPETYPQKNVAAASGDRLASPSDDSEFSDSVLKYISQVLMEEDMEEKPCM FHDALALQAAEKSLYEALGEQYPSSVEHGASYPEKLAEDSPDGSFPSGGGFSDYASTT TSSDSHWSLDGLENNNRPSWLQTPFPSNFVFQSTSRSNSVNSGGNSASLGSSMFKDSE LAMQFKRGVDEASKFLPKSSQLFIDVDSYVPKNTSEVFVKTEKKDESEHPPPPPPPPS NSRLTGKKKSHWRDEDEDLAEERSNKQSAVYVEETELSEMFDKILLCGGTGQPVCITD QKFPTEAKTRGKKSTATTTNSNSSKGSKKETADLRTLLVLCAQAVSVDDRRTANEMLR QIREHSSPLGNGSERLAHYFANSLEARLAGTGTQIYTALSSKKTSAADMLKAYQTYIS VCPFKKAAIIFANHSIMRLTANADTIHIVDFGISYGFQWPALIHRLSFRPGGPPKLRI TGIELPQRGFRPAEGVHETGHRLARYCQRYKVPFEYNAIAQKWETIRVEDLKIEQGEF VVVNSLFRFKNLLDETVVVNSPRDAVLKLIRKANPSVFIQAVLSGSYNAPFFVTRFRE ALFHYSALFDMCDSKLAREDEMRLMFEKEFYGREIMNVVACEGTERVERPESYKQWQA RVIRAGFKQLPLEKELMQNLKLKIENGYDKNFDIDQNGNWLLQGWKGRIVYASSVWVP SSS" gene <22381032..>22381289 /locus_tag="BRARA_H02921" /db_xref="Phytozome:Brara.H02921" mRNA <22381032..>22381289 /locus_tag="BRARA_H02921" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02921" CDS 22381032..22381289 /locus_tag="BRARA_H02921" /codon_start=1 /product="hypothetical protein" /protein_id="RID52314.1" /db_xref="Phytozome:Brara.H02921" /translation="MDNGFSCYGGETEAVDDDCHVGCKTPTREECRIPVCPPCPPPVR RKRSIHFGKKRETPKNGYFQPPELELFFSAVAASRKQEGCV" gene 22388543..22389446 /locus_tag="BRARA_H02922" /db_xref="Phytozome:Brara.H02922" mRNA 22388543..22389446 /locus_tag="BRARA_H02922" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02922" CDS 22388859..22389185 /locus_tag="BRARA_H02922" /codon_start=1 /product="hypothetical protein" /protein_id="RID52315.1" /db_xref="Phytozome:Brara.H02922" /translation="MSEKWKLSKKDASSASCSSSSSSKSKFSRSFSTSASSTKAPALV RSSSTKCSVPSSSSSISRSTSKKEKGSSSSITQKYSSIAKEQKGRFYIMRRCVAMLVC WHKHDS" gene 22390452..22391253 /locus_tag="BRARA_H02923" /db_xref="Phytozome:Brara.H02923" mRNA 22390452..22391253 /locus_tag="BRARA_H02923" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02923" CDS 22390780..22391022 /locus_tag="BRARA_H02923" /codon_start=1 /product="hypothetical protein" /protein_id="RID52316.1" /db_xref="Phytozome:Brara.H02923" /translation="MTKPLHPSYELETSRSTMNSHRHISNLLENIYGFTKTTGNLKPP TIDSSPPRRDVGDRDRDSTAFFNYCNKKKTQKNWYG" gene complement(22391626..22395022) /locus_tag="BRARA_H02924" /db_xref="Phytozome:Brara.H02924" mRNA complement(join(22391626..22392541,22392618..22392701, 22392777..22392931,22393003..22393063,22393143..22393286, 22393490..22393600,22393733..22393791,22393885..22393930, 22394091..22394243,22394326..22394493,22394632..22394790, 22394863..22395022)) /locus_tag="BRARA_H02924" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02924" CDS complement(join(22392518..22392541,22392618..22392701, 22392777..22392931,22393003..22393063,22393143..22393286, 22393490..22393600,22393733..22393791,22393885..22393930, 22394091..22394243,22394326..22394493,22394632..22394757)) /locus_tag="BRARA_H02924" /codon_start=1 /product="hypothetical protein" /protein_id="RID52317.1" /db_xref="Phytozome:Brara.H02924" /translation="MATTTTTSGVYIHVIEDVVSKVREEFVNNGGPGESVLSELQGIW ETKMMQAGVLSGPIDRSSAAQRSTPGGPLTHDLNVPYEGTEEYETPTAEMLFPPTPLQ TPLPTPLPGTADNSSMYNIPTGSSDYPTPGSENGIHADVKARPSPYMQPPSPWTNPRP DVNVAYVDGRDEPERGNSSQQFTQDLFVPPSGKRKRDDSSTQYQNGRSIPQQDGASDD MPQVSLEGDTFCITFVGERKVPRDLLCSSSKIPQADGPMPDPYDEMLSTPNIYSYQGP NEDLNEGRTPAPNEIQASTPVAVQNDIIEDDEELLNEDDDDDELDDLESGEDMNTQHL VLAQFDKVTRTKSRWKCNLKDGIMHINDKDILFNKAAGEFDF" gene 22397286..22398831 /locus_tag="BRARA_H02925" /db_xref="Phytozome:Brara.H02925" mRNA join(22397286..22397409,22397521..22397728, 22397927..22398143,22398211..22398317,22398399..22398831) /locus_tag="BRARA_H02925" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02925" CDS join(22397345..22397409,22397521..22397728, 22397927..22398143,22398211..22398317,22398399..22398590) /locus_tag="BRARA_H02925" /codon_start=1 /product="hypothetical protein" /protein_id="RID52318.1" /db_xref="Phytozome:Brara.H02925" /translation="MDSRWSLRGMTALVTGGTKGIGYAIVEELAGFGARVHTCARDQT LLDECLSEWKEKGFQVTGSVCDVSSRAQRDELMKTVSSLFSGKLNILINNVGTLVSKP TTEFTAQDFSGHIATNLESAYHFSQLAHPLLKASGFGSIVFLSSVCGVVSSGFVSIYS LTKGCMNQLARNLACEWASDGIRANSVAPWMTKTPLVQKRLDDEKFAEAIFSRTPLGR ACEPGEVASLVTFLCLPAASYITGQTICIDGGFTVNGFSYKPEV" gene complement(<22398915..>22399685) /locus_tag="BRARA_H02926" /db_xref="Phytozome:Brara.H02926" mRNA complement(<22398915..>22399685) /locus_tag="BRARA_H02926" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02926" CDS complement(22398915..22399685) /locus_tag="BRARA_H02926" /codon_start=1 /product="hypothetical protein" /protein_id="RID52319.1" /db_xref="Phytozome:Brara.H02926" /translation="MGQAFHGFPIPFTNPNSSNPFSFSTSFVFSINAPGHGLTFMISP SMDFTRAMASQFLGLFNASNNGNSTNRILAVEFDTVKSNEFLDIDGNHVGIDVNGLVS VESAPAAFFSNRHSKNITLKLSSKDPIRAWIEYDGEEMVLNVTLAPLDISKPKLPLMS RKMNLTEIFNDKMFVGFSASTGNMTSNHYVLGWSFSREGKAKEFDLTLLPSVSAPSPS ELDDFDLISDTPSDSATANAKGTKLIIICKLVIMFFMM" gene complement(22401471..22404124) /locus_tag="BRARA_H02927" /db_xref="Phytozome:Brara.H02927" mRNA complement(join(22401471..22401903,22401984..22402064, 22402156..22402368,22402446..22402565,22402742..22402887, 22403325..22403462,22403860..22404124)) /locus_tag="BRARA_H02927" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02927" CDS complement(join(22401831..22401903,22401984..22402064, 22402156..22402368,22402446..22402565,22402742..22402887, 22403325..22403462,22403860..22403889)) /locus_tag="BRARA_H02927" /codon_start=1 /product="hypothetical protein" /protein_id="RID52320.1" /db_xref="Phytozome:Brara.H02927" /translation="MASLVESGWQYLVTHFSDFQLACIGSFLLHESVFFLSGLPFIYL ERHRFLTKYKIQAKNNTPAAQGKCITRLLLYHFCVNLPLMMASYPVFRAMGMRSSFPL PSWKEVSAQILFYFIIEDFVFYWGHRILHSKWLYKNVHSVHHEYATPFGLTSEYAHPA EILFLGFATIVGPALTGPHLITLWLWMVLRVLETVEAHCGYHFPWSLSNFLPLYGGAD FHDYHHRLLYTKSGNYSSTFVYMDWIFGTDKGYRRLKSLKENSNLKQT" gene complement(22404553..22406606) /locus_tag="BRARA_H02928" /db_xref="Phytozome:Brara.H02928" mRNA complement(join(22404553..22405238,22406073..22406274, 22406353..22406606)) /locus_tag="BRARA_H02928" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02928" CDS complement(join(22404753..22405238,22406073..22406240)) /locus_tag="BRARA_H02928" /codon_start=1 /product="hypothetical protein" /protein_id="RID52321.1" /db_xref="Phytozome:Brara.H02928" /translation="MANHRLDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKS TIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFE NSLRWLRELRDHADSNIVIMMAGNKSDLNHLRSVADEDGRSLAEKEGLSFLETSALEA SNIEKAFQTILSEIYHIISKKALAAQEAAGGLPVPGQGTAINISESSVGNRKGCCST" gene 22406959..22410537 /locus_tag="BRARA_H02929" /db_xref="Phytozome:Brara.H02929" mRNA join(22406959..22407063,22407266..22407617, 22407801..22408009,22408163..22408763,22408849..22409119, 22409183..22409308,22409380..22409443,22409531..22409703, 22409768..22410062,22410141..22410537) /locus_tag="BRARA_H02929" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02929" CDS join(22407301..22407617,22407801..22408009, 22408163..22408763,22408849..22409119,22409183..22409308, 22409380..22409443,22409531..22409703,22409768..22410062, 22410141..22410400) /locus_tag="BRARA_H02929" /codon_start=1 /product="hypothetical protein" /protein_id="RID52322.1" /db_xref="Phytozome:Brara.H02929" /translation="MMERQSLIRLWSSFFYISTLFWMQVHSHSEYLIGLGSYDITGPA ADVNMMGYADMAQVASGIHFRLRARTFIVSEPQGKRVVFVNIDACMASQIVTLKVIER LKARYGDLYTEKNVGISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDALVDGIENSII QAHDNLRPGSIFLNNGELLDAGVNRSPSAYLNNPSEERSEYKYDTDKEMTLLKFVDDQ WGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARLMEDWFEQREELVSDEIPRRVSSI IENHQDSRKLAAEELLEIASYFESQPGRPATRVSSAARRVRSALRKADKPGFVSAFCQ TNCGDVSPNVLGAFCLDTGLPCDFNHSTCGGRNELCYGRGPGYPDEFESTRVIGERQF KKALDLFNKASEELQGKVDYRQVYVDFSQLNVTLSKKDGNSEVVRTCPAAMGFAFAAG TTDGPGAFDFTQGDDKGNPFWRMVRNFLKTPHKKQMDCHYPKPILLDTGEMTKPYAWA PSILSLQILRIGKLFILSVPGEFTTMAGRRLRDAVKTQLKSSGDKELSGGDIHVVIAG LANGYSQYVTTFEEYQVQRYEGASTLFGPHTLSGYIQEFKKLSKSLVLGRNVQPGPQP PNLLDKQVSFLTPVVMDTATGGDSFGDVISDVPKNLSVKRGSDQVTVVFQSACPRNDL MTEGTFALVERLEREDKTWTPVYDDDDLCLRFKWSRHKKFSSRSQATIEWRIPESASP GVYRITHFGAAKKLFGSVQHFTGSSSAFVVT" gene 22410888..22413462 /locus_tag="BRARA_H02930" /db_xref="Phytozome:Brara.H02930" mRNA join(22410888..22411050,22411413..22411682, 22411777..22412000,22412480..22413462) /locus_tag="BRARA_H02930" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02930" CDS join(22410946..22411050,22411413..22411682, 22411777..22412000,22412480..22413320) /locus_tag="BRARA_H02930" /codon_start=1 /product="hypothetical protein" /protein_id="RID52323.1" /db_xref="Phytozome:Brara.H02930" /translation="MAHRILRDHDADGWERSDFPIVCESCLGDNPYVRMTKAEYDKEC KICTRPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQARDTALNI STHDSIPKSDVNREYFAEEHDRKTRAGLDYESSFGKMRPNDTILKLQRTTPYYKRNRA HVCSFFIRGECTRGEECPYRHEMPETGELSQQNIKDRYYGVNDPVAMKLLGKAGEMGT LESPDDQSIKTLYVGGLNSRILEQDLRDQFYAYGEIESIRILADKACAFVTYTAREGA EKAAQELSNRLVVNGQRLKLMWGRPQAPRPDQDGSNQQGGVAHSGLLPRAVISQQHNQ PPPMQQYYMHPPPPNQDKPYYPSMDPQRMGAVISTQEAGGSSTENNGGSSSSYMMPPH QPYPPAPYGYMPSPYQQQYPGPMHHHYAPPPAAYQSYPQQPGPGSKPAPSPSAVSAPP PDSAPAGSSAPSGSSQQPPTASPTTDSSQ" gene complement(<22414053..>22416321) /locus_tag="BRARA_H02931" /db_xref="Phytozome:Brara.H02931" mRNA complement(join(<22414053..22414472,22414542..22415168, 22415787..22416106,22416192..>22416321)) /locus_tag="BRARA_H02931" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02931" CDS complement(join(22414053..22414472,22414542..22415168, 22415787..22416106,22416192..22416321)) /locus_tag="BRARA_H02931" /codon_start=1 /product="hypothetical protein" /protein_id="RID52324.1" /db_xref="Phytozome:Brara.H02931" /translation="MVTGVMNIEEGASLPAKNTFQVFMCSIIAAVGGLMFGYDIGISG GVTSMDTFLIKFFHHVYEKKHRVHENNYCKFDDQLLQLFTSSLYLAGIFASLAASYLS RRFGRKPIIMSASAFFLCGAILNFFAQELGMLIGGRILLGCGIGFGNQTVPLFISEIA PARIRGGLNLMFQFLITIGILAASYVNFLTSTVKDGWRFSLGGAAVPALILLIGSFFI HETPASLIERGKDEEGKRVLRKIRGLEDIELEFNEIKRATEISNKVKSPFKELFTKSE NRPPLVCGTLLQFFQQFTGINVVMFYAPVLFQTMGSGNNASLISTVVTNGVNAVATII AVVMVDRLGRKFFLVEGATQMTATQISIGALLLKHLHLIGPITSRSVPLIVLILICIY VSGFAWSWGPLGWLVPSEIYPLEVRNAGYFCAVAMNMVCTFVIGQFFLSALCRFRSAL FFFFAVMNVIMGLFVIFFLPETKGVPIEDMAEQRWKKHWHWRKYFKQN" gene complement(22417194..22419828) /locus_tag="BRARA_H02932" /db_xref="Phytozome:Brara.H02932" mRNA complement(join(22417194..22417733,22417834..22418504, 22418605..22419828)) /locus_tag="BRARA_H02932" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02932" CDS complement(join(22417389..22417733,22417834..22418504, 22418605..22419802)) /locus_tag="BRARA_H02932" /codon_start=1 /product="hypothetical protein" /protein_id="RID52325.1" /db_xref="Phytozome:Brara.H02932" /translation="MGINTKDIGTLVWKILRITTKNIYTCVRKYPVISGVSASTFLLY TFLPRLFYFIICSSPFIACSAFYLRNHLRSKPSKIETINTVNALPPFSPEGSERGTRR ADLKHQRSVRRNARRKVEEVGKDWDSSQASEDERDQVILTTLYGEFTNPQKFKKDKAF LATQEFSFEPNLDEEKRDKAFLASQEYSSEPNLNEVNVSVLHPHERLTSGGGETEVEC SSSSSSSEGEEKESFPKDTKIIAWTDDDQKNLLDLGNSEMERNKRLEHLITRRRTRRQ VLLAAERSLMDMEVPPVCVGRNYFGLDHDENYMIDGLQMPESAPSVLLPTKNPFDLPY GPQEEKPNLSGDSFHQEFSEANPNPSESFFSHHESFCRRNFPPSEVDSRLEQWKKSTD GLLRPQQGSDYDGLVEKKQPLTIAETKDMEAEHRAETVVSDLNTLLAPQEMVITDTNI SDQVDSSEISVKPNGDQPVGNALKGVIRRNTVTRSTSLAPERQIYMEHFGYSTVKGHK VTKSGESDLQVEFSEVGSPPTTVDWNHSDDDDEKSLFVNESDTGKETVFSGEVNEAKE NSLVDGAAESQMLPVEKLDQDFNMSSQESDAAKQFEEEERSKAIQATVPHTNEVILEE PPEHLTNSVDEMKMSYESDEPGTSERRANQEMQEIVEPEASVVNQVTSDESDTSPTSV LPDISSPLGQTLTVTSEDLELSSASQQGAVIHDQELSLSSLDGDRNSIETEVSPM" gene complement(22420416..22423245) /locus_tag="BRARA_H02933" /db_xref="Phytozome:Brara.H02933" mRNA complement(join(22420416..22420675,22420765..22420944, 22421010..22421124,22421205..22421368,22421444..22421509, 22421588..22421748,22421821..22422034,22422452..22423245)) /locus_tag="BRARA_H02933" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02933" CDS complement(join(22420523..22420675,22420765..22420944, 22421010..22421124,22421205..22421368,22421444..22421509, 22421588..22421748,22421821..22422034,22422452..22422916)) /locus_tag="BRARA_H02933" /codon_start=1 /product="hypothetical protein" /protein_id="RID52326.1" /db_xref="Phytozome:Brara.H02933" /translation="MSWIKNLVKISPTISSVGNLFRNHESCTLSSRFCTALQHQQRQS ETVQAAEVVQHRYEGLAPTKEGEKPRLLVLGSGWAGCRLMKGIDTSIYDVVCVSPRNH MVFTPLLASTCVGTLEFRSVAEPISRIQPAISREPGSYYFLANCSRLDSQNHEVHCET VTDGLSTLKPWKFKIAYDKLVLACGAEASTFGINGVLENAIFLREVHHAQEIRRKLLL NLMLSEVPGLGGEEKKRLLHCVVVGGGPTGVEFSGELSDFIMKDVRQRYAHVKDDIRV TLIEARDILSSFDDGLRQYAIKQLNKSGVKLVRGIVKEVKPQRLILDDGTEVPYGLLV WSTGVGPSSFVRSLDLPKDPGGRIGIDEWMRVPSVQDVFAIGDCSGYLESTGKSTLPA LAQVAEREGKYLANLLNVMGKAGGGRALSAKETELGEPFVYKHLGSMATIGRYKALVD LRESKQGKGISMGGFLSFFIWRSAYLTRVVSWRNRFYVFINWITTFVFGRDTSRL" gene complement(22424158..22425107) /locus_tag="BRARA_H02934" /db_xref="Phytozome:Brara.H02934" mRNA complement(join(22424158..22424507,22424819..22425107)) /locus_tag="BRARA_H02934" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02934" CDS complement(join(22424380..22424507,22424819..22424909)) /locus_tag="BRARA_H02934" /codon_start=1 /product="hypothetical protein" /protein_id="RID52327.1" /db_xref="Phytozome:Brara.H02934" /translation="MGYCFAIKLCLCIFFALSIVSTARIGISFSGNEKLVMRGRSLMM VSTNDYDEPSANGRHNPPGGRHGGGRRG" gene 22426653..22428293 /locus_tag="BRARA_H02935" /db_xref="Phytozome:Brara.H02935" mRNA join(22426653..22426886,22426983..22427134, 22427221..22427346,22427732..22428293) /locus_tag="BRARA_H02935" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02935" CDS join(22426732..22426886,22426983..22427134, 22427221..22427346,22427732..22427973) /locus_tag="BRARA_H02935" /codon_start=1 /product="hypothetical protein" /protein_id="RID52328.1" /db_xref="Phytozome:Brara.H02935" /translation="MATNEPEHEPRDVEEAGANEDEDTGAQVAPIVRLEEVAVTTGEE DEDAVLDLKSKLYRFDKEANQWKERGAGTVKLLKHKSTGKIRLVMRQSKTLKICANHF VTQGMSVQEHVGNEKSCVWHARDFADGELKDELFCIRFASIENCKAFMQKFNEVAESE VEKEESKDASDTAGLLEKLTVEETKTEEKPVEKEKTEVEAEEKKKSEPEKADEEKKTE EAVPST" gene 22428702..22429414 /locus_tag="BRARA_H02936" /db_xref="Phytozome:Brara.H02936" mRNA 22428702..22429414 /locus_tag="BRARA_H02936" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02936" CDS 22428738..22429226 /locus_tag="BRARA_H02936" /codon_start=1 /product="hypothetical protein" /protein_id="RID52329.1" /db_xref="Phytozome:Brara.H02936" /translation="MMGLRRTPLVLYILFVFHLHHDFTSVSSRSYSLDTNKESLHVNE SKPVVDVFEGKARELAFVIKRRGIGGAGGGGGSTTSGGDGGSSGSSTSGGGGGGHSSV EGGGVSGQSWSNGGGRFGSSYAGRNGTRGLHRSSGRQNIRGAVCAAGWLGLSILVGLI LA" gene 22430148..22430853 /locus_tag="BRARA_H02937" /db_xref="Phytozome:Brara.H02937" mRNA 22430148..22430853 /locus_tag="BRARA_H02937" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02937" CDS 22430176..22430571 /locus_tag="BRARA_H02937" /codon_start=1 /product="hypothetical protein" /protein_id="RID52330.1" /db_xref="Phytozome:Brara.H02937" /translation="MAFRRTSLVLYTLFIFYLQHNLLSVSSRPHSADTNHETLPFYPS ETDVVGFEGKTRELAVVIKKSFGGGRGGGSGSRGGGVGGRSRSRGGAGVIYPARSHFH RSSGSMNLRGAVCAVGWLCLSVLAGLFLI" gene complement(22431860..22434449) /locus_tag="BRARA_H02938" /db_xref="Phytozome:Brara.H02938" mRNA complement(join(22431860..22432454,22433373..22433521, 22433810..22433849,22434333..22434449)) /locus_tag="BRARA_H02938" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02938" CDS complement(22431976..22432452) /locus_tag="BRARA_H02938" /codon_start=1 /product="hypothetical protein" /protein_id="RID52333.1" /db_xref="Phytozome:Brara.H02938" /translation="MDRSQQNTHAKLVTRDIHRLTPSPTEANCFSLGCISWVSRVETV GTIVSRDMAEKFLKFSIDDGTGCVTCIMWLNQLTSPYFSRFDSSTILLHSRTARRQAR DIRIGAVARVRGRVGLYRGDMQITATNVVIERDPNAEILHWLECVRLSRNCYRIQS" gene <22434239..>22436201 /locus_tag="BRARA_H02939" /db_xref="Phytozome:Brara.H02939" mRNA join(22434239..22434865,22434962..22435286, 22435361..22435472,22435652..22435783,22435870..22436201) /locus_tag="BRARA_H02939" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02939" mRNA join(<22434326..22434869,22434972..22435278, 22435361..22435472,22435652..22435783,22435870..>22435959) /locus_tag="BRARA_H02939" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02939" CDS join(22434326..22434869,22434972..22435278, 22435361..22435472,22435652..22435783,22435870..22435959) /locus_tag="BRARA_H02939" /codon_start=1 /product="hypothetical protein" /protein_id="RID52332.1" /db_xref="Phytozome:Brara.H02939" /translation="MLPNGEDDSDLMRLVKELQASLMKNDKLEKENHELRQEVARLRA QVSNLKAHENERKSMLWKKLQSSYDGGGNTDASNLKAPESVKSNIKGHEVKNPNLKPT VKEQSTAIKPPPPPPLPSKTTLGKRSVRRAPEVVELYRALTKRESHMGNKINQNGVSS PAFSANMIGEIENRSKYLSDVNTDRHRDHIHFLISKVEAATFTDISEVETFVKWIDDE LSSLVDERAVLKHFPKWPERKADSLREAACSYRALKSLETEILSFKENPKEPLKQVLQ KIQSLQDRLEEAVNSTERTRDSTGKRYKDFQIPWEWMLDAGLIRQLKYSSLRLAQAYM KRITKELESNGGGKERNLLLQGVRFAYTIHQFAGGFDEEALRIFNELKKMTTSESQKI NK" CDS join(22434326..22434865,22434962..22435286, 22435361..22435365) /locus_tag="BRARA_H02939" /codon_start=1 /product="hypothetical protein" /protein_id="RID52331.1" /db_xref="Phytozome:Brara.H02939" /translation="MLPNGEDDSDLMRLVKELQASLMKNDKLEKENHELRQEVARLRA QVSNLKAHENERKSMLWKKLQSSYDGGGNTDASNLKAPESVKSNIKGHEVKNPNLKPT VKEQSTAIKPPPPPPLPSKTTLGKRSVRRAPEVVELYRALTKRESHMGNKINQNGVSS PAFSANMIGEIENRSKYLSDIKSDTDRHRDHIHFLISKVEAATFTDISEVETFVKWID DELSSLVDERAVLKHFPKWPERKADSLREAACSYRALKSLETEILSFKENPKEPLKQV LQKIQSLQDRRAC" gene 22436844..22442539 /locus_tag="BRARA_H02940" /db_xref="Phytozome:Brara.H02940" mRNA join(22436844..22437129,22437223..22437286, 22437396..22437691,22437774..22437992,22438072..22438281, 22438383..22438478,22438648..22438746,22438832..22438861, 22438949..22439002,22439200..22439253,22439326..22439403, 22439572..22439663,22439746..22439803,22439928..22440002, 22440122..22440169,22440385..22440482,22440759..22440834, 22441160..22441222,22441325..22441416,22441717..22441785, 22441860..22441923,22442018..22442083,22442176..22442539) /locus_tag="BRARA_H02940" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02940" CDS join(22436959..22437129,22437223..22437286, 22437396..22437691,22437774..22437992,22438072..22438281, 22438383..22438478,22438648..22438746,22438832..22438861, 22438949..22439002,22439200..22439253,22439326..22439403, 22439572..22439663,22439746..22439803,22439928..22440002, 22440122..22440169,22440385..22440482,22440759..22440834, 22441160..22441222,22441325..22441416,22441717..22441785, 22441860..22441923,22442018..22442083,22442176..22442253) /locus_tag="BRARA_H02940" /codon_start=1 /product="hypothetical protein" /protein_id="RID52334.1" /db_xref="Phytozome:Brara.H02940" /translation="MGSSASKNTEEEEDGSNGGGGQLYVSLKMENSKVEGELTPHVYG SLPVIGSWDPSKALPLQRESASISELSFVVPPDHETLDFKFLLKPRDRNTPCIVEEGE NRLLTGGSLQGDGRVALFKLEGDVVVEFRVFIKADRVSPFDLAASWRAYRENLQPSTV RGIPDVSINPDPTAVDENCPSESLELDLEHYEVPAPAASANSSLVYAADNAENPRSLS ASGSFVNDNTPKAASNSPRVSGVSVDGSPSAKETNIIVPDSSDTYSASGVGESKSVGI LSPFQHKDGQRGLFVDRGVGSPRLVKSVSASSFLADLKLDAQIKNSMPAAAGAVAAGA VADQMLGPKEDRHLAIVLVGLPARGKTFTAAKLTRYLRWLGHDTKHFNVGKYRRLKHG VNMSADFFRADNPEGVEARTEVAALAMEDMIAWMQEGGQVGIFDATNSTRARRNMLMK MAEGKCKIIFLETICNDERIIERNIRLKIQQSPDYAEETDFEAGVRDFRDRLANYERV YEPVEEGSYIKMIDMVSGNGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGES MDNVRGRTGGDSVISESGKIYAKKLANFVEKRLKNEKAASIWTSTLQRTIMTASSIVG FPKVQWRALDEINSGVCDGMTYEEIKKNMPEEYESRRKDKLRYRYPRGESYLDVIQRL EPVIIELERQRAPVVVISHQAVLRALYAYFADRPLKEIPQIEMPLHTIIEIQMGVSGV QEKRYKLMD" gene complement(22443733..22445904) /locus_tag="BRARA_H02941" /db_xref="Phytozome:Brara.H02941" mRNA complement(join(22443733..22444089,22444525..22444617, 22444927..22445067,22445162..22445227,22445298..22445904)) /locus_tag="BRARA_H02941" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02941" CDS complement(join(22443874..22444089,22444525..22444617, 22444927..22445067,22445162..22445227,22445298..22445597)) /locus_tag="BRARA_H02941" /codon_start=1 /product="hypothetical protein" /protein_id="RID52335.1" /db_xref="Phytozome:Brara.H02941" /translation="MASMPTSKHLFLIYYVSLLLCFVSLSSSSSPSDLSGVSLPSSSK VSLSLYYESLCPACSSFIVDHLVKLFEDDLISIVDLHLSPWGNTYLRPDNVTDLCQHG AVECFLDTVEACAIDAWPKLSDHFPFIYCVESLVTEDKYDKWETCYEKLNLSSKPVSD CLGSGHGQELELQYAAETSALQPPHEYVPWVVVDGQPLYEDYENFISYICKAYKGDKV PGACAKYSSGDFIRSVKVNRFPLVCMKGVNTMLDLLERIKTYLSSYVNIRGLL" gene 22446857..22448260 /locus_tag="BRARA_H02942" /db_xref="Phytozome:Brara.H02942" mRNA join(22446857..22447335,22447423..22447575, 22447709..22448260) /locus_tag="BRARA_H02942" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02942" CDS join(22446925..22447335,22447423..22447575, 22447709..22447735) /locus_tag="BRARA_H02942" /codon_start=1 /product="hypothetical protein" /protein_id="RID52336.1" /db_xref="Phytozome:Brara.H02942" /translation="MDTQRLPKKEEDDYQPSSTIESINAFSREDRHNYSMDDFDSIFD LNLDGSKCSQELTWDFFKEDEDVEEEEKRLSTDQEGSSSGVWDNNMSTDYEDKELGLK LNLNHQEVINAWSDRPQQPLWTNTSLLRGPANALYSGEVPVMDEGRNTRREASVLRYK EKRQSRLFSKKIRYQVRKLNADKRPRFKGRFVRRET" gene 22449526..22450354 /locus_tag="BRARA_H02943" /db_xref="Phytozome:Brara.H02943" mRNA join(22449526..22449637,22449726..22450354) /locus_tag="BRARA_H02943" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02943" CDS join(22449631..22449637,22449726..22450201) /locus_tag="BRARA_H02943" /codon_start=1 /product="hypothetical protein" /protein_id="RID52337.1" /db_xref="Phytozome:Brara.H02943" /translation="MEENNNNAGSDSDSNSVEESQDYYEPISAVDLDAGNNDDDEEET YLPISGDNFSNGLCNGHCTIPEAVDGVSSISVNGNAEAKSDTEEETETVTTPDSEIRT AFEEDERRRRSPLLVENAARVMEAMRAISFPGTAPDWASDVNEDRWVDQLRRLRSTSQ " gene 22455419..22456150 /locus_tag="BRARA_H02944" /db_xref="Phytozome:Brara.H02944" mRNA 22455419..22456150 /locus_tag="BRARA_H02944" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02944" CDS 22455460..22455954 /locus_tag="BRARA_H02944" /codon_start=1 /product="hypothetical protein" /protein_id="RID52338.1" /db_xref="Phytozome:Brara.H02944" /translation="MGNSLRCCLACVLPCGALDLIRIVHLNGHIDEITRSITAGEILQ ANPNHVLSKPCSQGVVRKILILSPESELKRGSIYFLIPDSSLPEKKRRRKEGHSPNKT PNNNPKADLGEVKDVKQCEKYLEGVVSSTSNGKEQRHRRRHSRSVSVSSWQPHLDSIS EDLN" gene complement(<22458572..>22461781) /locus_tag="BRARA_H02945" /db_xref="Phytozome:Brara.H02945" mRNA complement(join(<22458572..22459816,22460393..22461388, 22461530..>22461781)) /locus_tag="BRARA_H02945" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02945" CDS complement(join(22458572..22459816,22460393..22461388, 22461530..22461781)) /locus_tag="BRARA_H02945" /codon_start=1 /product="hypothetical protein" /protein_id="RID52339.1" /db_xref="Phytozome:Brara.H02945" /translation="MSTLNMDEVTSTQKGKVHGPFLLESLVCQTNHMLTSKGVFMGSN PLKYAMPLLLLQVAAIIITSRLLFRLLKPLNQGMISAQVLAGIILGPSLLGQSRSYME MVFPISGKITLQTFSNVGFFMHLFLLGLRIDASILRKAGSKALLIGTASYAFPFSIGN LTVLVLKNTFQIPPEVAHCIHTVISLNAMTSFPVTTTVLAELNILNSDLGRLATNCSI VCEAFSWVVALVFRMFLQDGTLATVWSFAWITALLLAIFFICRPLIIWLTARRSVSVD KANDIPFFPIVMVLLVISLASEVLGVHAAFGAFWLGVSLPDGPPLGTGLTTKLEMFAS CLMLPCFIAISGLQTNFLKIEQSHVRVIEAVILVTYTCKFLGTAAASVYCSINIGDAI SLALLMCCQGVIEIYTSVMWKDEKVLNTECFNLVIITLLLVTGISRFLVVRLYDPSKR YRSESKRTILNTRQRNIQLRLLFCVYNVENVPSMVNLLEASYPSRFSPVSVFTLHLVE LKGRAHAVLMPHHLMNKLDPNTAQSTQIVNGFQRFEQQHQGTLMAQHFTAAAPFSSIN DDICTLALDKKAALIVIPFHKEYAIDGTVDHVNPAIRSININVLDKAPCSVGIFIDRG EIEGRRSVLMSHTWRNVAMIFIEGRDDAEALAFCMRMAEHPEVSVTMIHFRHKSALYN TNITTGEETEPSECHLINDFKSFSQNKPKVHYREEIVRDGVETTQVISALGSTYDLVV VGRDHDLESSVLYGLTDWSECPELGVIGDMFASPDFHFSVLVVHQQEGDDYFAMDNSY KLPVSPHRGGDPRVHPRFSVEEGYTTVDLHNR" gene 22463389..>22465712 /locus_tag="BRARA_H02946" /db_xref="Phytozome:Brara.H02946" mRNA join(22463389..22463992,22464063..22464122, 22464197..22464320,22464414..22464482,22464576..22464674, 22464754..22464820,22465090..22465154,22465230..22465324, 22465673..>22465712) /locus_tag="BRARA_H02946" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02946" CDS join(22463475..22463992,22464063..22464122, 22464197..22464320,22464414..22464482,22464576..22464674, 22464754..22464820,22465090..22465154,22465230..22465324, 22465673..>22465712) /locus_tag="BRARA_H02946" /codon_start=1 /product="hypothetical protein" /protein_id="RID52340.1" /db_xref="Phytozome:Brara.H02946" /translation="MNPSLITAVNAPISPSPRSPLLCHFLSPSPLRLSQSQSPSRRRY RVSFPRCAATSSELPLVSTKKSDVHGNKKELTGLQPIVEKMTPPVRLATSAVVLAATL ASGYGLGLRLAGSRNIALGAAAVAGAAGGAVVYAMNSAVPEVAAIGLHNYVAEIEDPA SVTKDDIEKIASRYGVNKGDEAFQAEICDIYCRYVTSVLPAEGQSLKGDEVEKIVKFK SALGIDDPDAASMHMEIGRRIFRQRLETGEREGDAEQRRAFMRLVYVSALVFGDAASF LLPWKRVLKVTDAQVEIAIRENAKQLYAERLKLVGRDINVENLVDLRKVQLSFKLSDE LAEDLFREHTRTVAIENISSALSVLKSRTRAVKSMSLVVEELEKV" gene 22466871..22468498 /locus_tag="BRARA_H02947" /db_xref="Phytozome:Brara.H02947" mRNA join(22466871..22466911,22467005..22467973, 22468059..22468498) /locus_tag="BRARA_H02947" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02947" CDS join(22466891..22466911,22467005..22467973, 22468059..22468307) /locus_tag="BRARA_H02947" /codon_start=1 /product="hypothetical protein" /protein_id="RID52341.1" /db_xref="Phytozome:Brara.H02947" /translation="MSIASKAARRVFTNYIRRARAAENRTESAKELKKMIAFNTLVVT EMVADIKGESSDKEPEEPVQVKEEVTEDEEWGSLESLKKTRPDKELAEKMGKPGQTEI TLKDDLPDRDRIDLYKTYLLYCVTGEVTRIPFGAQITTKRDDSEYLLLNQLGGILGLT SKEIVNIHVGLAEQAFRQQAEVILADGQLTKARVEQLDELQKQVGLPQPQAEKVIKNI TTTKMANAIETAVNQGRLNIKQIRELKEANVSLDSMIAVTLREKLFKKTVNDIFSSGT GEFDETEVYETIPSDLSIDVEKAKGVVHDLARSRLSNSLIQSVALLRQRNRKGVVSSL NDLLACDKAVPSEPLTWEVSEELSDLYDIYSKSDPTPAPEKVSRLQYLLGIDDSTATA LREMEDGVFSSAAEEGNFVF" gene 22474781..22477791 /locus_tag="BRARA_H02948" /db_xref="Phytozome:Brara.H02948" mRNA join(22474781..22474920,22475097..22475144, 22475234..22475419,22475798..22475982,22476069..22476136, 22476244..22476376,22476483..22476644,22476732..22477004, 22477323..22477791) /locus_tag="BRARA_H02948" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02948" CDS join(22475299..22475419,22475798..22475982, 22476069..22476136,22476244..22476376,22476483..22476644, 22476732..22477004,22477323..22477457) /locus_tag="BRARA_H02948" /codon_start=1 /product="hypothetical protein" /protein_id="RID52342.1" /db_xref="Phytozome:Brara.H02948" /translation="MSEGQKFQLGTIGALSLSVVSSVSIVICNKALISTLGFTFATTL TSWHLLVTFCSLHVALWMKMFEHKPFDPRAVMGFGILNGISIGLLNLSLGFNSVGFYQ MTKLAIIPCTVLLETLFFRKKFSRKIQFSLTILLLGVGIATVTDLQLNMLGSVLSLLA VITTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQAITLFVTGPFLDGLLTNQNVFAFKY TSQVVFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLKDPFN WRNILGIMVAVIGMVVYSYFCSVETQQKASETSTQLPQMKESEKDPLIAVENGSGVLS DGGGGGGVQKTAAPVWNSNKDFQA" gene complement(22478135..22479948) /locus_tag="BRARA_H02949" /db_xref="Phytozome:Brara.H02949" mRNA complement(join(22478135..22478702,22478870..22478954, 22479097..22479229,22479360..22479948)) /locus_tag="BRARA_H02949" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02949" CDS complement(join(22478365..22478702,22478870..22478954, 22479097..22479229,22479360..22479769)) /locus_tag="BRARA_H02949" /codon_start=1 /product="hypothetical protein" /protein_id="RID52343.1" /db_xref="Phytozome:Brara.H02949" /translation="MDKPDPPPNANADPVPSSFHRRTRSDDMSMFMFTDPLSSAAAPP SSDDLPSDDDLFSSFIDVDSLSSNPNPPISFPDPSSAAVPPPNSSSRPRHRHSNSVDA GCAMYAGDEIMDAKKAMPPEKLSELWSIDPKRAKRILANRQSAARSKERKARYIQELE RRVQSLQTEATTLSAQLTLFQRDTNGLANENTELKMRLQAMEQQAHLRNALNEALRKE VERMKMETGEISGNSDSFDMGMQQVQYSPSTFMAIPPYHHGSINNGQDMQQMRGFNQM SNSQSVSEFLQNGRLQGLEISSNNSSSLVKSEGPSLSGSESSSAY" gene complement(22480948..22482429) /locus_tag="BRARA_H02950" /db_xref="Phytozome:Brara.H02950" mRNA complement(join(22480948..22481251,22481356..22481445, 22481540..22481603,22481695..22481779,22481883..22481970, 22482059..22482151,22482237..22482429)) /locus_tag="BRARA_H02950" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02950" CDS complement(join(22481174..22481251,22481356..22481445, 22481540..22481603,22481695..22481779,22481883..22481970, 22482059..22482151,22482237..22482347)) /locus_tag="BRARA_H02950" /codon_start=1 /product="hypothetical protein" /protein_id="RID52344.1" /db_xref="Phytozome:Brara.H02950" /translation="MFCLSELEDTVRVPPSDLNLPLEVAIKKALQKLFLDKVLSIGLC VAIYGIKSIEGGFVLPGDGAATYKVVFRIVVFRPFVGEVIAAKFKESDSNGLRLTLGF FEDVYVPAPLIPTPNRCEPDPYNRNQMRWVWKYGDDEFIIDDSCQIKFRVENISYPPV PTERAEDAKPFAPMVVTGTIDDDGLGPVSWWEACAPISDDED" gene 22482645..22485404 /locus_tag="BRARA_H02951" /db_xref="Phytozome:Brara.H02951" mRNA join(22482645..22482926,22483333..22483424, 22483526..22483566,22483652..22483816,22483897..22483919, 22484018..22484089,22484236..22484403,22484525..22484607, 22484793..22484855,22485086..22485404) /locus_tag="BRARA_H02951" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02951" CDS join(22482686..22482926,22483333..22483424, 22483526..22483566,22483652..22483816,22483897..22483919, 22484018..22484089,22484236..22484403,22484525..22484607, 22484793..22484855,22485086..22485271) /locus_tag="BRARA_H02951" /codon_start=1 /product="hypothetical protein" /protein_id="RID52345.1" /db_xref="Phytozome:Brara.H02951" /translation="MAVATHFSFPVNYVLSEASRSRGRFNRKLVRAVASGDSIAPAIS EETKVKLGGSDLKVTKLGIGVWSWGDNSYWNDFQWDDRKLKAAKGAFDVSLDSGIDFF DTAEVYGSKFSLGAISSETLLGRFIRERKERYPGTEVSVATKYAALPWRLGRESVISA LKDSLARLELSSVDLYQLHWPGLWGNEGYLDGLGDAVEQGLVKAVGVSNYSEKRLRDA YERLKKRGIPLASNQVNYSLIYRAPEQTGVKAACDELGVSLIAYSPIAQGALTGKYTP ENPPTGPRGRIYTREFLTKLQPLLNRIKQIGENYTKTPTQVALNWLVAQGNVIPIPGA KNAEQAKEFAGAIGWSLTDDEVAELRSLASEINPVVGFPVEYL" gene complement(22485549..22486999) /locus_tag="BRARA_H02952" /db_xref="Phytozome:Brara.H02952" mRNA complement(join(22485549..22485965,22486243..22486293, 22486381..22486613,22486688..22486999)) /locus_tag="BRARA_H02952" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02952" CDS complement(join(22485705..22485965,22486243..22486293, 22486381..22486613,22486688..22486928)) /locus_tag="BRARA_H02952" /codon_start=1 /product="hypothetical protein" /protein_id="RID52346.1" /db_xref="Phytozome:Brara.H02952" /translation="MAYSACFLHQSALASSSVARSSPSSSSQRYVSLSKLVCKAQQTQ EDDTSTVSRRLALTLLVGAAAVGSKVSPADAAYGEAANVFGKPKTNTDFTAYSGDGFQ VQVPAKWNPSREVEYPGQVLRYEDNFDATSNLNVMVTPTDKKSITDYGSPEEFLSQVN YLLGKQAYFGETASEGGFDNNAVATANILETNIQDVGGKPYYYLSVLTRTADGDEGGK HQLITATVNGGKLYICKAQAGDKRWFKGANKFVEKAATSFSVA" gene 22487464..22490221 /locus_tag="BRARA_H02953" /db_xref="Phytozome:Brara.H02953" mRNA join(22487464..22487693,22487933..22487984, 22488084..22488166,22488493..22488716,22488867..22489581, 22489658..22489749,22489833..22490221) /locus_tag="BRARA_H02953" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02953" CDS join(22487550..22487693,22487933..22487984, 22488084..22488166,22488493..22488716,22488867..22489581, 22489658..22489749,22489833..22489923) /locus_tag="BRARA_H02953" /codon_start=1 /product="hypothetical protein" /protein_id="RID52347.1" /db_xref="Phytozome:Brara.H02953" /translation="MKPKLSLLCRSALRFIDVSACRVMACFLNCFRGRDDRSISHSSL ADSKRGGQESQNHLSALFQSEEEAASSPCLGKERFDLDSIHIDKGLRDEARFLKACGT IPETPVEIRKESQKLNSPQHSGTSYFHSWISSSSALGFHLDESPTPLKACEEVGRPSL ASEQTPSSCVIDDGDTARVSSASGDADEVESIGTALKGELDRSGRPMLTAGKTKSVRF ECDLDQSQSSNSSENSSSRKPEMGGKTTVSSPNPTPLKLSDEMQTPGTVYPANMESAR KGRPRIRSQFVHSVSNLMEDASLYNVHDDSYGSLEQEQIEGETPTSATSDEKISKFEA SSSPSLYPINEDGGEDFGVLIDITPGVNAMTPGDRPIIGLVAAHWNENEQTEVSPKWW DGNGIPNSTTKYKEDQKVSWHATPFEVRLEKALSEEGGLSLFPRRNLEVMEEDEEDSD IPQLQHSVQPSSVVSF" gene complement(22490633..22494451) /locus_tag="BRARA_H02954" /db_xref="Phytozome:Brara.H02954" mRNA complement(join(22490633..22490947,22491049..22491110, 22491223..22491304,22491452..22491552,22491831..22492042, 22492339..22492549,22492635..22492756,22492919..22492981, 22493073..22493210,22493303..22493453,22493847..22494138, 22494221..22494451)) /locus_tag="BRARA_H02954" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02954" CDS complement(join(22490836..22490947,22491049..22491110, 22491223..22491304,22491452..22491552,22491831..22492042, 22492339..22492549,22492635..22492756,22492919..22492981, 22493073..22493210,22493303..22493453,22493847..22494138, 22494221..22494441)) /locus_tag="BRARA_H02954" /codon_start=1 /product="hypothetical protein" /protein_id="RID52348.1" /db_xref="Phytozome:Brara.H02954" /translation="MKKARVLLKPSLLHRATTISSSASQSRSLSRQMETNPSSRYCYD PVLRWDPQVEDYFNKAYGPDHFAQISKALTRPSSYSCIRVNTVKTTSDAVIEKLTKIL NESDDGLKLLQSDGRSSPISKCQIPGLEYVVFVHGSGPHRIEYGSGLESPPKEVLVSR KCAEAVLRGAQVYVPGVLACTAHVEKGDAVAVCVAMEQPGDDEGDWSVNMTRGTTLQG LPSDPFYCERSGLYIGMGTTMLSRAGMFRVPHGVAVDLSNRVFRLPSFHNVLEGEIFL QNLPSIIAAHALDPQKGERILDMCAAPGGKTTAIAILMNDEGEIVAADRSHNKVLDVQ KLSAEMGLSCITTCKLDALKSVRLPNALSDSTTLVNGDNIGSVTNHSDLSSNEEMAPG RSEAEENASTEHPSGGDNVSQAEIRKNKGRLKNGRGRTQSQGGRAGKSQGFPPNSFDR VLLDAPCSALGLRPRLFAGLETVISLRNHGRYQRKMLDQAVQLVRVGGILVYSTCTIN PSENEAVVRYALDKYKFLSLAPQHPRIGGPGLVGRCEFPDGYVEEWLKPGEEEMVQKF DPSSELDTIGFFIAKFSVGPKD" gene 22495490..22498557 /locus_tag="BRARA_H02955" /db_xref="Phytozome:Brara.H02955" mRNA join(22495490..22495761,22496056..22496130, 22496243..22496326,22496404..22496472,22496562..22496625, 22496713..22496786,22496866..22496949,22497035..22497119, 22497195..22497280,22497455..22497576,22497663..22497723, 22497802..22497900,22498012..22498113,22498206..22498247, 22498323..22498429,22498514..22498557) /locus_tag="BRARA_H02955" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02955" mRNA join(22495490..22495701,22496056..22496130, 22496243..22496326,22496404..22496472,22496562..22496625, 22496713..22496786,22496866..22496949,22497035..22497119, 22497195..22497280,22497455..22497576,22497663..22497723, 22497802..22497900,22498012..22498113,22498206..22498247, 22498323..22498429,22498514..22498557) /locus_tag="BRARA_H02955" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02955" CDS join(22496095..22496130,22496243..22496326, 22496404..22496472,22496562..22496625,22496713..22496786, 22496866..22496949,22497035..22497119,22497195..22497280, 22497455..22497576,22497663..22497723,22497802..22497900, 22498012..22498113,22498206..22498247,22498323..22498429, 22498514..22498538) /locus_tag="BRARA_H02955" /codon_start=1 /product="hypothetical protein" /protein_id="RID52349.1" /db_xref="Phytozome:Brara.H02955" /translation="MAKEGRNIIDEQVVVGEEKGFVRLATLNRPRQLNVISSEVVLKL AEYLETWEKDDKTKLILIKGAGRAFSAGGDLKMFYDGRESKDSCLEVVYRMYWLCYHI HTYKKTQVSLVNGISMGGGASLMVPMKFSVVTEKTVFATPEASIGFHTDCGFSYIHSR LPGHLGEFLALTGARLNGKELVAIGMATHFVPSAKLADLEERLVGLDSGEMDVVRSTV EEFSEKVDLDKDSILNKQSIIDECFSKESVKQIIQAFEAEGSKEGNEWITPVIKGLKR SSPTGLKITLRSIREGRKQTLGDCLKKEFRITVNILRSTISPDVYEGIRALTIDKDNS PKWSPATLDEVAEEKINLVFEPLEGDLELHIPETEENRWGGKYET" CDS join(22496095..22496130,22496243..22496326, 22496404..22496472,22496562..22496625,22496713..22496786, 22496866..22496949,22497035..22497119,22497195..22497280, 22497455..22497576,22497663..22497723,22497802..22497900, 22498012..22498113,22498206..22498247,22498323..22498429, 22498514..22498538) /locus_tag="BRARA_H02955" /codon_start=1 /product="hypothetical protein" /protein_id="RID52350.1" /db_xref="Phytozome:Brara.H02955" /translation="MAKEGRNIIDEQVVVGEEKGFVRLATLNRPRQLNVISSEVVLKL AEYLETWEKDDKTKLILIKGAGRAFSAGGDLKMFYDGRESKDSCLEVVYRMYWLCYHI HTYKKTQVSLVNGISMGGGASLMVPMKFSVVTEKTVFATPEASIGFHTDCGFSYIHSR LPGHLGEFLALTGARLNGKELVAIGMATHFVPSAKLADLEERLVGLDSGEMDVVRSTV EEFSEKVDLDKDSILNKQSIIDECFSKESVKQIIQAFEAEGSKEGNEWITPVIKGLKR SSPTGLKITLRSIREGRKQTLGDCLKKEFRITVNILRSTISPDVYEGIRALTIDKDNS PKWSPATLDEVAEEKINLVFEPLEGDLELHIPETEENRWGGKYET" gene 22500381..22503637 /locus_tag="BRARA_H02956" /db_xref="Phytozome:Brara.H02956" mRNA join(22500381..22500693,22501093..22501164, 22501248..22501331,22501401..22501469,22501577..22501613, 22501694..22501767,22501856..22501939,22502036..22502120, 22502214..22502299,22502476..22502597,22502682..22502742, 22502823..22502921,22503044..22503145,22503228..22503269, 22503381..22503487,22503594..22503637) /locus_tag="BRARA_H02956" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02956" mRNA join(22500381..22500556,22500643..22500693, 22501093..22501164,22501248..22501331,22501401..22501469, 22501577..22501613,22501694..22501767,22501856..22501939, 22502036..22502120,22502214..22502299,22502476..22502597, 22502682..22502742,22502823..22502921,22503044..22503145, 22503228..22503269,22503381..22503487,22503594..22503637) /locus_tag="BRARA_H02956" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02956" CDS join(22501132..22501164,22501248..22501331, 22501401..22501469,22501577..22501613,22501694..22501767, 22501856..22501939,22502036..22502120,22502214..22502299, 22502476..22502597,22502682..22502742,22502823..22502921, 22503044..22503145,22503228..22503269,22503381..22503487, 22503594..22503618) /locus_tag="BRARA_H02956" /codon_start=1 /product="hypothetical protein" /protein_id="RID52351.1" /db_xref="Phytozome:Brara.H02956" /translation="MAQEGRNIDEQVVVGEQKGSVEWAILKRTRQLNTTASEEVLKLA EDLETWEKDDKTKLIVTQSAGDDVQMFYDGQFSSLNDIYTMYWLCYHIHTYKKTQVAI VDGICNFGSASLMFAMKFSVVTEKIDFATLEASLGFHTDCGFSYIHSRLPGHLGEFLA LTGTRLNGKELVAVGMATHFVPSAKLVDLVARLWSLDSGDMDVVRSTIEEFSEKVELD KDSILNKLSIIDKCCSKESVKQIIQEFEAEGSKEGNEWVTPIMGFLKQSSPTGLKINL RSIREGRKQTLAECLKKEFRVSVNILRGTISNDAYEGARALTIDKDNRPGWNPATLDE VDDEKINLVFLPLEDDIELRIPETEDNRWGGKYET" CDS join(22501132..22501164,22501248..22501331, 22501401..22501469,22501577..22501613,22501694..22501767, 22501856..22501939,22502036..22502120,22502214..22502299, 22502476..22502597,22502682..22502742,22502823..22502921, 22503044..22503145,22503228..22503269,22503381..22503487, 22503594..22503618) /locus_tag="BRARA_H02956" /codon_start=1 /product="hypothetical protein" /protein_id="RID52352.1" /db_xref="Phytozome:Brara.H02956" /translation="MAQEGRNIDEQVVVGEQKGSVEWAILKRTRQLNTTASEEVLKLA EDLETWEKDDKTKLIVTQSAGDDVQMFYDGQFSSLNDIYTMYWLCYHIHTYKKTQVAI VDGICNFGSASLMFAMKFSVVTEKIDFATLEASLGFHTDCGFSYIHSRLPGHLGEFLA LTGTRLNGKELVAVGMATHFVPSAKLVDLVARLWSLDSGDMDVVRSTIEEFSEKVELD KDSILNKLSIIDKCCSKESVKQIIQEFEAEGSKEGNEWVTPIMGFLKQSSPTGLKINL RSIREGRKQTLAECLKKEFRVSVNILRGTISNDAYEGARALTIDKDNRPGWNPATLDE VDDEKINLVFLPLEDDIELRIPETEDNRWGGKYET" gene 22505301..22507535 /locus_tag="BRARA_H02957" /db_xref="Phytozome:Brara.H02957" mRNA join(22505301..22505325,22505400..22505473, 22505562..22505648,22505742..22505826,22505919..22506004, 22506226..22506347,22506453..22506513,22506590..22506688, 22506801..22506902,22506986..22507027,22507133..22507535) /locus_tag="BRARA_H02957" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02957" CDS join(22505310..22505325,22505400..22505473, 22505562..22505648,22505742..22505826,22505919..22506004, 22506226..22506347,22506453..22506513,22506590..22506688, 22506801..22506902,22506986..22507027,22507133..22507300) /locus_tag="BRARA_H02957" /codon_start=1 /product="hypothetical protein" /protein_id="RID52353.1" /db_xref="Phytozome:Brara.H02957" /translation="MFYDDQYSGLKNVYRMYWLCYHIHTYKKTQVSLVLSMTLGGGGA PLTLPAKFSVVTEYTDFATPEAYFGFHIECGFSYIHSRLPGHLGEFLALTGAILNGKE LVALGMATHFVPLDKVWDLMPRLARLDSGDTDVVRSTIEEFSEKVDLDEDSILNKQSI IDACFSKESVKQIIQAFEAEGSKEGNEWITPILKRLKESSPTALKINLRSIREARNQT LGDCLKKEFRITVNIMRSTISIDAFEGVRALTIAKDNCPRWNPATLDEVDDEKIKLVF KPLEDDLELRIPETEENRFVRVNQLFCIEIFLRNNPV" gene complement(22507872..22511974) /locus_tag="BRARA_H02958" /db_xref="Phytozome:Brara.H02958" mRNA complement(join(22507872..22508261,22508420..22508514, 22508944..22509030,22509111..22509295,22509389..22509401, 22509508..22509608,22509736..22509803,22510043..22510092, 22510202..22510287,22510466..22510635,22510723..22511255, 22511767..22511974)) /locus_tag="BRARA_H02958" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02958" mRNA complement(join(22507872..22508261,22508420..22508514, 22508944..22509030,22509111..22509295,22509389..22509401, 22509508..22509608,22509736..22509803,22510043..22510092, 22510202..22510287,22510466..22510635,22510723..22511255, 22511763..22511974)) /locus_tag="BRARA_H02958" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02958" CDS complement(join(22508144..22508261,22508420..22508514, 22508944..22509030,22509111..22509295,22509389..22509401, 22509508..22509608,22509736..22509803,22510043..22510092, 22510202..22510287,22510466..22510635,22510723..22510997)) /locus_tag="BRARA_H02958" /codon_start=1 /product="hypothetical protein" /protein_id="RID52354.1" /db_xref="Phytozome:Brara.H02958" /translation="MEQRVQLRGSSTVEAMSSLGDVDREQVSEPFDIENESRKDTNLP SSFDVGYSSDDNLETLPKASTEAISPADILKTLFFILVWYTFSTFLTLYNKTLLGDDL GKFPAPLLMNTIHFSIQAVLSKMVTWYWSGRFQPDVTISWRDYFVRVVPTALATALDI NLSNESLVFISVTFATMCKSAAPIFLLLFAFAFRLESPSLKLFGIISVISAGVLLSVA KETEFEFWGFVFVMLAAVMSGFRWCMTQVLLQKETYGLKNPFTFMSYVAPVMAIVTGL LSLVLDPWSEFRDNIYFDSGAHFTRTCFLMLFGGALAFCMVLTEYILVSVTSAVTVTI AGVVKEAVTIVVAVFYFHDEFTWLKGFGLMIIMVGVSLFNWYKYEKLQKGNKTEDEKK LQAPSQTGKYVILDETDDQENGP" CDS complement(join(22508144..22508261,22508420..22508514, 22508944..22509030,22509111..22509295,22509389..22509401, 22509508..22509608,22509736..22509803,22510043..22510092, 22510202..22510287,22510466..22510635,22510723..22510997)) /locus_tag="BRARA_H02958" /codon_start=1 /product="hypothetical protein" /protein_id="RID52355.1" /db_xref="Phytozome:Brara.H02958" /translation="MEQRVQLRGSSTVEAMSSLGDVDREQVSEPFDIENESRKDTNLP SSFDVGYSSDDNLETLPKASTEAISPADILKTLFFILVWYTFSTFLTLYNKTLLGDDL GKFPAPLLMNTIHFSIQAVLSKMVTWYWSGRFQPDVTISWRDYFVRVVPTALATALDI NLSNESLVFISVTFATMCKSAAPIFLLLFAFAFRLESPSLKLFGIISVISAGVLLSVA KETEFEFWGFVFVMLAAVMSGFRWCMTQVLLQKETYGLKNPFTFMSYVAPVMAIVTGL LSLVLDPWSEFRDNIYFDSGAHFTRTCFLMLFGGALAFCMVLTEYILVSVTSAVTVTI AGVVKEAVTIVVAVFYFHDEFTWLKGFGLMIIMVGVSLFNWYKYEKLQKGNKTEDEKK LQAPSQTGKYVILDETDDQENGP" gene <22512500..>22513511 /locus_tag="BRARA_H02959" /db_xref="Phytozome:Brara.H02959" mRNA join(<22512500..22512595,22512783..22512877, 22513043..22513138,22513222..22513386,22513475..>22513511) /locus_tag="BRARA_H02959" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02959" CDS join(22512500..22512595,22512783..22512877, 22513043..22513138,22513222..22513386,22513475..22513511) /locus_tag="BRARA_H02959" /codon_start=1 /product="hypothetical protein" /protein_id="RID52356.1" /db_xref="Phytozome:Brara.H02959" /translation="MELHSITARRRLATVAAHFPNDPVSTASLVPLNCSSSLNSVTRR CDSKLPFARQASSEQGFFMRSNVAEYEHNYVVAIELPGASINDIRVEVDNLNLIVTGR RTSVGQKVDAGTKGSICGYHKQGIVQGPFKVSWPLPINVNKDNVSAEFMDGLLRIVIP KL" gene 22515795..22518798 /locus_tag="BRARA_H02960" /db_xref="Phytozome:Brara.H02960" mRNA join(22515795..22515888,22516223..22517409, 22517592..22517905,22517987..22518227,22518306..22518798) /locus_tag="BRARA_H02960" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02960" mRNA join(22515925..22516068,22516223..22517409, 22517592..22517905,22517987..22518227,22518306..22518798) /locus_tag="BRARA_H02960" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02960" CDS join(22516056..22516068,22516223..22517409, 22517592..22517905,22517987..22518227,22518306..22518656) /locus_tag="BRARA_H02960" /codon_start=1 /product="hypothetical protein" /protein_id="RID52358.1" /db_xref="Phytozome:Brara.H02960" /translation="MNINVQMATTSACLIGNGLSLHATKQRSKQFRLSSTSASVNKTS KLTVVKASLDVNKHEARRGFFKLLLGNAAAAGVSLLRTGKANAADEQEVSSSRMSYSR FLEYLDKGRVNKVDLYENGTIAIVEAVSPELGNRIQRVRVQLPGLSQELLQKLRAKNI DFAAHNAQEDQGSPLLNLIGNLAFPVILIGGLFLLSRRSSGGGMGGGPGGGPGFPLQI GQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVG PPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFV DEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGVIVVAATNRADILDSALL RPGRFDRQVSVDVPDVKGRTDILKVHSGNKKFENGVSLEVIAMRTPGFSGADLANLLN EAAILAGRRGKTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAVCGTLT PGHDAVQKVTLIPRGQARGLTWFIPSDDPTLISKQQLFARIVGGLGGRAAEEVIFGEP EVTTGAVGDLQQITGLAKQMVTTFGMSEIGPWSLMDSSAQSDVIMRMMARNSMSEKLA NDIDSAVKTLSDRAYEIALGHIRNNREAMDKIVEVLIEKETMSGDEFRAILSEFTEIP PENRVASSSTSTTPTPAPV" CDS join(22516228..22517409,22517592..22517905, 22517987..22518227,22518306..22518656) /locus_tag="BRARA_H02960" /codon_start=1 /product="hypothetical protein" /protein_id="RID52357.1" /db_xref="Phytozome:Brara.H02960" /translation="MATTSACLIGNGLSLHATKQRSKQFRLSSTSASVNKTSKLTVVK ASLDVNKHEARRGFFKLLLGNAAAAGVSLLRTGKANAADEQEVSSSRMSYSRFLEYLD KGRVNKVDLYENGTIAIVEAVSPELGNRIQRVRVQLPGLSQELLQKLRAKNIDFAAHN AQEDQGSPLLNLIGNLAFPVILIGGLFLLSRRSSGGGMGGGPGGGPGFPLQIGQSKAK FQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGK TLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAV GRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGVIVVAATNRADILDSALLRPGRFD RQVSVDVPDVKGRTDILKVHSGNKKFENGVSLEVIAMRTPGFSGADLANLLNEAAILA GRRGKTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAVCGTLTPGHDAV QKVTLIPRGQARGLTWFIPSDDPTLISKQQLFARIVGGLGGRAAEEVIFGEPEVTTGA VGDLQQITGLAKQMVTTFGMSEIGPWSLMDSSAQSDVIMRMMARNSMSEKLANDIDSA VKTLSDRAYEIALGHIRNNREAMDKIVEVLIEKETMSGDEFRAILSEFTEIPPENRVA SSSTSTTPTPAPV" gene complement(22518878..22522304) /locus_tag="BRARA_H02961" /db_xref="Phytozome:Brara.H02961" mRNA complement(join(22518878..22519040,22519134..22519457, 22519533..22519806,22519895..22521911,22522161..22522304)) /locus_tag="BRARA_H02961" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02961" CDS complement(join(22519146..22519457,22519533..22519806, 22519895..22521867)) /locus_tag="BRARA_H02961" /codon_start=1 /product="hypothetical protein" /protein_id="RID52359.1" /db_xref="Phytozome:Brara.H02961" /translation="MISRSYTNLLDLASGNFPVMGREPRRRLPRVMTVPGNVSEFDDD QAYSVSSDNPSSVSSDRMIIVANRLPLKAERRNGSWSFTWDQDALYLQLKDGLPEDME VLYVGSLSVDVESYEQDDVAQILLDKFKCVPTFLPPDLQSKFYDGFCKRQLWPLFHYM LPFTADHGTRFDRSLWEAYVATNKLFFQKVIEVINPDDDYVWIHDYHLMVLPTFLRRR FNRIRMGFFLHSPFPSSEIYRSLPVREEILKALLNSDLIGFHTFDYARHFLTCCSRML GLEYQSKRGYIGLEYYGRTVGIKIMPVGINMGRIQSVMRYSEEEGKVMELRKRYEGKT VLLGIDDMDIFKGINLKLLAMEQMLNQHSNWRGRAVLVQIVNPARGKGIDIDEIRGEI EGSCKRINESFGKPGYQPIVYIDTPVSVNEIIAYYHIAECVVVTAVRDGMNLTPYEYI VCRQGLLGSESDFNGPKKSMLVASEFIGCSPSLSGAIRVNPWNVEATGEALNEALSMR DPEKQLRHEKHFRYVSTHDVAFWSRSFLQDLERICVDHFKKRCWGMGISFGFRVVALD PNFRKLSIPCIVSDYKRAKSRAILLDYDGTLMPQNSINKAPSQEVLKFLNELCEDKKN SIFIVSGRGRESLGNWFSPCENIGIAAEHGYFLKWPGNKEWETCGQSCDFGWMQIVEP VMKQYTEATDGSSIEIKDSALVWQYRDADSGFGSLQAKEMLEHLESVLANEPVAVKSG HYIVEVKPQGVSKGSVAEKIFSSMDDKGKPVDFVLCIGDDRSDEDMFEAIGNAMSKRL LCDNALVFACTVGQKPSKAKYYLDDTMEVTSMLESLAEASEASNFSMRELDEAL" gene 22524093..22525703 /locus_tag="BRARA_H02962" /db_xref="Phytozome:Brara.H02962" mRNA join(22524093..22524443,22524574..22524714, 22524821..22524961,22525039..22525145,22525396..22525703) /locus_tag="BRARA_H02962" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02962" CDS join(22524132..22524443,22524574..22524714, 22524821..22524961,22525039..22525145,22525396..22525594) /locus_tag="BRARA_H02962" /codon_start=1 /product="hypothetical protein" /protein_id="RID52360.1" /db_xref="Phytozome:Brara.H02962" /translation="MCNPTKDSVPSQSGLVSKEKRAYFQRYWTWADVARALTVTIVHF WCLLAPFNYTWEALRFGLILVTLTNLLITFSYHRNLAHRSFKLPKWLEYPIAYAAVFA LQGDPLDWVSIHRFHHQFTDSDRDPHSPKEGFLFSHVMWIFDTLYIKYKCGGRNNVMD LKQQWFYRFLRKTIGFHVLMFWTVLYLYGGLPYLTCGGGVGGVLGYHVTWLVNSACHI CGSRSWKTKDTSRNVWWLSLFTMGESWHNNHHAFQSSARQGLEWWQIDITWYLIRLFE VLGLATDVKLPSEYQKQKLALTR" gene <22532061..>22533311 /locus_tag="BRARA_H02963" /db_xref="Phytozome:Brara.H02963" mRNA join(<22532061..22532372,22532451..22532591, 22532663..22532803,22532899..22533005,22533107..>22533311) /locus_tag="BRARA_H02963" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02963" CDS join(22532061..22532372,22532451..22532591, 22532663..22532803,22532899..22533005,22533107..22533311) /locus_tag="BRARA_H02963" /codon_start=1 /product="hypothetical protein" /protein_id="RID52363.1" /db_xref="Phytozome:Brara.H02963" /translation="MCDPTRDDGPSQSGLVRKEKRAYFQREWNSFDVMRTLTVTIVHL LCLLAPFNYKWEALRFGLVLFAVVQLGITFSYHRNLSHRSFKLPKWLEYPFAYSAVFA LQGDPMDWVSIHRFHHQFTDTDRDPHSPTEGLWFSYVLWIFDTRYIKYKCGRRNNVMD LKQQWFYRFLRKTIGFHVLMFWTVLYLYGGLPYLTCGGGVGVTIGYHVTWLVNSVGHI WGSRSWKTKDTSRNVWWLSLFTMGDSWHNNHHAFETSARHGFEWWQIDITWYLIRLFE ILGLATDVKLPSEFQKQKMSLACSS" gene complement(22533051..22534649) /locus_tag="BRARA_H02964" /db_xref="Phytozome:Brara.H02964" mRNA complement(join(22533051..22533306,22533897..22534208, 22534342..22534386,22534453..22534649)) /locus_tag="BRARA_H02964" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02964" mRNA complement(join(22533750..22534208,22534342..22534386, 22534453..22534649)) /locus_tag="BRARA_H02964" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02964" CDS complement(join(22533930..22534208,22534342..22534386, 22534453..22534596)) /locus_tag="BRARA_H02964" /codon_start=1 /product="hypothetical protein" /protein_id="RID52361.1" /db_xref="Phytozome:Brara.H02964" /translation="MPETEREEKNRRRRMRGVGAEKKITLEEYVDFINSAKSIDFTCS YLNQILHIHGFRKLHKSNKKTVGEAVDAVDLLDLSRSTLNQTSVSSSASLTLDQVISD IEALKWQECCLTSLQIISSDEVTPAVASPKQKSNKRKIGNQKKKKKMRRASDL" CDS complement(join(22533930..22534208,22534342..22534386, 22534453..22534596)) /locus_tag="BRARA_H02964" /codon_start=1 /product="hypothetical protein" /protein_id="RID52362.1" /db_xref="Phytozome:Brara.H02964" /translation="MPETEREEKNRRRRMRGVGAEKKITLEEYVDFINSAKSIDFTCS YLNQILHIHGFRKLHKSNKKTVGEAVDAVDLLDLSRSTLNQTSVSSSASLTLDQVISD IEALKWQECCLTSLQIISSDEVTPAVASPKQKSNKRKIGNQKKKKKMRRASDL" gene 22535660..22536497 /locus_tag="BRARA_H02965" /db_xref="Phytozome:Brara.H02965" mRNA 22535660..22536497 /locus_tag="BRARA_H02965" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02965" CDS 22535688..22536281 /locus_tag="BRARA_H02965" /codon_start=1 /product="hypothetical protein" /protein_id="RID52364.1" /db_xref="Phytozome:Brara.H02965" /translation="MMQRNSNNNNISNNPSSHQACASCKHQRKKCNNECILSPYFPAR KNKEFQAVHKVFGVSNVQKMVRTVREEDRTKLSESLTWEALWRQKDPVLGSYGEYRRI SEELKVYKSLVHNQPLIGWDNNQRVLNNNNKNGLAVNSSGSGGFTVNNNGVGVNRDVI NGGYLSRSGYENLRQDQRQQCYAVINGFKQHYLPLSN" gene complement(22536856..22538378) /locus_tag="BRARA_H02966" /db_xref="Phytozome:Brara.H02966" mRNA complement(join(22536856..22537665,22537906..22538378)) /locus_tag="BRARA_H02966" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02966" CDS complement(join(22537079..22537665,22537906..22538350)) /locus_tag="BRARA_H02966" /codon_start=1 /product="hypothetical protein" /protein_id="RID52365.1" /db_xref="Phytozome:Brara.H02966" /translation="MLNALRNTNLTLVIFICSILIASRLCSGDSFVYDPSKTLRERFK KWLQTHSILYGGKEEWMLRFGIYQSNLQLIDYINSLHLPFKLTDNRFADMTNAEFKAH FLGLNTSTLRLNTDQRPVCDAVGNVPASVDWRKEGAVTPVRNQGKCGGCWAFAVVAAI EGINKIKTGNLVSLSEQQLIDCDVSAFNKGCSGGLLTTAYEYLIPNGGLVTEADYPYT AIQGTCDQEKSQNKVVTIQGYTKVEQNEESLQAATAQQPVSVGIDAGGFIFQLYSSGV FTGFCGNHLNHGVTVVGYGEEDGQKYWIVKNSWGTGWGEQGYVRMERGYSEETGKCGI AMLASYPTK" gene <22538585..>22540475 /locus_tag="BRARA_H02967" /db_xref="Phytozome:Brara.H02967" mRNA join(<22538585..22538820,22538891..22539248, 22539843..>22540475) /locus_tag="BRARA_H02967" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02967" CDS join(22538585..22538820,22538891..22539248, 22539843..22540475) /locus_tag="BRARA_H02967" /codon_start=1 /product="hypothetical protein" /protein_id="RID52366.1" /db_xref="Phytozome:Brara.H02967" /translation="MLEDIPKRWKVLSGQNKWKGLLDPLDSDLRRYLIHYGEMAQVGY DAFNWDRKSEYCGDCYYSKSQIHARTGYLKANPIRYNVTKYIYATASIKMPLCFIVKS LSKKASRVQTNWMGYIAVATDEGKAMLGRRDIVVAWRGTLQPYEWANDFDFPLESGVK VFPVTDRKHVPRIGSGWLDVYTASDAKSPYDTTSAREQVQAELKRLLEVYKNEEVSIT FTGHSLGGVMSTLAAADLVHSSQNKIHTGLQSKQVPITVFAFGCPRIGDQDFKKVVSS HKQLNILRIVNVPDVAPNYPLFMYAEVGEVLQINTLNSTYLKRSLNWRNYHNLEIYLH GIAGMQDKTGVFRLEIGRDVSLVNKGLDALKDKYLVPSVWRCLANRGMVQMDDGTWKL DVHRYEDDDDEDSTNK" gene complement(22540658..22542951) /locus_tag="BRARA_H02968" /db_xref="Phytozome:Brara.H02968" mRNA complement(join(22540658..22540995,22541085..22541154, 22541277..22541358,22541440..22541623,22541955..22542051, 22542141..22542382,22542524..22542951)) /locus_tag="BRARA_H02968" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02968" CDS complement(join(22540924..22540995,22541085..22541154, 22541277..22541358,22541440..22541623,22541955..22542051, 22542141..22542382,22542524..22542928)) /locus_tag="BRARA_H02968" /codon_start=1 /product="hypothetical protein" /protein_id="RID52367.1" /db_xref="Phytozome:Brara.H02968" /translation="MVRMLIHLRPFPTNYLSPRSLTICSSLSSSPTPQHKLWSGLDNW RNSPINDLRLWGPNGPLLPSSSSTSSTFHGLVSAASSLADLGALVLSTSDPLSKSHIS HLAFSRWRRENNLPVGSISNLPSSPSRPPKPLLVPTNEVPSPKETDLPLNAYMLHNLA HIELNAIDLAWDTVARFSPFFDVLGRRFFDDFAHVADDESRHFMWCSQRLAELGFKYG DMPANNLLMRECQNTSNNVAARLAVIPLVQEARGLDAGPRLVKRLMGFGDHRTSKIVA KIAEEEIAHVAVGVDWFLSVCQKMNRVPCPTFKDLIKEYGAELRGPFNHSAREIAGIP RDWYDPSCGTEVDEGKKQGDKEQLSAVYDRLTHIISMESENSSLERPSK" gene complement(22543167..22546727) /locus_tag="BRARA_H02969" /db_xref="Phytozome:Brara.H02969" mRNA complement(join(22543167..22543610,22543891..22543968, 22544061..22544171,22544263..22544311,22544394..22546727)) /locus_tag="BRARA_H02969" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02969" mRNA complement(join(22543167..22543610,22543886..22543968, 22544061..22544171,22544263..22544311,22544394..22546727)) /locus_tag="BRARA_H02969" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02969" CDS complement(join(22543931..22543968,22544061..22544171, 22544263..22544311,22544394..22546448)) /locus_tag="BRARA_H02969" /codon_start=1 /product="hypothetical protein" /protein_id="RID52368.1" /db_xref="Phytozome:Brara.H02969" /translation="MASEPVNGEGTDGAREKQKIKVYTRKGKGQRKLSPFFAFDVDNR EKPEGDLENNRQSLAQESEKSPVSSVAKDSAEAPSEKVIDKPLMEAFTEAEPQDDTSL SLAPTDKSVIQPVSDPLSQEDANAFTGDKSVEVPSQSNTAQDDVNTVVVDENSIKESL AQEDVSAMIVDKKAIEAPSQTISAEDANTVVVVDKNPIEVSSDEDVHVVDADNLIKES QIDSQQPAGVASDSAQSIHATASESMPMEEDVDGRIKIHVPSKSKQEKEEIRKKLEDQ LNVVRDLVKKIEDKEGEIGACNDSRLLASADINNGGGRILPGLASDGLPREVIRTPRH LNQLSISVLENAHGVSEHVEKEKRTPKANQFYRTSEFLLGDKLPPAESNKKSKSSAKK HGGEAGHGFTAGSKVFKNCSALLERLMKHKHGWVFNAPVDVKGLGLHDYFAIIEHPMD LGTVKSALANNLYKSPREFAEDVRLTFHNAMTYNPPGQDVHIMAEVLLQMFEERWAVI EADYNRQLRFASGYEMNLPASTMRSRFGPTMPPPPISVSNTMDWSGLPSDLQHPKPTT TPGRTPTSARTPALKKPKANEPNKRDMTYEEKQKLSGQLQNLPPEKLDAIVQIVNKRN TAVKLRDEEIEVDIDSVDPETLWELDRFVTNYKKGLSKKKRRAELAIQAKAEAERNSQ QQMAPAPVAHEFSREGGNTAKKTLPTQVPSQVEKQNNETSRSSSSSSSSSSSSSSSDS DSDSSSSSGS" CDS complement(join(22543931..22543968,22544061..22544171, 22544263..22544311,22544394..22546448)) /locus_tag="BRARA_H02969" /codon_start=1 /product="hypothetical protein" /protein_id="RID52369.1" /db_xref="Phytozome:Brara.H02969" /translation="MASEPVNGEGTDGAREKQKIKVYTRKGKGQRKLSPFFAFDVDNR EKPEGDLENNRQSLAQESEKSPVSSVAKDSAEAPSEKVIDKPLMEAFTEAEPQDDTSL SLAPTDKSVIQPVSDPLSQEDANAFTGDKSVEVPSQSNTAQDDVNTVVVDENSIKESL AQEDVSAMIVDKKAIEAPSQTISAEDANTVVVVDKNPIEVSSDEDVHVVDADNLIKES QIDSQQPAGVASDSAQSIHATASESMPMEEDVDGRIKIHVPSKSKQEKEEIRKKLEDQ LNVVRDLVKKIEDKEGEIGACNDSRLLASADINNGGGRILPGLASDGLPREVIRTPRH LNQLSISVLENAHGVSEHVEKEKRTPKANQFYRTSEFLLGDKLPPAESNKKSKSSAKK HGGEAGHGFTAGSKVFKNCSALLERLMKHKHGWVFNAPVDVKGLGLHDYFAIIEHPMD LGTVKSALANNLYKSPREFAEDVRLTFHNAMTYNPPGQDVHIMAEVLLQMFEERWAVI EADYNRQLRFASGYEMNLPASTMRSRFGPTMPPPPISVSNTMDWSGLPSDLQHPKPTT TPGRTPTSARTPALKKPKANEPNKRDMTYEEKQKLSGQLQNLPPEKLDAIVQIVNKRN TAVKLRDEEIEVDIDSVDPETLWELDRFVTNYKKGLSKKKRRAELAIQAKAEAERNSQ QQMAPAPVAHEFSREGGNTAKKTLPTQVPSQVEKQNNETSRSSSSSSSSSSSSSSSDS DSDSSSSSGS" gene complement(<22553243..>22554521) /locus_tag="BRARA_H02970" /db_xref="Phytozome:Brara.H02970" mRNA complement(join(<22553243..22553590,22553670..22553960, 22554036..>22554521)) /locus_tag="BRARA_H02970" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02970" CDS complement(join(22553243..22553590,22553670..22553960, 22554036..22554521)) /locus_tag="BRARA_H02970" /codon_start=1 /product="hypothetical protein" /protein_id="RID52370.1" /db_xref="Phytozome:Brara.H02970" /translation="MDKLKIAEWGEKLKTGGAQMSRMVSEKVKDILQAPTLESKMVDE ATLETLEEPNWGMNMRICAQINNQEFNATEIVRAIKKKISGKSPVSQRLSLELLEACA MNCDKIFSEVASEGVLDEMVWLVKNGEGGAENRSRAFQLIRAWGRSKDLAYLPVFKQT YVSLEGENGLNARSEENPMAGAGQSSLESLMQRPVPVPPPGSYPVPNQVQARGEDDGG FDYNFGNLSIKDKKEQIEITRNSLELLFSMLNTDGKPNHTEDELTVSLMEKCKQSQPL IQMIIESTTDDESVLFEALHLNDELQRVLSSYEKTDETEKKASMVEQESSGPKPTEEE HPVKQKDEHHETPGSSNKTGKEDKQQVKIELGLSSDEDEK" gene <22555085..>22556446 /locus_tag="BRARA_H02971" /db_xref="Phytozome:Brara.H02971" mRNA join(<22555085..22555156,22555258..22555430, 22555897..22556080,22556172..22556278,22556365..>22556446) /locus_tag="BRARA_H02971" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02971" CDS join(22555085..22555156,22555258..22555430, 22555897..22556080,22556172..22556278,22556365..22556446) /locus_tag="BRARA_H02971" /codon_start=1 /product="hypothetical protein" /protein_id="RID52371.1" /db_xref="Phytozome:Brara.H02971" /translation="MAYISNWVRYMAHKLEYSLTLSLKNHTQGRVIDREFISVVMKNL LYGRITYLHSVKGEGMAPTMGPHDNTLLVRKLPDVDTRYVSVGDAVVLKDPNETHKYL VRRLAALEGSEMVSSDEKDEPFLLKKDQCWVVAENKDMKSKEAYDSRSFGPVSLADIV GRAIYCMRTAVDHGPVSNSEFSMQEDSPILAVELDVDELAKDHKA" gene 22557629..22559523 /locus_tag="BRARA_H02972" /db_xref="Phytozome:Brara.H02972" mRNA join(22557629..22557887,22558054..22558716, 22558791..22559009,22559090..22559196,22559280..22559523) /locus_tag="BRARA_H02972" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02972" CDS join(22557684..22557887,22558054..22558716, 22558791..22559009,22559090..22559196,22559280..22559358) /locus_tag="BRARA_H02972" /codon_start=1 /product="hypothetical protein" /protein_id="RID52372.1" /db_xref="Phytozome:Brara.H02972" /translation="MGLEEAGDLVLHIILSKIGPENTARVACVSKRLKVSASEDSLWS IFCSLDLNITTPLGPHGDPSPSFKMAYQSWRESFRMYPWHLVKRVRRCWDNLKLWLSL NFPEAEATLRKGATEDDLEEFESALRIKLPLPTRLLYRFVDGQEPRLDGSLGLIGGYS VYSHDVNVYLLPLKEVIRETNETMSDLGVSNGSNFIVVAASATASLKMFFLDCSDGQL YTGTSTRQMLPCVPEINGDQQQDAMLRWLEEHGRRLQSGVIKVREEDNVKSISLFPEV PPLCSVAVTNGVQVRASSVFIPEVSNLRAQPPAYWYAYSIRMSLMPEGCFLNGRHHSS CQLYWRHWIIRADDEVIDKVNGEAVIGKYPLLQAGEEEFVYESCSNFPTTSGSIEGSF TFIPGSLKDPKGSQFEVKVEEFPLKLPDYIF" gene complement(<22559688..>22561233) /locus_tag="BRARA_H02973" /db_xref="Phytozome:Brara.H02973" mRNA complement(join(<22559688..22559886,22560107..22560213, 22560466..22560606,22560696..22560836, 22560919..>22561233)) /locus_tag="BRARA_H02973" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02973" CDS complement(join(22559688..22559886,22560107..22560213, 22560466..22560606,22560696..22560836,22560919..22561233)) /locus_tag="BRARA_H02973" /codon_start=1 /product="hypothetical protein" /protein_id="RID52373.1" /db_xref="Phytozome:Brara.H02973" /translation="MGSETKEDGSGSSRNTVHKEKRAYLFREWTRIDVMRASAVLTVH LLCALAPFNYKWEALRFGFTLALVTGISITFSYHRNLTHKSFKLPKWLEYPFAYSALF ALQGHPIDWVSTHRFHHQFTDSDRDPHSPIEGFWFSHVLWIFDTAYIREKCGGRNNVM DLKLQWFYRFLQKTTGYHIMAFWTLVYLWGGLPYLTCGVGVGGAIGYHGTWFVNSACH ICGSRAWNTKDTSRNVWWLAPLTMGESWHNNHHAFEASARHGLEWYQLDLTWYLVLFF QTLGLATDVKLPSEAQRRKLAFAR" gene 22561647..22563705 /locus_tag="BRARA_H02974" /db_xref="Phytozome:Brara.H02974" mRNA join(22561647..22561857,22562031..22562596, 22562674..22562806,22562892..22562976,22563105..22563705) /locus_tag="BRARA_H02974" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02974" CDS join(22562043..22562596,22562674..22562806, 22562892..22562976,22563105..22563466) /locus_tag="BRARA_H02974" /codon_start=1 /product="hypothetical protein" /protein_id="RID52374.1" /db_xref="Phytozome:Brara.H02974" /translation="MDKEKSPAPPSGGLPPPPPPSGRYSSFAMKPESSFPHSSDANLF SHDISRMSDNPPKNLGHRRAHSEILTLPDDLSFDSDLGVVGAAADGPSFSDDTDEDLL SMYLDMDKFNSSEASSSQMCEQPSEPAWNERPRVRHQHSQSMDGTTSIKPEMLMSGSN EDAKKALSAAKLSELALIDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEA TTLSAQLTLLQRDTNGLSVENNELKLRVQSMEQQFHLQDALNEALKEEVQHLKVLTGQ GVSNGASMMSYGGSFGSNQQFYPNNQSRHTMLAAQQFQQLQIQSQKQQQQQLHQQQMY QLQQQRIQQQEQQSGVTELRRPMSSSGLKESVTSDPEATLTKD" gene complement(22563779..22565618) /locus_tag="BRARA_H02975" /db_xref="Phytozome:Brara.H02975" mRNA complement(join(22563779..22564181,22564271..22564362, 22564457..22564568,22564652..22564727,22564794..22564941, 22565010..22565151,22565406..22565618)) /locus_tag="BRARA_H02975" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02975" CDS complement(join(22564137..22564181,22564271..22564362, 22564457..22564568,22564652..22564727,22564794..22564941, 22565010..22565151,22565406..22565432)) /locus_tag="BRARA_H02975" /codon_start=1 /product="hypothetical protein" /protein_id="RID52375.1" /db_xref="Phytozome:Brara.H02975" /translation="MDPRQFEHIVVKDNDIQSIVMSYLLHNCFDETADSLASTTGINQ PVIDRDNLERRKQIMHCILEKKALKAVELTEQLGQDLLEKNKDLHFDLLCLHFVELIC DGKSKEALEFAKTSLAPFGMVQKYVGKLEDAIALLAYEDPEKSPMFYLLSSEYRQQVA DDLNRTLLEHANHPSYTPMERLLQQVTVARQYLTEENGKDAFPPFSLKDYVKG" gene 22568266..22569521 /locus_tag="BRARA_H02976" /db_xref="Phytozome:Brara.H02976" mRNA join(22568266..22568610,22568702..22569004, 22569106..22569521) /locus_tag="BRARA_H02976" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02976" mRNA join(22568266..22568610,22568702..22569004, 22569109..22569521) /locus_tag="BRARA_H02976" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02976" CDS join(22568428..22568610,22568702..22569004, 22569106..22569342) /locus_tag="BRARA_H02976" /codon_start=1 /product="hypothetical protein" /protein_id="RID52376.1" /db_xref="Phytozome:Brara.H02976" /translation="MKIQCDVCEKAPATVICCADEAALCPRCDVEIHAANKLASKHQR LHLNALATKFPRCDICQEKAAFIFCVEDRALLCRDCDESIHVANTRSANHQRLLATGI KVALSSTSCSKNHSDPSNNQQKAKEIPAKTLNQQQPSSATPLPWAVDDFFHFSDPECT DKQKGQLGLGELEWFSDMGFFGDQISQESLPAAEVPELSVSHLGHVHSYRPMKSNVSY KKPRLEFRDDEEEEHFIVPDLG" CDS join(22568428..22568610,22568702..22569004, 22569109..22569342) /locus_tag="BRARA_H02976" /codon_start=1 /product="hypothetical protein" /protein_id="RID52377.1" /db_xref="Phytozome:Brara.H02976" /translation="MKIQCDVCEKAPATVICCADEAALCPRCDVEIHAANKLASKHQR LHLNALATKFPRCDICQEKAAFIFCVEDRALLCRDCDESIHVANTRSANHQRLLATGI KVALSSTSCSKNHSDPSNNQQKAKEIPAKTLNQQQPSSATPLPWAVDDFFHFSDPECT DKKGQLGLGELEWFSDMGFFGDQISQESLPAAEVPELSVSHLGHVHSYRPMKSNVSYK KPRLEFRDDEEEEHFIVPDLG" gene complement(<22569797..>22571563) /locus_tag="BRARA_H02977" /db_xref="Phytozome:Brara.H02977" mRNA complement(join(<22569797..22569991,22570111..22570227, 22570385..22570717,22571165..>22571563)) /locus_tag="BRARA_H02977" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02977" CDS complement(join(22569797..22569991,22570111..22570227, 22570385..22570717,22571165..22571563)) /locus_tag="BRARA_H02977" /codon_start=1 /product="hypothetical protein" /protein_id="RID52378.1" /db_xref="Phytozome:Brara.H02977" /translation="MTSTREKGLIVSFGEMLIDFVPTESGVSLAESPGFLKAPGGAPA NVAIAVTRLGGRSAFVGKLGGDEFGHMLAGILRENGVDDTGVSFDEGARTALAFVTLR SDGEREFMFYRNPSADMLLRPDELNLELIRSAKVFHYGSISLITEPCRSAHLKAMEVA KEAGALLSYDPNLREPLWPSPEEARKQIMSIWDKAEIIKVSDVELEFLTENIKIDDES AMSLWHPNLKLLLVTLGEDGCRYYTKKFHGAVQTFNVNAVDTTGAGDSFIGAFLSKIV DDQSVLEDEKKLRKVLRFANACGAITTTKKGAIPALPSDSEALSFIKDNQRRSNNPFK SKKLRFLYCSNCS" gene complement(22572192..22573420) /locus_tag="BRARA_H02978" /db_xref="Phytozome:Brara.H02978" mRNA complement(join(22572192..22572609,22572717..22572789, 22573309..22573420)) /locus_tag="BRARA_H02978" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02978" CDS complement(join(22572452..22572609,22572717..22572780)) /locus_tag="BRARA_H02978" /codon_start=1 /product="hypothetical protein" /protein_id="RID52379.1" /db_xref="Phytozome:Brara.H02978" /translation="MGREKSPGLKILWVWTLGTAAILVASVVRTRMHDMESMMNQEQA PRQTHHLTAAPSDVTVLPDSDREIAKELK" gene complement(<22574228..>22574683) /locus_tag="BRARA_H02979" /db_xref="Phytozome:Brara.H02979" mRNA complement(<22574228..>22574683) /locus_tag="BRARA_H02979" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02979" CDS complement(22574228..22574683) /locus_tag="BRARA_H02979" /codon_start=1 /product="hypothetical protein" /protein_id="RID52380.1" /db_xref="Phytozome:Brara.H02979" /translation="MDPTELKRVFQMFDKNGDGSITNKELGETLRTLGIYIPDKELTQ MIEKIDVNGDGCVDIDEFKELYKTIMEGEEEEEEDMKEAFNVFDQNGDGFITVDELKA VLSSLGLKQGKTLDDCKKMIMQVDGDGDGRVNFKEFRQMMKGGGFSALG" gene 22576905..22582056 /locus_tag="BRARA_H02980" /db_xref="Phytozome:Brara.H02980" mRNA join(22576905..22577032,22577114..22577274, 22577564..22577638,22577730..22577845,22577939..22578053, 22578128..22578256,22578328..22578375,22578451..22578551, 22578629..22578825,22578893..22579182,22579254..22579403, 22579481..22579720,22579803..22579952,22580076..22580151, 22580233..22580360,22580442..22580612,22580881..22581021, 22581099..22581233,22581314..22581517,22581608..22582056) /locus_tag="BRARA_H02980" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02980" CDS join(22577137..22577274,22577564..22577638, 22577730..22577845,22577939..22578053,22578128..22578256, 22578328..22578375,22578451..22578551,22578629..22578825, 22578893..22579182,22579254..22579403,22579481..22579720, 22579803..22579952,22580076..22580151,22580233..22580360, 22580442..22580612,22580881..22581021,22581099..22581233, 22581314..22581517,22581608..22581766) /locus_tag="BRARA_H02980" /codon_start=1 /product="hypothetical protein" /protein_id="RID52381.1" /db_xref="Phytozome:Brara.H02980" /translation="MGVMSRRVLPACGNICFFCCPSLRVRSRHPVKRYKQMLADIFPR NQDAEPNDRKIGKLCEYASRNSLRIPKINEYLEHKCYRELRNGNIGSVKVVLCIYKKL LSSCKEQMPLFSCSLLTIVPTLLEQTKDVEVQILGCYTLVDFITLQTENSHMFNLEGL IPKLCQLAQEMGDDERLLRLRSAAMQALAIMVSFIGEHSQLSMDLDMIISVILENYMD LEKGQEDTNELNPVTDYNLEKNMENSKSASYWSMVCLCNIAKLAKETTTVRRVLEPLL NAFDSGGYWSPQKSVASSVLLFLQSRLEESGGNCHVLVSSLIKHLDHKNVTKQQGIQV NMVKVATCLVVHAKQGASGAMTAVIAELIKHLRKFLQNAAESDLSADETKLNSELQLA LENCIAELSNKVGDAGPILDMLAVVLETISTNVLVARTTASAILRAAHIVSVVPNVTY HKKVFPDALFHQLLLAMSHTDCETRVVAHNIFSVLLLGTLRLPWSDQHKETSDAVEES LNSDQYKDVNHTSLSSLRDVDGGIKSLCSLRLSSNQVNMLLSSLWIQATSTENTPANF EAMASTYSTTILFSLAKRSKHKALVWCFQLAFSLRNLSLNQNGGLQLSRRRSIFTFAS YLLIFSAKISNIPELIPIVKESLTAQMVDPCLVLEGDIRLRAVCSGSETDDSDALNSS VIVTNDSRMKEIVINHFTSKFPTLSEEEQSNMRKEVNSDFCRDDAHPLVAPLFMDTAG SDSPLNQIELPAFEEVELPAIVAFEGISPGASGSQSGYTTTLSTNTNPVDVLSVNELL ESVSETARQVASLPVSSLPVPYDQMMNQCEALMTGKQQKMSVLKSFKPEATKAITFPE DAEEEEVFLLKETEEANEDDQKALTVSQVQPQGQLASCSHGVEQNSFRLPPSSPYDEF LKAAGC" gene complement(<22582288..>22583511) /locus_tag="BRARA_H02981" /db_xref="Phytozome:Brara.H02981" mRNA complement(<22582288..>22583511) /locus_tag="BRARA_H02981" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02981" CDS complement(22582288..22583511) /locus_tag="BRARA_H02981" /codon_start=1 /product="hypothetical protein" /protein_id="RID52382.1" /db_xref="Phytozome:Brara.H02981" /translation="MAFTDEKDGSKGFVKRVASSFSMSKKKKNATSTGGGNNEPKLLP RSKSTGSTNYESMKLTSAKKIQDATIKTRTKPSSPRREKIDDRGGGRTNKSFGKWRSF DDSDSIWLSSDCASPTSLLEERRLSVAFRFSVDDSVVSWLSNLANSLNHQDAASVKVR CSIPRSSKESADKKVSFSQLESEKPSSPHERISAEVLESKSVTKVDEPLFWPYEQRFD WTPEDILKHFSMSPRRKKLLNAKMSSGNSSPRSMRAQLLQARKVDLKDGCKKKLVFNG PVTNTSKKIPELKRTISNKKNESSNKNEPIRNCVKRNKSLPSRLRKSSKPSCSKVVPI EAAEEVIIAEERAKAEITTRKLINRRSKTMLEDDFAFLNDFSIEKAVGLGEFKGREGI DSEFNSDTFLFDDSL" gene complement(22584195..22588442) /locus_tag="BRARA_H02982" /db_xref="Phytozome:Brara.H02982" mRNA complement(join(22584195..22584584,22584663..22584789, 22584889..22584982,22585060..22585131,22585386..22585433, 22585779..22585868,22585962..22586052,22586153..22586260, 22586345..22586433,22586530..22586634,22586716..22586888, 22587079..22587169,22587250..22587364,22587582..22587706, 22588047..22588442)) /locus_tag="BRARA_H02982" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02982" CDS complement(join(22584422..22584584,22584663..22584789, 22584889..22584982,22585060..22585131,22585386..22585433, 22585779..22585868,22585962..22586052,22586153..22586260, 22586345..22586433,22586530..22586634,22586716..22586888, 22587079..22587169,22587250..22587364,22587582..22587706, 22588047..22588217)) /locus_tag="BRARA_H02982" /codon_start=1 /product="hypothetical protein" /protein_id="RID52383.1" /db_xref="Phytozome:Brara.H02982" /translation="MDSEDDLLNAHDMESGEEEDDFYSGETDDYSEGGEPDYGFVEED VDDSVMIASHRSQVNYVVLKEEDIRRHQKDDIGQVSMVLSISEVEASVLLLHYHWSVS KVHDEWFADEERVRKTVGILDAPVIVIPTPDDGGELTCGICFETFPPEEILSVACGHP FCCTCWTGYISTTINGGPGCLMLKCPEPSCPAAVGQDMFEKLASKEDKEKYYRYFIRS YVEDNRKMKWCPAPGCEHAIDFAAGTGSYDVSCLCSHSFCWNCTEEAHRPVDCDTVAQ WIRKNSAESENMNWILANSKPCPKCKRPIEKNHGCMHMTCTPPCKYEFCWLCLNAWTD HGERTGGFYACNKYEAGKQEGRYDEAEKRREMAKNSLERYTHYYERWASNQTSRQKAM VDLEKARSEKIEKLSDIHGTPESQLKCITEAWLQIIECRRVLKWTYAYGYYLPDHDKK QFFEYLQGEAESGLERLHKCVEKELEVFLVAEGPSDDFNHFRTKLTGLTSITKTYFEN LVKALENGLADVDTQGASSKSTNSKSSKTNGGGKGKGSSKSPAKT" gene complement(22588638..22590478) /locus_tag="BRARA_H02983" /db_xref="Phytozome:Brara.H02983" mRNA complement(join(22588638..22589188,22589867..22590478)) /locus_tag="BRARA_H02983" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02983" CDS complement(join(22588924..22589188,22589867..22590429)) /locus_tag="BRARA_H02983" /codon_start=1 /product="hypothetical protein" /protein_id="RID52384.1" /db_xref="Phytozome:Brara.H02983" /translation="MASTSKQNPSSSKPPRHPFTIASSLPTKASVSEESQFRNPSTSL SPITMSPEDQILKHSTHLTRPELLRRRSHNLKQLAKCYRDHYWALMEDLKAQHREYYW KYGVSPFKEENHHHRHQNKRRKVDDGDEVGGAVEGSGDNNDGDVNCVACGNGCKSKAM PLTNYCPLHILSDKKQKLYTSCTFVSKKAQSKAITCPKPTLASTLPALCNAHFQKAQK DVAKALKDAGYNASSTNKPPPKLHDIVAAFVHHIQAKRKVVRREGKLKTSVKEESTS" gene 22590763..22593341 /locus_tag="BRARA_H02984" /db_xref="Phytozome:Brara.H02984" mRNA join(22590763..22590904,22591557..22591973, 22592450..22592609,22592688..22593341) /locus_tag="BRARA_H02984" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02984" CDS join(22591586..22591973,22592450..22592609, 22592688..22593105) /locus_tag="BRARA_H02984" /codon_start=1 /product="hypothetical protein" /protein_id="RID52385.1" /db_xref="Phytozome:Brara.H02984" /translation="MVTIRSASVFILVLLAVSTLALVANGEDKTIKVKKVKGKKVCTQ GWECVWWSEYCCNETISDYFQVYQFEQLFAKRNTPVAHAVGFWDYQSFITAAALYEPL GFGTTGGKLMGQKEMAAFLGHVASKTSCGYGVATGGPLAWGLCYNREMSPSQSYCDET WKFKYPCSPGAEYYGRGALPIYWNFNYGAAGEALKADLLNHPEYIEQNATLAFQAAIW RWMTPIKKAQPSAHDIFVGNWKPTKNDTLSKRGPTFGTTMNVLYGEYTCGQGDIEPMN NIVSHYLYFLDLLGIGREDAGPNEELSCAEQKAFNPSTAPTSSSS" gene complement(22593525..22594177) /locus_tag="BRARA_H02985" /db_xref="Phytozome:Brara.H02985" mRNA complement(join(22593525..22593900,22593975..22594177)) /locus_tag="BRARA_H02985" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02985" CDS complement(join(22593578..22593900,22593975..22594035)) /locus_tag="BRARA_H02985" /codon_start=1 /product="hypothetical protein" /protein_id="RID52386.1" /db_xref="Phytozome:Brara.H02985" /translation="MSISLKFLLWSSLALLLLQTGFGEKCDSKSSEPTVRQTQVKLGE GKKFRVEVMNKCPMCPIINLRLKCQGFPQSLVDPTLLRVLSSSAGNCVVNDGLPLSPM ETLSFNYSSSNQFALSPLSWSFQCE" gene complement(22594493..22596318) /locus_tag="BRARA_H02986" /db_xref="Phytozome:Brara.H02986" mRNA complement(join(22594493..22594872,22594984..22595121, 22595610..22595703,22596111..22596318)) /locus_tag="BRARA_H02986" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02986" CDS complement(join(22594657..22594872,22594984..22595121, 22595610..22595657)) /locus_tag="BRARA_H02986" /codon_start=1 /product="hypothetical protein" /protein_id="RID52387.1" /db_xref="Phytozome:Brara.H02986" /translation="MIKAPMDKVYTSTSTRRQIKAYIYMDKVELEHSNIRVDSLCWMS ISHSLYFRGSINHLLQQYIVTTAAEVKLPPTHPIRLALALNFSVFYYEIMNSLTRKGF RDCLVTKYLRRLVRYLRLFLLLKLRACHLGE" gene complement(22597330..22600352) /locus_tag="BRARA_H02987" /db_xref="Phytozome:Brara.H02987" mRNA complement(join(22597330..22597584,22597657..22597811, 22597938..22598080,22598158..22598250,22598335..22598388, 22598465..22598621,22598716..22598750,22598829..22599011, 22599182..22599339,22599434..22599553,22599647..22599758, 22599842..22600000,22600090..22600352)) /locus_tag="BRARA_H02987" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02987" mRNA complement(join(22597330..22597584,22597657..22597811, 22597938..22598080,22598158..22598250,22598335..22598388, 22598465..22598621,22598716..22598750,22598829..22599014, 22599182..22599339,22599434..22599553,22599647..22599758, 22599842..22600000,22600090..22600352)) /locus_tag="BRARA_H02987" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02987" CDS complement(join(22597478..22597584,22597657..22597811, 22597938..22598080,22598158..22598250,22598335..22598388, 22598465..22598621,22598716..22598750,22598829..22599011, 22599182..22599339,22599434..22599553,22599647..22599758, 22599842..22600000,22600090..22600236)) /locus_tag="BRARA_H02987" /codon_start=1 /product="hypothetical protein" /protein_id="RID52388.1" /db_xref="Phytozome:Brara.H02987" /translation="MSLPPFSCRILAAAVAFYLTGLLCLGAGEAPSKDAAAPKIPGCS NEYQMVKVENWVNGENGEDFSGMTAQFGAVLPFDKDKAVRLPVVLTTPLNSCANLTSK LSGSIALSVRGECTFTAKAQVAQAGGAAALVLINDKEELDEMACTEGEAPLTLTIPVL MITTSSGDALKKSLMANKKVELLLYAPKSPVVDYAVAFLWLMSVGTVFIASVWSHCTG PKENDDEYNELSPKSSVDGATKDATKDDDETLDISATGAVIFVISASTFLVLLFFFMS SWFILILTVFFCIGGMQGMHNIIYTLITMRCNKCDRKTVKVPLFGNVTILSLMVLLFC FVVAVVWFINRKTSYAWAGQDIFGICLMINVLQVARLPNIRVATILLCCAFFYDIFWV FLSPLIFKQSVMIAVARGSKDTGESIPMLLRFPRLSDPWGGYNMIGFGDILFPGLLIC FIFRYDRENNKGVVKGYFPWLMFGYGLGLFLTYLGLYLMNGHGQPALLYLVPCTLGIT VILGLVRKELRDLWNYGTQEPSASDVNPSPGA" CDS complement(join(22597478..22597584,22597657..22597811, 22597938..22598080,22598158..22598250,22598335..22598388, 22598465..22598621,22598716..22598750,22598829..22599014, 22599182..22599339,22599434..22599553,22599647..22599758, 22599842..22600000,22600090..22600236)) /locus_tag="BRARA_H02987" /codon_start=1 /product="hypothetical protein" /protein_id="RID52389.1" /db_xref="Phytozome:Brara.H02987" /translation="MSLPPFSCRILAAAVAFYLTGLLCLGAGEAPSKDAAAPKIPGCS NEYQMVKVENWVNGENGEDFSGMTAQFGAVLPFDKDKAVRLPVVLTTPLNSCANLTSK LSGSIALSVRGECTFTAKAQVAQAGGAAALVLINDKEELDEMACTEGEAPLTLTIPVL MITTSSGDALKKSLMANKKVELLLYAPKSPVVDYAVAFLWLMSVGTVFIASVWSHCTG PKENDDEYNELSPKKSSVDGATKDATKDDDETLDISATGAVIFVISASTFLVLLFFFM SSWFILILTVFFCIGGMQGMHNIIYTLITMRCNKCDRKTVKVPLFGNVTILSLMVLLF CFVVAVVWFINRKTSYAWAGQDIFGICLMINVLQVARLPNIRVATILLCCAFFYDIFW VFLSPLIFKQSVMIAVARGSKDTGESIPMLLRFPRLSDPWGGYNMIGFGDILFPGLLI CFIFRYDRENNKGVVKGYFPWLMFGYGLGLFLTYLGLYLMNGHGQPALLYLVPCTLGI TVILGLVRKELRDLWNYGTQEPSASDVNPSPGA" gene complement(22601831..22605971) /locus_tag="BRARA_H02988" /db_xref="Phytozome:Brara.H02988" mRNA complement(join(22601831..22602026,22602115..22602454, 22602548..22602756,22602832..22602929,22603001..22603122, 22603192..22603298,22603375..22603529,22603656..22603761, 22603872..22603963,22604050..22604171,22604252..22604352, 22604685..22604904,22605329..22605370,22605519..22605971)) /locus_tag="BRARA_H02988" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02988" mRNA complement(join(22601831..22602026,22602115..22602454, 22602548..22602756,22602832..22602929,22603001..22603122, 22603192..22603298,22603375..22603529,22603656..22603761, 22603872..22603963,22604050..22604171,22604252..22604352, 22604685..22604904,22605232..22605370,22605519..22605971)) /locus_tag="BRARA_H02988" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02988" CDS complement(join(22601945..22602026,22602115..22602454, 22602548..22602756,22602832..22602929,22603001..22603122, 22603192..22603298,22603375..22603529,22603656..22603761, 22603872..22603963,22604050..22604171,22604252..22604352, 22604685..22604904,22605232..22605370,22605519..22605779)) /locus_tag="BRARA_H02988" /codon_start=1 /product="hypothetical protein" /protein_id="RID52390.1" /db_xref="Phytozome:Brara.H02988" /translation="MWISRLKRVRKTIIVLGIANSAIIVSGGVLTLVSNSNCDSAAQL FPLYAVCVAACVKLAVMVKVGSTQELMAMTIMDSPTHYSLERKMKYKTWLWWTRFAMV ITLLQFIGASYLMFRVSTFVSPDGLPRHCVLGLSSDTRGWKQTLQVAFLITVCFVALA QCFTGSDILQWRSFYATQDDAWKAHYQEVFDHGIREVLCCLGRREYMGVIEEDEVCSV ARLLGDLVSYRASGTGHLEFLAGLALLQNNSQFPESHEDCIEAPAFHLQEAATLHKFA EAAYTGPLLDVGRNPALFLCTWICRQGILTPWSRKWRPKLDGDNWWRGHAAAFLKFID FPAHVLRRGRICSEKCKATYFVVVLHYLRCVVIAVRGTETAEDLITDGLGRACSLTAE DLEGLTNNIHVMDSSRTHYGHSGIVEAARDLFMQIEGDPKFGESESTGFLSSLIGDGC ECDGYSIRIVGHSLGGAIASLLGVRLRCRFPNLYVYAYGPLPCVDSDVAEACSEFVTS IVLDNEFSSRLSYGSIRRLQVAALKVLSQDPKADTALIFRLARRFLSASKRQRQNVVE GEEAIPSSIITVEDSAEVEVRQHDEEFINPFHELVASTDNPVTQFMETVPTRGGEDYD EEAPEMFLPGLVIHIVPEVNNMSVPIWRGWPICDVTSGGYKAYVAKRERFKELMVSPS MFLDHLPWRCRHAMHKVLESRNLFSDLTSEPDIVV" CDS complement(join(22601945..22602026,22602115..22602454, 22602548..22602756,22602832..22602929,22603001..22603122, 22603192..22603298,22603375..22603529,22603656..22603761, 22603872..22603963,22604050..22604171,22604252..22604352, 22604685..22604904,22605329..22605350)) /locus_tag="BRARA_H02988" /codon_start=1 /product="hypothetical protein" /protein_id="RID52391.1" /db_xref="Phytozome:Brara.H02988" /translation="MVDTVCNGLSSDTRGWKQTLQVAFLITVCFVALAQCFTGSDILQ WRSFYATQDDAWKAHYQEVFDHGIREVLCCLGRREYMGVIEEDEVCSVARLLGDLVSY RASGTGHLEFLAGLALLQNNSQFPESHEDCIEAPAFHLQEAATLHKFAEAAYTGPLLD VGRNPALFLCTWICRQGILTPWSRKWRPKLDGDNWWRGHAAAFLKFIDFPAHVLRRGR ICSEKCKATYFVVVLHYLRCVVIAVRGTETAEDLITDGLGRACSLTAEDLEGLTNNIH VMDSSRTHYGHSGIVEAARDLFMQIEGDPKFGESESTGFLSSLIGDGCECDGYSIRIV GHSLGGAIASLLGVRLRCRFPNLYVYAYGPLPCVDSDVAEACSEFVTSIVLDNEFSSR LSYGSIRRLQVAALKVLSQDPKADTALIFRLARRFLSASKRQRQNVVEGEEAIPSSII TVEDSAEVEVRQHDEEFINPFHELVASTDNPVTQFMETVPTRGGEDYDEEAPEMFLPG LVIHIVPEVNNMSVPIWRGWPICDVTSGGYKAYVAKRERFKELMVSPSMFLDHLPWRC RHAMHKVLESRNLFSDLTSEPDIVV" gene complement(<22606632..>22608110) /locus_tag="BRARA_H02989" /db_xref="Phytozome:Brara.H02989" mRNA complement(<22606632..>22608110) /locus_tag="BRARA_H02989" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02989" CDS complement(22606632..22608110) /locus_tag="BRARA_H02989" /codon_start=1 /product="hypothetical protein" /protein_id="RID52392.1" /db_xref="Phytozome:Brara.H02989" /translation="MAILPAVGIPSPAPPQQIPFLPRNNHTNSKTTSETTVSWTSRIT LLSRNGRLAEAAKEFTAMRLAGVEPNHITFIALLSGCGDCEDLGVSLHGYACKLGLDR NHVMVGTAIIGMYSKRRRFRKARLVFDRIEDKNSVTWNTMIDGYMRSGRVDDAAKVFD EMPERDLISWTAMINGFVKKGLHEEALAWFREMQVSGVKPDYVAVISALAACTSLGAL SFGLWVHRFVVSQDFKNNVRVSNSLIDLYCRCGCVEFARQVFDEMEKRTVVSWNSVIV GFAANGHAHESLVYFRRMQEERFKPDAVTFTGALTACSHVGLVAEGVGYFEAMKRDYR ISPRIEHYGCLVDLYSRAGRLEDALKVVESMPMKPNEVVIGSLLAACRTHGNDTELAE RMMKRLSELKVKGHSNYVILSNMYAADGQWEGASKMRRKMKGLGLKKQPGFSSIEIDD RTHVFMAGDSAHVENGYIREVLELISSDSRLQCSVVETLGGD" gene 22608304..22609888 /locus_tag="BRARA_H02990" /db_xref="Phytozome:Brara.H02990" mRNA join(22608304..22608502,22608809..22608867, 22608998..22609179,22609600..22609888) /locus_tag="BRARA_H02990" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02990" CDS join(22608313..22608502,22608809..22608867, 22608998..22609179,22609600..22609672) /locus_tag="BRARA_H02990" /codon_start=1 /product="hypothetical protein" /protein_id="RID52393.1" /db_xref="Phytozome:Brara.H02990" /translation="MRKREMEMARAWSKMMMTVMILMLTSTISAKEQLSTKECEDLGF TGLALCSDCHSLSEYVKDQELVSECLKCCADDSEDSMSKVTYSGAILEVCMRKLVFYP EIVGFIEEEKQNFPTLKVEYVFNSPPKLIMLDGDDERKETIRIDNWKREHLLQYMREK VKPTSSS" gene complement(22609972..22612134) /locus_tag="BRARA_H02991" /db_xref="Phytozome:Brara.H02991" mRNA complement(join(22609972..22610380,22610464..22610508, 22610602..22610667,22610746..22610808,22610893..22611015, 22611707..22612134)) /locus_tag="BRARA_H02991" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02991" mRNA complement(join(22609972..22610380,22610464..22610508, 22610602..22610667,22610746..22610811,22610893..22611015, 22611707..22612134)) /locus_tag="BRARA_H02991" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02991" CDS complement(join(22610219..22610380,22610464..22610508, 22610602..22610667,22610746..22610808,22610893..22611003)) /locus_tag="BRARA_H02991" /codon_start=1 /product="hypothetical protein" /protein_id="RID52395.1" /db_xref="Phytozome:Brara.H02991" /translation="MEFSREAGMMMENKQNVCSLEENSIKRHKSDLSFSSKRKDKAGD RISALQQIVSPYGKTDTGSVLQDALHYIEFLHEQVKVLSAPYLQTMTAATQEELEQYS LRNRGLCLVPMEYTAGVAQSNGADIWAPVKTPPSPAFGVKSQSPFR" CDS complement(join(22610219..22610380,22610464..22610508, 22610602..22610667,22610746..22610811,22610893..22611003)) /locus_tag="BRARA_H02991" /codon_start=1 /product="hypothetical protein" /protein_id="RID52394.1" /db_xref="Phytozome:Brara.H02991" /translation="MEFSREAGMMMENKQNVCSLEENSIKRHKSDLSFSSKQRKDKAG DRISALQQIVSPYGKTDTGSVLQDALHYIEFLHEQVKVLSAPYLQTMTAATQEELEQY SLRNRGLCLVPMEYTAGVAQSNGADIWAPVKTPPSPAFGVKSQSPFR" gene complement(<22613759..>22617517) /locus_tag="BRARA_H02992" /db_xref="Phytozome:Brara.H02992" mRNA complement(join(<22613759..22614098,22614268..22614647, 22614940..22615163,22615235..22615473,22615543..22615614, 22615844..22615982,22616062..22616537,22616808..22617345, 22617439..>22617517)) /locus_tag="BRARA_H02992" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02992" CDS complement(join(22613759..22614098,22614268..22614647, 22614940..22615163,22615235..22615473,22615543..22615614, 22615844..22615982,22616062..22616537,22616808..22617345, 22617439..22617517)) /locus_tag="BRARA_H02992" /codon_start=1 /product="hypothetical protein" /protein_id="RID52396.1" /db_xref="Phytozome:Brara.H02992" /translation="MENVRFPLLVYLALCLVISVLSQDQSGFISIDCGIPSGSSYTDD TTGINYVSDSSFVETGVSKPVSFPAQRQLQNLMSFPEGSRNCYTLIPKQGKGKKYLIR ASFMYGNYDGEVSSPEFDLFLGGNLWTNLSFNNTPLPVTKEVVYLSQSEKIFVCLGNK GKGTPFISTLELRFLGNDNTTYDSPNGALFFSRRWNFGSLSDSSIRYSEDVYDRIWLP RKLGAENKEINTSLPVTSSNNSYNPPGLLMSTALTPTNTTAPISMELADTDPTVRYFV YMHFAEVEDLSLTPNQTREFEIYINQVKIAHVSPRYLQTDTFFLKPESQTNIKFLLVR TPTSTLPPLINALEIYVGNTYSQSFTSQDDDDAVTSIKMSYKVKRNWQGDPCLPNSYI WEGLNCSYASLAPPRITLLDLSNNDLTGDIPESLSKLKFLRVLNLEKNKLTGSVPSDL LKRSKSGSLLLKVGDNPGLCTEISCVKSNKKTLITAVGASLAALFILLLLSGVFWKIK KRSNKPVEQDTDSETRNRPKADSNTLLLTFSDIVKMTNNFCRVLGKGGFGTVYHGYYN DLQVAVKLLSESSAQGFKEFRSEVEVLVRVHHVNLTALIGYFHESNKMGLVYEFMANG NMADRLSGKCDHTLSWTQRLQIAHDAAQGLEYLHSGCKPAIVHRDVKTSNILLNENNR AKLADFGLSRSFQTESRSHVSTLVAGTPGYLDPLCFKTNELNEKSDIYSFGVVLLEMI TGKAVISESETKRVHVSDWVISILKSTNDVSNVVDAKMRGDFDANSVWKIVELALASV SQNVVERPNMQQIVRGVKECLQREESNKNH" gene complement(22617904..22619920) /locus_tag="BRARA_H02993" /db_xref="Phytozome:Brara.H02993" mRNA complement(join(22617904..22618238,22618310..22619058, 22619135..22619413,22619725..22619920)) /locus_tag="BRARA_H02993" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02993" CDS complement(join(22618106..22618238,22618310..22619058, 22619135..22619362)) /locus_tag="BRARA_H02993" /codon_start=1 /product="hypothetical protein" /protein_id="RID52397.1" /db_xref="Phytozome:Brara.H02993" /translation="MASSEVSTCAKNIPKPPPLPRVTYQASRSKPSSSSSRLVPQETV ETWDKLFKEGTGADTYVEVDNKSHFLAHSYILAAASPVMPLLLNQSRDKKGNSHLKFL GVPQEAVYMFIRFLYSCSYEEEDMKKYVLPLLVLSHCYSVPSLKRVCVEVLGQGWINK ENVIDVLQLARTCDAARISFLCVSMVIRDFKSVSSTEGWKVMTRGDRKLEQELLEAVV EADARRKERRKKVEERRMYLELYEAVEALVHIYREGCGTIGPRGKALKGSKAVCEFSA CKGVEGGLRHFLGCKSARASCGHCKRMWQLIQLHSCLCGSSDSCEVPLCRNFKEQMKK ISKREESKWRLLAENVTTAKRSLGPFSSSSRSALI" gene 22622917..22624808 /locus_tag="BRARA_H02994" /db_xref="Phytozome:Brara.H02994" mRNA join(22622917..22623589,22623930..22624808) /locus_tag="BRARA_H02994" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02994" CDS join(22622945..22623589,22623930..22624646) /locus_tag="BRARA_H02994" /codon_start=1 /product="hypothetical protein" /protein_id="RID52398.1" /db_xref="Phytozome:Brara.H02994" /translation="MREGSHVIVLPFPGQGHITPLSQFCKRLASKGLKPTLILVSDKP TPPYKIDNDSITVFPISNGFQEGEDPLQDLDDYMERVETSIKKRLPELIQDMKLSGNI PKALVYDSTMPWLLDVAHDHGLRGASFFTQPWLVSAIYYHVFKGSFTVPSTKYGHSTL ASFPSFPMLNANDLPSFLCESSSYPNILRVVVDQLSNIDRVDIVLCNTFDKLEEKLLK WVKSMWPVLNVGPTLPSMYLDKRLSEDKNYGFSLFTAKSTECIEWLNSKQPSSVVYVS FGSLVILNEDQMMELATGLKQSGCFFLWVVRETETDKIPKNYVEEIGEKGLIVSWSPQ LEVLAHESVGSFLTHCGWNSTLEGLSLGVPMIGMPHWTDQPTNAKFVEDVWKVGVRVK AEGDGFVRREEIVRCVVEVMEGEKGKEIRKNAEKWKMLVKEAVSEGGSSDKSIDEFVS IVC" gene 22626839..22629177 /locus_tag="BRARA_H02995" /db_xref="Phytozome:Brara.H02995" mRNA join(22626839..22627305,22627824..22628113, 22628336..22628564,22628666..22629177) /locus_tag="BRARA_H02995" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02995" CDS join(22626856..22627305,22627824..22628113, 22628336..22628564,22628666..22628893) /locus_tag="BRARA_H02995" /codon_start=1 /product="hypothetical protein" /protein_id="RID52399.1" /db_xref="Phytozome:Brara.H02995" /translation="MTKSNITLPLFFTLLFTLLTFIDVSTGAANVFNVVSFGAKPDGV TDSTGAFLKAWQAACGSVAAATVMVPIGTFMVKGITFGGPCKSRLKFQLAGTVVAPPD YRAFGNSGYWILFNKVSKISLIGGTFDARANGFWACRKSGQSCPPGVRSITFNSGKDV IISGVKSMNSQVTHMTLNGCTNVAVRNVKLVAPGNSPNTDGFHVQFSTGVTFTGSTVQ TGDDCVAIGAGTRNFLISKLACGPGHGVSIGSLAKELNEDGVENVTLSSSVFTGTQNG VRIKSWARPSNGFVKNVFFQNLIMKNVQNPIIIDQNYCPSHKGCPTEHSGVKISQVTY KNIQGTSATQQAMKLVCSQSNPCTGITLQDIKLTYKKGTPATSYCFNALGTSLGVIQP TSCLNR" gene 22629699..22632923 /locus_tag="BRARA_H02996" /db_xref="Phytozome:Brara.H02996" mRNA join(22629699..22630646,22630760..22631169, 22631513..22632923) /locus_tag="BRARA_H02996" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02996" CDS join(22630088..22630646,22630760..22631169, 22631513..22632382) /locus_tag="BRARA_H02996" /codon_start=1 /product="hypothetical protein" /protein_id="RID52401.1" /db_xref="Phytozome:Brara.H02996" /translation="MGSLPPVMENQHSFLGNSMEVQESLRCVGAENHPRKGRPLEKQV SFQHGTEGRAMERQRSLRGFVEKQKSFRVVIERQLSFMNGEKKMKKNESPGKRGDSPL HIAARTGNLGKVLESIRSCNGAEELKELLSKQNLEGETPLYTAADNGHSLVVEEMLKH MNLETASIAARNGFDPFHVAAKQGHLETLKKLLETFPNLAMTTDLLCTTALHTAATQG HIDVVNLLLKTDSHLAKIAKNNGKTALHSAARMGHVEVVKSLIGNDASIGFRTDKKGQ TALHMAVKGKQEGIVLELVRPDPKVLSVEDNKGNTPLHIATKKGRTKIVRCLVSFDGI NLNALNKAGDTALDIAEKIGNAELVLVLKEAGAATAKDLGKPQNPAKQLKQTVSDIRH EVQSQLQQSRQTGARVQRIAKRLKKLHINGLNNAINSATVVAVLIATVAFAAIFTIPG QYEEDRTNGTLVLGEARIANEAPFLVFFIFDSLALFISLAVVVVQTSVVVIEQKAKKK LVFVINKLMWLACLFISIAFVSLSFIVVGKEDMWLAICATVIGGTIMLTTIGAMCYCV VMHRIEECKLKQMRKERSKSRSFSLSHMPSESEILNGELNKRMYAL" gene complement(22631511..22637941) /locus_tag="BRARA_H02997" /db_xref="Phytozome:Brara.H02997" mRNA complement(join(22631511..22632923,22633229..22633713, 22633801..22634068,22634140..22634180,22634283..22634448, 22634599..22634683,22634796..22634920,22635006..22635813, 22636118..22636370,22636889..22637941)) /locus_tag="BRARA_H02997" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02997" CDS complement(join(22632561..22632923,22633229..22633713, 22633801..22634068,22634140..22634180,22634283..22634448, 22634599..22634683,22634796..22634920,22635006..22635813, 22636118..22636370,22636889..22637720)) /locus_tag="BRARA_H02997" /codon_start=1 /product="hypothetical protein" /protein_id="RID52400.1" /db_xref="Phytozome:Brara.H02997" /translation="MDAPVIEEEDEEALASLVPFPPRRKMHSYSVQLDQFDQKPHHQI RKHSLDEVPRSTTLGNDAVYFDSSDEEFSTGGAIAIANASGETVSDGGEDYAVVAPPP NAGVGEDAVEPLPEFIGAGGGAGIFKVPVRAAVHPGRPPCLELRPHPLRETQTGKFLR NIACTETQLWAGQENGVRVWNMEEVYDAGCGIGGQVQRGDEDTAPFHESVATAPTLCL VADQSNKLLWSGHKDGKIRAWKMDQEQPCEASDDSEPFKERISWLAHRGPVNSIVISS YGDMWSCSEGGVIKIWPWDSLEKSLLIKPAEKHMAALLVERSAIDLRTQVTVNGTCSI SSSEVKFLLTDSVRAKVWAVQSLSFSIWDARSKDLLKVLNVDGQVECRVDTPPTQDQH GDDETKLKFFSASKKEKPQGFLQRSRNAIMGAAGAVRRVATRSAGGFAEDTRKTEAIV LAVDGTIWTGNMSGQIVQWDGNGSRLKDVNHHHKPVLCFCSFGDRIYVGYASGYIQVL DFDGKLMASWVSHNEPVIKLAAGGGFIFSLATHGGVRGWYVTSPGPLDNIIRTELSQK EALYARQDNVRILIGTWNVGQGRATHGALMSWLGSVASDVGIVVIGLQEVDMGAGFLA MSAAKETVGLEGSVVGQWWIDAIGKALDEKNTFERMGSRQLAGLLISLWARKEIRTHV GDLDVAAVPCGFGRAIGNKGGVGLRIRVYDRIMCFVNCHLAAHLEAVNRRNADFNHIF RLMVFSKGHNLSNTAAAGVSTTAYTLKTTTNPTTGTEEVKSDLAAADLIAFFGDFNYR LYGITYDEARDFISQRSFDWLRERDQLRQEMKAGKVFQGMREALITFPPTYKFEKNRP GLGGYDSGEKKRIPAWCDRVIYRDTQASPFSESNLQCPVVSSVIMYEACMDVTESDHK PVRCKFHATIAHVDKSVRRQELGNIIRTNEKIKSIFEDLKFVPETSVSTNSIVLQSQD TVVLTITNTSTTNKAMFSIICGGTTFVKDDGEESDYTPRGSFGLPRWLEVTPAAGIIS PEGTVDVKVHHEDFQTMEECVDGIPQSWWCEDTRDKEAILMVNIRGSCSTTMTSHSVK VRHCYSARVCLLESRPKNLTKHLGGSRRHPTDKSRHGSTRPRTEDSVSRGKSH" gene complement(22638370..22640753) /locus_tag="BRARA_H02998" /db_xref="Phytozome:Brara.H02998" mRNA complement(join(22638370..22638637,22638747..22638882, 22638982..22639089,22639275..22639371,22639488..22639592, 22639677..22639862,22640032..22640091,22640174..22640289, 22640542..22640753)) /locus_tag="BRARA_H02998" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02998" CDS complement(join(22638529..22638637,22638747..22638882, 22638982..22639089,22639275..22639371,22639488..22639592, 22639677..22639862,22640032..22640091,22640174..22640289, 22640542..22640593)) /locus_tag="BRARA_H02998" /codon_start=1 /product="hypothetical protein" /protein_id="RID52402.1" /db_xref="Phytozome:Brara.H02998" /translation="MATGDRKKIIIDTDPGIDDAMAIFVALKSPEVDVIGLTTIYGNV YTALATRNALHLLEVAGRTDIPVAEGTHKTILNGTKLRVADFVHGKDGLGNQNFPPPE GKPIEKSAPEFLVEQAKLYPGEITVVALGPLTNIALAVQLDPEFSKNVGQIVLLGGSF AVNGNVNPASEANIFGDPEAADIVFTCGADIIAVGINVTHQVVMTADDRDKLASSNGK LGQYLCKILDLYYSYHLDAYEIKGVYLHDPTTIIAAFLPSLFTYTEGVVRVQTDGITR GLTLLYNNQKRFEEETEWSDKPSVKVAVTVDAPAVLKLIMDRLMES" gene 22644063..22645642 /locus_tag="BRARA_H02999" /db_xref="Phytozome:Brara.H02999" mRNA join(22644063..22644212,22644397..22645121, 22645211..22645642) /locus_tag="BRARA_H02999" /product="hypothetical protein" /db_xref="Phytozome:Brara.H02999" CDS join(22644159..22644212,22644397..22645121, 22645211..22645520) /locus_tag="BRARA_H02999" /codon_start=1 /product="hypothetical protein" /protein_id="RID52403.1" /db_xref="Phytozome:Brara.H02999" /translation="MSQLLFRLKLSSRNKNVFKQKSVRLFSTSPCLSLCCVWDDALGL VEGGSYIGKVLFFDPAKNEILQVPDKTIPQELLNSKPIGASQGWGFSSEQSNHNSLHI TDLFNPLAASKSNTKMIPLPPLTSMLYGQTKVVWNVAMSSSSPHEEDCVVAIKFFGRQ LSMCKPGRDLAWTNRLIPFDRAENSNLMYSKRDQRFYLPAPGGNYLCSWDLHFDNDPK FNELVFPNFPNLPQSTWEDLDSCIREDHWVESPSGQSFLVKRYSRVGSKAPMVMVLVF REEDQVTAKGTRNMCYTQDIGDLCIFLSKNDPFCVVASSCPGLKPNSIYMMDHCVSIC ELANGTVTCFELPKMMPFSPFWIPPCSV" gene complement(<22646047..>22647408) /locus_tag="BRARA_H03000" /db_xref="Phytozome:Brara.H03000" mRNA complement(join(<22646047..22646368,22646459..22647141, 22647352..>22647408)) /locus_tag="BRARA_H03000" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03000" CDS complement(join(22646047..22646368,22646459..22647141, 22647352..22647408)) /locus_tag="BRARA_H03000" /codon_start=1 /product="hypothetical protein" /protein_id="RID52404.1" /db_xref="Phytozome:Brara.H03000" /translation="MSRRIIRLSKLSSRNNNVFIQKCVRFFSTGPYLTLGCNTGDVLL FDPAKEQIVSLRGKTIPKELKDEEMIGASHGWGFFYDRRDLSVRVSDIFNPLASKSSP TVIHLPRLTDLPSEQIEQVCNVAMSSPSPLGDEDCVVAIKFSGDQLSLCRPGRDLEWT NTSTPSHCCENSNVMYSKRYKRFYLSAPGGIHFFSYHLNDENIEHHKLVYRDHPELDQ SEWKTLSMCSRREYLVESPSSDERYLVKWYAHGSYSSVLNGIDYKTKRFMVFSEEETA EGKFMCYTEDIGEMCIFIASNEAFCIPASSCPGLKPSTIYFMGHGFGSYDLTTGDTHH YKAPGGVITTPCWIPLVSI" gene 22648149..22652426 /locus_tag="BRARA_H03001" /db_xref="Phytozome:Brara.H03001" mRNA join(22648149..22648925,22648989..22649162, 22649459..22649620,22649841..22649983,22650080..22650155, 22650247..22650419,22650499..22650580,22651038..22651115, 22651213..22651374,22651474..22651737,22651821..22651925, 22652035..22652426) /locus_tag="BRARA_H03001" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03001" CDS join(22648188..22648925,22648989..22649162, 22649459..22649620,22649841..22649983,22650080..22650155, 22650247..22650419,22650499..22650580,22651038..22651115, 22651213..22651374,22651474..22651737,22651821..22651925, 22652035..22652181) /locus_tag="BRARA_H03001" /codon_start=1 /product="hypothetical protein" /protein_id="RID52405.1" /db_xref="Phytozome:Brara.H03001" /translation="MAEMESLDPEGIDSVRMTWNVWPRNKVEASKCVVPVAACISPIR YHRDIQSVPYAPLRCRTCSAALNPFARVDFSAKIWICPICFQRNHFPPHYHVISETNL PCELYPQYTTVEYSMPGPSQPTGPGNLDQSGAVVFVFVLDTCVIEEEFEYAKCAVRRA VGLLPENALVGFVTFGTQAHVHELGYSDLTKVYVFRGDKEISKDQVLEQLGLGASGRR TGFPVGRDGSGVSRFLLPASECEFTIDSLLDELQTDQWPVQGGRRQSRCTGVALSVAT GLLGACLPGTGARIVALVGGPCSEGPGTIVSKDLSEPLRSHKDLDKDAAPFYKKAEKF YDGLANQLVNQGHVLDLFASALDQVGVAEMKAAVERTGGLVVLSESFGHSVFKDSFKR VFEAGEQSLGLCFNGILEINCSKDIKIQGIIGPCASLQKKGPSVADTVVGEGNTTAWK MCGLDKSTCLTVFFDLSSSDQSTNPGAVNNQLYIQFMTSYQNPEGKSLLRVTTVTRQW VDTALSTEELVQGFDQETAAVVVARLASFKMETEEGFDATRWLDRNLIRLCSKFGDYR KDDPASFTLNQNFSLFPQFTFNLRRSQFVQVFNNSPDETAYNRMLLNRENISNAAVMI QPSLTTYSFSTLPQPALLDVASIAADRILLLDSYISVVIFHGMTIAQWRNLGYQNQPE HQAFAQLLEAPQEDAQMIIRERFPVPRLVVCDQHGSQARFLLAKLNPSATYNNPSEMN AGSDVIFTDDVSLQVFFQHLQKLAVQS" gene complement(22652561..>22656324) /locus_tag="BRARA_H03002" /db_xref="Phytozome:Brara.H03002" mRNA complement(join(22652561..22652967,22653059..22653172, 22653256..22653537,22653607..22653837,22653919..22653992, 22655072..22655173,22655581..22655701,22655811..22656128, 22656220..>22656324)) /locus_tag="BRARA_H03002" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03002" CDS complement(join(22652821..22652967,22653059..22653172, 22653256..22653537,22653607..22653837,22653919..22653992, 22655072..22655173,22655581..22655701,22655811..22656128, 22656220..22656324)) /locus_tag="BRARA_H03002" /codon_start=1 /product="hypothetical protein" /protein_id="RID52406.1" /db_xref="Phytozome:Brara.H03002" /translation="MGFVVGLVIGLAVGITFIIGFVKAENYRSKLRAELANTVAAFAR MTVEDSRKLLPAEFYPSWVVFSERQKLSLLRKILPLLTKILPLFRKILPLLRKILPFL TNLVITLHTADTSLIWRFVYFQLTWLNHHLTKIWPYVDEAASELIRASVEPVLEQYRP AVVASLTFSKLTLGTVAPQFTGVSIVEGDENGMTMELDMNWDGNPNIVLGIKTLVVGK SDPFAKMFIRPLREKTQRSKTINNDLNPIWNEHFEFVVEDASTQHLVVRIYDDEGVQA SELIGCAQIRLCELEPGKVKDVWLKLVKDLEIQRDNKNRGEVHLELLYVPFGAGSNGI VNPFASSSMTSLERVLKNDTTDEENASSRKRKDVIVRGVLSVTVISAEEIPIQDMMGK ADPYVVLSMKKSGAKSKTRVVNDSLNPVWNQTFDFVVEDGLHDMLVLEVWDHDTFGKD YIGRCILTLTRVIMEEEYKDWFALDESKAGKLQLHLKWMPQSIYRDS" gene 22662936..22667330 /locus_tag="BRARA_H03003" /db_xref="Phytozome:Brara.H03003" mRNA join(22662936..22663490,22663626..22663716, 22663926..22663997,22664074..22664161,22664287..22664391, 22664464..22665232,22665315..22665508,22665595..22665703, 22665779..22665927,22666000..22666235,22666697..22666769, 22666852..22667000,22667122..22667330) /locus_tag="BRARA_H03003" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03003" CDS join(22663461..22663490,22663626..22663716, 22663926..22663997,22664074..22664161,22664287..22664391, 22664464..22665232,22665315..22665508,22665595..22665703, 22665779..22665927,22666000..22666222) /locus_tag="BRARA_H03003" /codon_start=1 /product="hypothetical protein" /protein_id="RID52407.1" /db_xref="Phytozome:Brara.H03003" /translation="MREDKSKTNKLAWSMKMVRKWFNIKSKTEEFQADVPAPSAEVEV EHRSSFSAEKAPSTIKKTKTEKLSKNWEQQARQRRMNYENPRIVDVQNYSIFTATWNV AGRSPPSDLNLDEWLHSSAPADIYVLGFQEIVPLNAGNVLGAEDNGPAQKWLSLIRKT LNNRPGTSGASGYHTPSPLPVPMAELDADFSGSTRQKNSTFFHRRSFQTPSSTWNDPS VSQPGLDRRFSVCDRVFFSHRPSDFDPSFRGSSSSSSSHRPSDYSRRPSDYSRRPSDY SRPSDYSNRPSDYYSRPSDYSRPSDFSRSSDDDNVTGDSPSTVLYSPGSTANENGYRM PWNTSQYCLVASKQMVGVFLTIWVKSELREHVKNMKVSCVGRGLMGYLGNKGSISISM LLHQTSFCFVCTHLTSGQKEGDELKRNSDVMEILKKTRFPRVKSSEDEKSPENILQHD RVIWLGDLNYRIALTYRSAKALVEMQNWRALLENDQLRIEQKRGHVFKGWNEGKIYFP PTYKYSRNSDRYSGDDLHPKEKRRTPAWCDRILWYGEGLHQLSYVRGESRFSDHRPVY GIFCAEVESAHNKLKRTMSCSASRVQAEELFPYSRGYTELSFF" gene 22674995..>22676552 /locus_tag="BRARA_H03004" /db_xref="Phytozome:Brara.H03004" mRNA join(22674995..22675433,22676195..>22676552) /locus_tag="BRARA_H03004" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03004" CDS join(22675030..22675433,22676195..>22676552) /locus_tag="BRARA_H03004" /codon_start=1 /product="hypothetical protein" /protein_id="RID52408.1" /db_xref="Phytozome:Brara.H03004" /translation="MEMCLFQRTSKTHSSSSSSTISSRMINCVLLSVFASLVYLLVSL SRFQSKDTIDAYFLSSQDQSQSPTEIDHIVFGIGSSIKSWPARREYVRLWWDAQRMRG CVFVDRPLTSLVNDTDSHLLPPICVSEDTSRFRYTWRKGDRNAIRIARCVLETVRMFN TSSEEVRWYVFGDDDTIFIHENLAKTLSKYDHTSWYYIGASSEIYHQNSLFGHDMAFG GGGIAISSSLANVLAKNFDSCIERYPHLYGGDSRVH" gene 22679084..22681430 /locus_tag="BRARA_H03005" /db_xref="Phytozome:Brara.H03005" mRNA join(22679084..22679496,22679600..22679647, 22679748..22679807,22680128..22680211,22680284..22680388, 22680510..22680623,22680723..22680823,22680930..22681041, 22681125..22681430) /locus_tag="BRARA_H03005" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03005" CDS join(22679155..22679496,22679600..22679647, 22679748..22679807,22680128..22680211,22680284..22680388, 22680510..22680623,22680723..22680823,22680930..22681041, 22681125..22681253) /locus_tag="BRARA_H03005" /codon_start=1 /product="hypothetical protein" /protein_id="RID52409.1" /db_xref="Phytozome:Brara.H03005" /translation="MSTEPEVVHSGGEDFVHIEEDSRLTGDISLSDSIVNVEKEDAVE EEEEYKDSDSVVSGGDGIEGVADGGEGSSEATKAELPEELAKSVVMLTCESTGGSGSC DVYLIGTAHVSKESCREVQAVISILKPEAVFVELCSSRLSILKPQTLKIPTMSDMIES WKQKQNTFGILYGWFLAKIASQLEVLPGAEFRVAYEEALKYGGKVILGDRPVQITLKR TWAKMPLWHKVKFLYSLLFQAVFLPSAEELDKMLKEMDNVDMLTLVIQEMSKEFPSLM DTLVHERDQYMASSLLRVASEHSSVVAVIGRGHINGIKKNWQQPITMKDLMEIPSDDS VFTVKRIISSVAIAVTGTAIVTGILLARRR" gene 22682442..22683913 /locus_tag="BRARA_H03006" /db_xref="Phytozome:Brara.H03006" mRNA join(22682442..22682706,22682828..22683013, 22683127..22683286,22683383..22683913) /locus_tag="BRARA_H03006" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03006" CDS join(22682461..22682706,22682828..22683013, 22683127..22683286,22683383..22683789) /locus_tag="BRARA_H03006" /codon_start=1 /product="hypothetical protein" /protein_id="RID52410.1" /db_xref="Phytozome:Brara.H03006" /translation="MALKNLLALVALLALVGVSIAKPYGNPLGNPRVKGDLDLDYYRF KCPQVESIVRRVTFQYVSRRPTLAAALLRMHFHDCFVRGCDGSVLLKSPNNDAERDAP PNLTLRGWEVVDAVKSVLERKCPGVVSCADVLALVARDAVAVIRGPWWPVPLGRRDGR ISRLSEANLPSPFADVKTLKNNFRVKGLNSKDLVVLSGAHTIGVSSCGLISSRIHNFT GRGDFDPAMNPSYVRTLKKRCKPTDVRTPVDMDPGSARRFDSHYFNIVAQKKGLFISD SALLNDFVTKSYIQTQVVTRGASFAKDFSDSMVKLGFIQILTGRKGEIRRKCAFVN" gene 22685004..22686788 /locus_tag="BRARA_H03007" /db_xref="Phytozome:Brara.H03007" mRNA join(22685004..22685301,22685412..22685603, 22685708..22685867,22686217..22686788) /locus_tag="BRARA_H03007" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03007" CDS join(22685038..22685301,22685412..22685603, 22685708..22685867,22686217..22686623) /locus_tag="BRARA_H03007" /codon_start=1 /product="hypothetical protein" /protein_id="RID52411.1" /db_xref="Phytozome:Brara.H03007" /translation="MALKNLLALVVLLALVGVSVAKPYGNPLRNQNGHRNPRATGDLD LDYYRSTCPQLESIVRRVTFQYVSRRPTLAAALLRMYFHDCFVRGCDGSILLKSPNKD AERDAIPNLSVRGYEVVDAVKSSLERTWGCRGVVSCADILALVARDAVAVIGGPWWPV PLGRRDGRISKLSEVNLPSPFADVKTLKKNFMDKGLNSKDLVVLSGAHTIGVSSCGLI NNRIHNFTGKGDFDPAMNPSYVRTLKKRCKPTDVKTPVDMDPGSARKFDSHYYNIVAQ KKGLFTSDATLLDDIDTSLYIQAQVVTRGASFARDFSDSMVKLGFVEILTGKQGEIRR RCAFVN" gene complement(22687258..22688518) /locus_tag="BRARA_H03008" /db_xref="Phytozome:Brara.H03008" mRNA complement(join(22687258..22687585,22688147..22688518)) /locus_tag="BRARA_H03008" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03008" CDS complement(join(22687403..22687585,22688147..22688470)) /locus_tag="BRARA_H03008" /codon_start=1 /product="hypothetical protein" /protein_id="RID52412.1" /db_xref="Phytozome:Brara.H03008" /translation="MGAFGKLIDAILFLYFALMVFIPPLFDAQTVLPKQIYPAILTDL NRNYIADFGDYLLAEEPHFLVGLIWHELVLLWPLSIANVYAILAGKSWFGTTCLLYGA SVVTSMSAVLGEMLGSGKASEKLLMMYVPFMGIGILATLRGLVSRSTKSTGSVDKRSA VKPRRKLA" gene 22693613..22696739 /locus_tag="BRARA_H03009" /db_xref="Phytozome:Brara.H03009" mRNA join(22693613..22693871,22693960..22694123, 22694308..22694418,22694532..22694611,22694690..22694840, 22694921..22695014,22695086..22695174,22695246..22695319, 22695400..22695562,22695694..22695779,22695977..22696060, 22696137..22696317,22696427..22696739) /locus_tag="BRARA_H03009" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03009" CDS join(22693667..22693871,22693960..22694123, 22694308..22694418,22694532..22694611,22694690..22694840, 22694921..22695014,22695086..22695174,22695246..22695319, 22695400..22695562,22695694..22695779,22695977..22696060, 22696137..22696317,22696427..22696510) /locus_tag="BRARA_H03009" /codon_start=1 /product="hypothetical protein" /protein_id="RID52413.1" /db_xref="Phytozome:Brara.H03009" /translation="MSKSKYDRQLRIWGEVGQGALEEASICLLNCGPTGSEALKNLVL GGVGSITIVDGSKVQLGDLGNNFMVDERSVGQSKAKSTCAFLQELNDSVKAKFIEESP DTLIVTNPSFFSQFTLVIATQLVEDSMVKLDRICREADVKVVFVRSYGLAGLVRVSIK EHTIIDSKPDHFLDDLRLNNPWPELNSFVETIDLNVSDHVAHKHIPYVVILVKMADEW TKSHSGNLPSTREEKKEFKDLIKSKMISMDEDNYKEAIEAAFKVFAPRGISSEIQQIC NDTCAEPSSNSSDFWVMVAALKEFVSNEGDGEAPLEGSIPDMTSSTEHYINLQKIYLA KAEADFLVMEKRVKNILKRIGRDPSSISKPTIKSFCKNARKLKVCRYRKVEDEFSNPS VTEIQKCLADEDYSGAMGFYVLLRSVDRFTANYNKFPGQFDGGMDEDISRLKTTALSL LADLGCNGSVLPDDLINEMCRFGASELHVVAAFVGGIASQEVIKLVTKQFVPMLGTYI FNGIDHKSQLLTL" gene complement(<22697903..>22698659) /locus_tag="BRARA_H03010" /db_xref="Phytozome:Brara.H03010" mRNA complement(join(<22697903..22698016,22698570..>22698659)) /locus_tag="BRARA_H03010" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03010" CDS complement(join(22697903..22698016,22698570..22698659)) /locus_tag="BRARA_H03010" /codon_start=1 /product="hypothetical protein" /protein_id="RID52414.1" /db_xref="Phytozome:Brara.H03010" /translation="MDPHACYVLRVRGRWAIGGNTFNEIFVFFKFLFNNGLFSFAFLV GDGNITPMIPVYGHGKLNLRTKQ" gene 22698766..22700001 /locus_tag="BRARA_H03011" /db_xref="Phytozome:Brara.H03011" mRNA 22698766..22700001 /locus_tag="BRARA_H03011" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03011" CDS 22698800..22699807 /locus_tag="BRARA_H03011" /codon_start=1 /product="hypothetical protein" /protein_id="RID52415.1" /db_xref="Phytozome:Brara.H03011" /translation="MKLKSVTCLILSLIFLHLGVECVLGDRSVVDPARYRGDDCRWGR RCGGRGRFGRGGGLGGGGGGGFGGGGGRGGGGGIGGGAGHGGGFGAGGGVGGGAGGGI GGGGGAGGGGGGGIGGGSGHGGGFGAGGGVGGGAGGGIGGGGGAGGGGGGGIGGGSGH GGGFGAGGGVGGGAGGGVGGGGGAGGGGGGGVGGGSGHGGGFGAGGGVGGGGGLGGGG GGGGGGGGGGAGGGSGHGGGFGAGGGVGGGAGGGVGGGGGFGGGGGGGVGGGSGHGGG FGAGGGVGHGGGAGGGAGGGHGIGHGGGGGFGIGIGIGVGVGGGSGKGSGSGSGGGGH R" gene 22701421..22705571 /locus_tag="BRARA_H03012" /db_xref="Phytozome:Brara.H03012" mRNA join(22701421..22701515,22701699..22701779, 22701932..22702890,22702972..22703094,22703171..22703244, 22703323..22703386,22703475..22703627,22703712..22703797, 22703877..22703946,22704058..22704180,22704279..22704509, 22704594..22704698,22704773..22704925,22704996..22705084, 22705172..22705252,22705350..22705571) /locus_tag="BRARA_H03012" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03012" CDS join(22701470..22701515,22701699..22701779, 22701932..22702890,22702972..22703094,22703171..22703244, 22703323..22703386,22703475..22703627,22703712..22703797, 22703877..22703946,22704058..22704180,22704279..22704509, 22704594..22704698,22704773..22704925,22704996..22705084, 22705172..22705252,22705350..22705422) /locus_tag="BRARA_H03012" /codon_start=1 /product="hypothetical protein" /protein_id="RID52417.1" /db_xref="Phytozome:Brara.H03012" /translation="MELRPRNKAIVTSDQDVNLEEEDEEAYVMSSSDNDSSDSEFQVS DEDADNADGNDDLPNPVPVPVLDPVPLAVAVPLPNVNAPRGTKRKSTTSVIKEKGKLL WELWEKEDEQWVDQHMTDDVDLDQHNNAVIAETAEPPPDLIMPLLRYQKEFLSWASKQ ERSVSGGILADEMGMGKTIQAISLVLAQRQVDRAAGCTLVLCPLVAVSQWLSEIDRFT SPGSTKVLVYHGAKREKNGNEFKKYDFVLTTYSTVENEFRKCVMPGKKQCEYCSKWFL PNRLMRHHVYHCGPSSAKALRKSKLNMNPLAVMERERAAAEAEEASSKGKRSRKKKTK QALEEEDSVNRKKSVLYSIKWNRVILDEAHYIKERRSNTARAVFALEATYRWALSGTP LQNRVGELYSLIRFLQISPYSYYFCKDCDCKILDYTTHANCHSCPHNAVRHFCWWNKN VTNPITEPAYGNEERGKRAMILLKHKVLKDILLRRTKLGRAADLALPPRIITLRRDSL DVKESDYYESLYQNSQSQFNTYIEAGTIMNNFAHIFDLLTRLRQAVDHPYLVVYSSSG GANANLNDENKKEQECGLCHEPAEDNVVTSCEHVFCKACLIDFAASLGEVSCPTCSTL VTMDWTTKADIEQQANKTTIKGFRASSILNRIKLDDFQTSTKIEALREEIRLMVERDG SAKAIVFSQFTSFLDLINYTLGKCGVGCTQLVGSMSMAARDVAINKFREDPNCKVFLM SLKAGGVALNLTVASHVFMMDPWWNPAVERQAQDRIHRIGQYKPIRVVRFIIENTVEE KILKLQKKKELVFEGTVGGSQEAIGKLTAEDMRFLFTI" gene complement(22705350..22708043) /locus_tag="BRARA_H03013" /db_xref="Phytozome:Brara.H03013" mRNA complement(join(22705350..22705895,22706001..22707550, 22707649..22708043)) /locus_tag="BRARA_H03013" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03013" CDS complement(join(22705745..22705895,22706001..22707550, 22707649..22707963)) /locus_tag="BRARA_H03013" /codon_start=1 /product="hypothetical protein" /protein_id="RID52416.1" /db_xref="Phytozome:Brara.H03013" /translation="MATEISSDLINQLNLSLRKNAKLSSLDSSSLSLPTAPEAIAELD ASPPYLRCRNCKGKLLRGIESLICVFCGEQQRTSDNAPDPIKLTSTFAYKWFLTALDL DGSEMVEAIKETSGSSRGAKVPVVKGVALSEFLDFEVKWCARDETSDGVSVQKKSPLN LGGISLDDYFVEERGDLSKVDTEERKAVEDDDFKDPRSLSLFDSVKSPEVVESQQNDN VGLVKGNDAKKNESLSLFAGRDGQDGVSLAEQGNFGFFEGKDSEHSFKEDENLSLFDG KAAPGTSSSIKDDSFGFFGSSDAQRTSSSKEDESFGFFEGKDAQRNSASKEDGNLGLF EGKDDQRNSSSKEDESFGLFEGAPSSDDKVVASSSDWDSDFQSVSQEKISSDPFVSSP ADLSAHMDSVFGSGKQADSSTAYVSKAGDWLQDDLFGNVTGKSQNNDKNEGQVLGGNG SSSMDIDWIGDDLWQTSEKKAIEKTPTNDDDDDWNDFASSANSKTPSNLLSRTMERSQ EDIFDGMSHVKEQSEYEKQNTATSMISDIAKGQEDDDLFGTWDSFASSTVLQTPVQPP TNHVNQSAEQNQGMNLFGESNQQSDLDSGLFSESIGGQTYSEEVKAMPSGTLTSERTG DPDGQDQVTTVSRKSKSDVAEELMSQMHDLSFMLETKLSVPPISKAE" gene 22708753..22711357 /locus_tag="BRARA_H03014" /db_xref="Phytozome:Brara.H03014" mRNA join(22708753..22709043,22709954..22710794, 22711004..22711357) /locus_tag="BRARA_H03014" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03014" CDS join(22708765..22709043,22709954..22710794, 22711004..22711152) /locus_tag="BRARA_H03014" /codon_start=1 /product="hypothetical protein" /protein_id="RID52418.1" /db_xref="Phytozome:Brara.H03014" /translation="MSNQRKRPNGEREEDDEEDDAEGIGQWERAYVDDRSWEALQEDE SGLLRPIDTSAIYHAQYRRRLRMLSAAAAGTRIQKGLIRYLYIVIDFSRAAAETDFRP SRMAIMAKHVEAFIREFFDQNPLSQIGLVSIKNGIAHTLTDLGGSPESHIQALMGKLE AAGDSSLQNALELVHEHLNQIPSYGHREVLILYSALSTSDPGDIMETIQKCKKSRLRC SVIGLSAEMFICKHLCQETGGLYSVAVDEVHLKDLLLEHAPPPPAIAEFAISNLIKMG FPQRAAEGSMAICSCHKEVKIGAGYTCPRCKARVCELPTECTICGLTLVSSPHLARSY HHLFPIAPFDEVPTLTSANDPRRKLGKSCFGCQQSLLGAGNKPGPCVTCRKCKHYFCL DCDIYIHESLHNCPGCESIHRPKSVSLMEE" gene <22711603..>22713948 /locus_tag="BRARA_H03015" /db_xref="Phytozome:Brara.H03015" mRNA <22711603..>22713948 /locus_tag="BRARA_H03015" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03015" CDS 22711603..22713948 /locus_tag="BRARA_H03015" /codon_start=1 /product="hypothetical protein" /protein_id="RID52419.1" /db_xref="Phytozome:Brara.H03015" /translation="MKLHLAVFSITCCVCVTSSPVPPRPDQVELLLAFKNEFPSRSCD PIWNTLDVVNHSPNISSWTKEGVSFDGVVFDNATGAVTELNLGGACISGTIQANSSLF RFQHLRYLRLFINHFDSSPLPSGFGRLTSLEYLDLSQNGFIGEVPSSISNLSRLTFLD LSRNKLTGRFPHVHNLTLLSSIDLSYNHFSGTIPSNLFTTMPSLWYLDLRQNNLKDPL ENMTSSPTSKLVHLDMSKNLFSSRILEPISKLPNLTHLDLSFQNTTTYTINFDFLPFK SLEYMDLSGNSVSALYTSSKNLSFLILSSCNLTEFPTFIKTSRNLEVLDVSKNRLKGE VPEWLWKLPSLSHANLSHNSFNGSPKVLLNSSLSELDLSSNTFHGSFPIIPHTIKVMA ASNNNFSGGIPLTLCQASQLRLLDLSHNSFSGSIHRCLTNVSVLKLRNNDLTGRLPDI DSRILVILDVGHNQISGKLPRSLVNCTSLKFLNVERNRISDAFPFWLNALAQLEVIVL RSNRFHGPISSPGISLSFTALSIMDISLNNFNGSLPPDYFANLSEPLVNSPPVKRWPE YRGDWHYYKYPTEPWYYPSIYLRSKGRNMELEKIPDRCAVIEFSGNSFGGQIPESIGF LKSLIVLDLSNNGFTGRIPSSLAKLTQLESLDLSRNQLSGRIPQELRVLTFLSYTNMS HNRLTGQIPQGTQIGGQPKSSFEGNIDLCGLPLEETCFRETTGAPSTQRTQEPELAKQ EEVLNWKAAAIGYGPGVLFGVAIGQAFATYKPALFYKLFRL" gene <22714832..>22716664 /locus_tag="BRARA_H03016" /db_xref="Phytozome:Brara.H03016" mRNA <22714832..>22716664 /locus_tag="BRARA_H03016" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03016" CDS 22714832..22716664 /locus_tag="BRARA_H03016" /codon_start=1 /product="hypothetical protein" /protein_id="RID52420.1" /db_xref="Phytozome:Brara.H03016" /translation="MPSKLKKAIGAVKDQTSISLAKVTNGANRSRGGDLTTLEVAILK ATSHDEDVPIDDRLVSDILSIISSKKSHAAACAAAIGRRIGRTRSWIVALKSLVLVLR IFQDGDPYFPREVLHAMKRGAKILNLSTFRDDSNSRPWDYTAFVRTYALYLDERLDCF LTGKLQRRYTTNNKNQTGIRSRFNPRIRNKTDEPAVRDMKPATLLDKITYWQRLLDRA IATRPTGDAKANRLVKTSLYAVAQESFDLYRDISDGLALLLDSFFHLPYKSCIHAFQA SVRASKQFEELIGFYELCKSSGVGRTSEYPSVQKISMELLETLQEFLKDQSSFPGNNA GMYPSPNSLLLPPPPSTVSSSLSERCCSDYGSFREDAGTSSPSMSCRSEPYGGGGGRE DLNGNSFDTVSTKSLPNTPSSVTLKMLDDKERKKKKQEEASDPWEALMLRDEPRKNIE TIPEEPSTTSERQRDSGNWLLALEETVTTQVEDTNSMAIVPFGLDDHMPALQATMEQH NPFLVEPAQPVAKSGELMSTAFSALAVTEFQGNVPDDFEPSSAPTFKATGSLPGKCEP FAAFESFGLGESVSENGGVHEQSVLQEQQLWLQNQNKIISKHLN" gene 22717448..22719342 /locus_tag="BRARA_H03017" /db_xref="Phytozome:Brara.H03017" mRNA join(22717448..22717731,22717896..22717968, 22718313..22718377,22718667..22718776,22718863..22719342) /locus_tag="BRARA_H03017" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03017" CDS join(22717615..22717731,22717896..22717968, 22718313..22718377,22718667..22718776,22718863..22719091) /locus_tag="BRARA_H03017" /codon_start=1 /product="hypothetical protein" /protein_id="RID52421.1" /db_xref="Phytozome:Brara.H03017" /translation="MNRLRGRGAWILGSAAMPHLKKRAQNSLVALQDSYLSTKDLLER QRVVFTVATSVASVATAWIGYSLRHYNETRIDQRLESIENAMKHTHELERGELKELVD PVGSRFTSTIATAGTTLILGYGLGWRGGIWYANRKFKREQMRLAGQLKPREWKLLLGR IKPRAWPTSRFLRRPFPRQNKTSTENALKTPPPEGAA" gene 22719664..22723763 /locus_tag="BRARA_H03018" /db_xref="Phytozome:Brara.H03018" mRNA join(22719664..22719874,22719975..22720061, 22720348..22720449,22720544..22720652,22720829..22720929, 22721005..22721142,22721236..22721331,22721664..22721788, 22722154..22722286,22722516..22722641,22722743..22722854, 22723020..22723763) /locus_tag="BRARA_H03018" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03018" mRNA join(22719664..22719874,22719975..22720061, 22720348..22720449,22720544..22720652,22720829..22720929, 22721005..22721142,22721236..22721331,22721664..22721788, 22722154..22722286,22722516..22722641,22722743..22722854, 22723020..22723403,22723488..22723763) /locus_tag="BRARA_H03018" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03018" CDS join(22719749..22719874,22719975..22720061, 22720348..22720449,22720544..22720652,22720829..22720929, 22721005..22721142,22721236..22721331,22721664..22721788, 22722154..22722286,22722516..22722641,22722743..22722854, 22723020..22723393) /locus_tag="BRARA_H03018" /codon_start=1 /product="hypothetical protein" /protein_id="RID52422.1" /db_xref="Phytozome:Brara.H03018" /translation="MGIVPKETIEVTAQSIGITNLSPEAALMLAPDVEYRVREIMQEA IKCMRHSKRTTLTASDVDGALNLRSLEPAYGFASGGPFRFRKAIGHQDLFYTDDREVD FKDVIEAPLPKAPLDTEVVCHWLAIEGVQPAVPENAPLEVIRAPAENKIYEQKDGPLI DVRLPMKHVLSRELQLYFQKIAELTVSKSSPALFKKALVSLASDSGLHPLVPYFTNFI ADEVSRGLNDFRLLFNLMHVVRSLLQNPHIHIEPYLHQLMPSIVTCLVSRKLGNRFAD NHWELRDFTANLVALICKRFGSAYITLQSRLTKTLVNALLDPKKALTQHYGAIQGLTA LGHNVVRLLILSNLEPYLSLLEPELDAEKQKNQMKSYEAWRVYGALLRATGLCIHDRL KLFPNLPSPSPSFLHKGKGKIINAEPRKRKLSADSSENQPPHKRLITTDGPDVLRPQD HTGPAPMHVDKPMGNYNLPQKSVQPSSDGNESESRNGKEKERGKGRAITMKAILGQIW KDDLDSGRLLVKLQQLYGDRILPFIPSTEMSVFL" CDS join(22719749..22719874,22719975..22720061, 22720348..22720449,22720544..22720652,22720829..22720929, 22721005..22721142,22721236..22721331,22721664..22721788, 22722154..22722286,22722516..22722641,22722743..22722854, 22723020..22723393) /locus_tag="BRARA_H03018" /codon_start=1 /product="hypothetical protein" /protein_id="RID52423.1" /db_xref="Phytozome:Brara.H03018" /translation="MGIVPKETIEVTAQSIGITNLSPEAALMLAPDVEYRVREIMQEA IKCMRHSKRTTLTASDVDGALNLRSLEPAYGFASGGPFRFRKAIGHQDLFYTDDREVD FKDVIEAPLPKAPLDTEVVCHWLAIEGVQPAVPENAPLEVIRAPAENKIYEQKDGPLI DVRLPMKHVLSRELQLYFQKIAELTVSKSSPALFKKALVSLASDSGLHPLVPYFTNFI ADEVSRGLNDFRLLFNLMHVVRSLLQNPHIHIEPYLHQLMPSIVTCLVSRKLGNRFAD NHWELRDFTANLVALICKRFGSAYITLQSRLTKTLVNALLDPKKALTQHYGAIQGLTA LGHNVVRLLILSNLEPYLSLLEPELDAEKQKNQMKSYEAWRVYGALLRATGLCIHDRL KLFPNLPSPSPSFLHKGKGKIINAEPRKRKLSADSSENQPPHKRLITTDGPDVLRPQD HTGPAPMHVDKPMGNYNLPQKSVQPSSDGNESESRNGKEKERGKGRAITMKAILGQIW KDDLDSGRLLVKLQQLYGDRILPFIPSTEMSVFL" gene complement(22723947..22725816) /locus_tag="BRARA_H03019" /db_xref="Phytozome:Brara.H03019" mRNA complement(join(22723947..22724757,22724825..22724957, 22725030..22725098,22725170..22725377,22725570..22725816)) /locus_tag="BRARA_H03019" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03019" CDS complement(join(22724200..22724757,22724825..22724957, 22725030..22725098,22725170..22725377,22725570..22725792)) /locus_tag="BRARA_H03019" /codon_start=1 /product="hypothetical protein" /protein_id="RID52424.1" /db_xref="Phytozome:Brara.H03019" /translation="MNNIESEQKSMDDNDKLCGECKLNPWKYKCPGCSIRSCALPCVK AHKKRTGCTGKRKLTDFVPLSKFDDNLLLSDYNLLEETKRVAESALRRRHQLCKNPHF RFRLPNDLRGLQVAAGSRGTKLWFLPGGMLKRDKNQSRYDNRRKCIHWTVEWRFHSTD VVLVDHGVGEDTSLCSVIENHLKPGPWIHKLKPFCDVDLDSLKLFIRTYPKGVKVPFK ELDIKGPLRQQLAQVTILEYPVIHVYLPSHSYDFEVIRDFDREKTTTPEPKYYSQAEG AITREEEIEEVEDDIDSFEPEVLDLMKQINSNPRQQVSEDSKAEGGDAKNAHPDDNME LEFDQGLIDTYADLFPELNPGDYFNFECEFAKGFDSDDDCNLQSLAATDLDIDGLEEG EIVE" gene <22726543..>22729122 /locus_tag="BRARA_H03020" /db_xref="Phytozome:Brara.H03020" mRNA join(<22726543..22727464,22727640..22727768, 22727891..>22729122) /locus_tag="BRARA_H03020" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03020" CDS join(22726543..22727464,22727640..22727768, 22727891..22729122) /locus_tag="BRARA_H03020" /codon_start=1 /product="hypothetical protein" /protein_id="RID52425.1" /db_xref="Phytozome:Brara.H03020" /translation="MKCALLLWSHLSLLLVLILVSADLTASRSSCPSHCGNISIPYPF GIGKGCYLNEWYEIQCSNSTSEVPAPYLPKINKEVVKIFLPKPTSSEGYNYGSVRIKT NITSMGCSNTSDEIKFGEPLNFTGTPFTIGRSNTFLAIGCNYKATLTHLEPRLVGCIS TCDPKKMNHDISCRGNRCCQADPPSGIGQIVGISMEEFSSNKTRERGCRVAFLTDENG DRPAYPVAKFTDPQWFYDRQYVILQLRWAIPMTNLSFVNSLRCAHYEMLQYIDSSNPC GCSNTDNESSNVGCACNDGYTGNPYIMDGCKDIDECQLEPDYIEYCRQQGGTCVNTPG ASSCVVKKNKTVPITIGLCVGFGVLIVSGGTLWLYKIIKKQRKINRKKKLFKRNGGLL LKQQLTSTEGSIEKTKVFTSKELEKATENFSSTRVLGQGGQGTVYKGMLVDGRIVAVK KSTVVDEDKLEEFINEVVILSQINHRNIVKLIGCCLETEVPLLVYEFISNGNLFEHLH GEFDESAMTTWEMRLCIVIDIAGALSYLHSAAASPIFHRDVKSTNIMLDEKYRAKVAD FGTSRSVTVDHTHLTTVVSGTVGYVDPEYFQSSQFTDKSDVYSFGVVLVELITGEKPI SFVRLQQSRTLATYFIVAMEENRVVDIIDPQIRDDCNLEQVMAAAQLARRCLNLNGRN RPSMREVSMELERIRSPTEDPQSHVHIEGSNAEEVAAEINIGVESCNNVGVSAPSGFQ YNVDTTSLSDAEPLFPRQTW" gene <22730355..>22732872 /locus_tag="BRARA_H03021" /db_xref="Phytozome:Brara.H03021" mRNA join(<22730355..22731279,22731452..22731580, 22731689..>22732872) /locus_tag="BRARA_H03021" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03021" CDS join(22730355..22731279,22731452..22731580, 22731689..22732872) /locus_tag="BRARA_H03021" /codon_start=1 /product="hypothetical protein" /protein_id="RID52426.1" /db_xref="Phytozome:Brara.H03021" /translation="MRCALLLMTHLSLLLVLILASANLIASRSSCPSHCGNISIPYPF GIGKGCYLNEWFAIQCNNSIYGALVPYLPKINKEVVKISLPDANGFFKTTESYGSLRI KTNVTSMGCSNSSDETKFGEPLNFTGSPFTISRSNIFQAIGCNYKATLTHLDPAVVGC ISTCEPRKIGDHTTSCRGNKCCQVDPPSEIGQVVGISMEVISSSITRERGCRVAFLTD ENQDPLGYREAKVTDPNWFYDRQYVTLKLRWAIPMTNLSFINSLGCTMSYSSPSVSPC ICVNNTNDKISSVGCACHKGYTGNPYILGGCKDIDECQLDKGNYESCRPQGGTCVNTP GSYQCVFKKYKTMPVTMGLCVGFGVLMMVFAVAFLLCKFIKKQRKIIGKKMLFIRNGG LLLKQQLTSAEGSIEKTKVFTSKELKKATENFNSTRVLGKGGQGTVYKGMLVDGRIVA VKKSTVVDQDKVGEFINEVVILSQINHRNIVKLIGCCLETEVPLLVYEFVSNGNIFEH LHGEFDESAMTTWEMRLRIVIDIAGALSYLHSSASTPIFHRDVKSTNIMLDEKYRVKV SDFGTSRWVTDDHTHLTTVVSGTVGYVDPEYFQTSQFTDKSDVYSFGVVLVELITGEK PISLVRFLRNRTLAAYFILAMEENRLIDIIDPQIRAECKLEQVMEAAQLARRCLKLTG KDRPSMREVSMELERIRSPSKDLQPNVHIVKNNAEEADIGVESCSVDTTSTLDAEPLF PGQTW" gene <22734782..>22736403 /locus_tag="BRARA_H03022" /db_xref="Phytozome:Brara.H03022" mRNA join(<22734782..22734933,22735042..22735120, 22735244..22735400,22735474..22735566,22735646..>22736403) /locus_tag="BRARA_H03022" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03022" CDS join(22734782..22734933,22735042..22735120, 22735244..22735400,22735474..22735566,22735646..22736403) /locus_tag="BRARA_H03022" /codon_start=1 /product="hypothetical protein" /protein_id="RID52427.1" /db_xref="Phytozome:Brara.H03022" /translation="MGSSSYLKQGPVPMDNVHITPEATYEAVVANSKLFMGSLERLHS QLGTKFMVPIIGGKDLDLHKLFIEVTSRGGIIKIIHERRWKEVTSKFAFPATATNASF VMRKYYFSLLKNYEQIYFVRSNSQMPPDSLQNQSTVMGLGTIRPPQELQAPQPRIDFG GHLTGPNVIGVIDGKFEDGYLVTVTMGTKQLRGVLYELLPQQSHCSFPNTNANPQVVT TTKRRRRRKKSEIKRRDPAHPKPNRSGYNFFFSDQHARLKPLNPGKDREISRMIGELW NKLNEQERLVYQWKAMEDKERYRIEMEEYREKLRTGQLMISNAVPLQQMLPPEVNLDM AAEADLVIEEDEEGDSSDCSGESEPRDDDRELEETSLNRLGLNLNSNRTAIVVGDVVM ETCPSKKHEETSVVVAAEQK" gene 22737318..22740976 /locus_tag="BRARA_H03023" /db_xref="Phytozome:Brara.H03023" mRNA join(22737318..22737537,22737917..22740527, 22740614..22740976) /locus_tag="BRARA_H03023" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03023" CDS join(22737937..22740527,22740614..22740842) /locus_tag="BRARA_H03023" /codon_start=1 /product="hypothetical protein" /protein_id="RID52428.1" /db_xref="Phytozome:Brara.H03023" /translation="MGKKAKKKARTPTKENLSKKVSEQPSEIAEGDAVQAVKEKQACV HFDKGLNLDKLLEKIKSSRQIKCQECKEGVHGKRGAKEKGSKGKHAFSSSAAEPKADK KAIWVCLECGAFVCGGVGLPTGAQSHVVRHIRVTHHRLMIQWENPQLRWCFPCQSLLP VEKEENGEKKDVLLEVVKLMKERSLNSLPPSEAEEESSGSGSVTSDIKLQGAVTTSGI EARDGYVVRGLVNLGNTCFFNSIMQNLLSLDRLRDHFLNEDASGIVGTLACSLKKLFA ETKPEAGLKSVINPRVFFGSFCVKAPQFRGYDQHDSHELLRCLLDSLSTEESALRKKR GVGVSDSDEKSSTLIESVFGGETSSIVSCMECGHSSKVYEPFLDLSLPVPFKKTPPKK QPTVSLAKKAKLPPKRVAKHVSKVSKVSKVLPTKALSDLKAPGKASVVTADSDASSSS FAPIDNGTVSETQSVDKQGSESVSQCDTVFDSFWLDVIGPEPFEDETNVDMEDSVSDK IPTTEANQILPGPDNSSNTSTLEGNTERLMQDNDETIKAETIMDDKDIQATQPDECTA TSNISAEINQASCIGGGDTGLGESSSSVNPWDEEELPLMVADSQVLYMPYKENISYDD DKPAVEEGEGEASSSSFVAADHEPPQDNDFVGLGGLFDEPEITEGPVVFGPPCNPEAS SGGAGFMAFSSESDPEEIDDSDSPVSVERCLAHFTKPEILSDDNAWNCENCSKNLKLQ RLREKRKSKKDESRSSNTSNGWVSENEDVSAVKQDPSDGSSSVKDNEREAMSSNDSES EGEEDSEKVITVKRDATKRVLVNKAPPVLTIHLKRFSQDLRGRLSKLNGHVSFKEVID LRQYMDSRCSGEDSPVYRLAGLVEHSGTMRGGHYVAYVRGGGKKGKESVWYNISDAHV RQVSLDKVMHSEAYILFYERIFTQD" gene <22742327..22745748 /locus_tag="BRARA_H03024" /db_xref="Phytozome:Brara.H03024" mRNA join(<22742327..22742494,22742931..22743084, 22743177..22743275,22743498..22743574,22743847..22743926, 22744100..22744160,22744429..22744500,22745400..22745748) /locus_tag="BRARA_H03024" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03024" CDS join(22742327..22742494,22742931..22743084, 22743177..22743275,22743498..22743574,22743847..22743926, 22744100..22744160,22744429..22744500,22745400..22745534) /locus_tag="BRARA_H03024" /codon_start=1 /product="hypothetical protein" /protein_id="RID52429.1" /db_xref="Phytozome:Brara.H03024" /translation="MAGVSLKCGDCGALLKSVEEAQEHAELTSHSNFAESTEAVLNLV CTTCSKPCRSKTESDLHTKRTGHTEFVDKTMETVKPISLEAPKAAAMEIDNIDGSSGS GDASEVEMVVPGVDKNILEELEGMGFPKARATRALHYSGNASLEAAVNWVVEHENDPD VDEMPKVPANSNSGPPKPALTPEEVKIKAQELRERARKKKEEEEKRMEREREKERIRI GKELLEAKRIEEDNERKRLLCGFEKMEGGEFLFLPRDKIDPAVINSAGTELNSAINNP FFGVL" gene 22746264..22748897 /locus_tag="BRARA_H03025" /db_xref="Phytozome:Brara.H03025" mRNA join(22746264..22746710,22746780..22746872, 22746943..22747047,22747189..22747341,22747452..22747550, 22747636..22747764,22747962..22748141,22748346..22748462, 22748576..22748897) /locus_tag="BRARA_H03025" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03025" CDS join(22746411..22746710,22746780..22746872, 22746943..22747047,22747189..22747341,22747452..22747550, 22747636..22747764,22747962..22748141,22748346..22748462, 22748576..22748740) /locus_tag="BRARA_H03025" /codon_start=1 /product="hypothetical protein" /protein_id="RID52430.1" /db_xref="Phytozome:Brara.H03025" /translation="MVRKKVPEWLNSTMWSAPPPPSSFNDDATLLRHSPATKMSSMKK QEAESISVTPPPSTASSVPSPRPRNNGSSISGEYGNSSVAPSSAEDFSRQAHLSAELS KKVINMKELRSLASQSLPDSPGIRSTVWKLLLGYLPPERSLWSSELKQKRSQYKHYKD ELLTSPSEITWRLVRSKGFDNYELKSGSRCMLSRSRITDEDHPLSLGKASVWNTYFQD IETIEQIDKDVKRTHPDIPFFSAESSFARSNQESMKNILLVFAKLNQGIRYVQGMNEI LAPIFYVFRNDPDDDSSSHAEADAFFCFVELLSGFRDFYCQQLDNSVVGIRSAITRLS QLVRKHDEELWRHLEITTKVNPQFYAFRWITLLLTQEFSFFDCLHIWDALLSDPEGPL ESLLGICCAMLVLVRRRLIAGDFTSNMKLLQHYPTTNISHLLYVANKLRSKMLV" gene 22749438..22752432 /locus_tag="BRARA_H03026" /db_xref="Phytozome:Brara.H03026" mRNA join(22749438..22751462,22751842..22752432) /locus_tag="BRARA_H03026" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03026" CDS join(22749927..22751462,22751842..22752198) /locus_tag="BRARA_H03026" /codon_start=1 /product="hypothetical protein" /protein_id="RID52431.1" /db_xref="Phytozome:Brara.H03026" /translation="MASNIDVTKYGHSPVHHAVVTRDYTRLKKLLSSLPKMRDPSEVK TEAASASEETKADSIASLIDRRDVVNRDTALHLAVKLGDETSAEMLMSSGADWSLQNE QGWSALQEAICCREERIAMIIVKHYQPLAWAKWCRRLPRLVATMHRMRDFYMEITFHF ESSVIPFISRVAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQTILFLGDGSEDGK VPSGSLLVISHKDKEVMNALDGAGAPATEEEVRQEVAAMSKTSIFRPGIDVTQAVLFP QLTWRRQERSEMVGKWKAKVYDMHNVVVSIKSRRVPGAMTDEELFSNGNNNQGDETEG EDLGDVLTEDERKQLESALKLDSPEESSEEREVTVTDGNGCCKQEKKGWFSGWKKREE GGGKRSSVPPRSSLCVDEKVSDLLGEEGREIKPGRHSTVESVVRDDSLKASTSEGKRK EGSKENEYKKGLRPILWLSERFPLQTKELLPLLDILANKVKAVRRLRELMTTKLPSGT FPVKVAIPVIPTIRVLVTFTKFEELEPIEDEFVTPPSTPTSPVKNSPREEPQASSSSS WYQWMKTPSQRPSTSSGGFNNGKAENDQDPFAIPRGYNWITAEEKKKKVQEKNKAKKG KSSQNS" gene 22753225..22754647 /locus_tag="BRARA_H03027" /db_xref="Phytozome:Brara.H03027" mRNA join(22753225..22753359,22753444..22753656, 22753739..22753894,22753975..22754647) /locus_tag="BRARA_H03027" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03027" CDS join(22753273..22753359,22753444..22753656, 22753739..22753894,22753975..22754403) /locus_tag="BRARA_H03027" /codon_start=1 /product="hypothetical protein" /protein_id="RID52432.1" /db_xref="Phytozome:Brara.H03027" /translation="MMSLRRQDYNVVHKLPHGDSPYVRAKHVQLVEKDAEAAIELFWK AIKARDRVDSALKDMALLMKQQNRADEAIDAIHSFRYLCSRQAQESLDNVLIDLYKKC GRIEEQVELLKQKLWMIYQGEAFNGKPTKTARSHGKKFQVTVQKETSRILGNLGWAYM QLRDYTSAETVYRKAQVIEPDANKACNLCSCLIKQGKLDEARSILFHDVLEKKEGFDD DLRLKVRVQELLSELERREEEETAVLASVECEVGMDEIVVVEGFDEFVKEWRRPYRTR RRLPIFEEILPLRDQLAC" gene 22755591..22756965 /locus_tag="BRARA_H03028" /db_xref="Phytozome:Brara.H03028" mRNA join(22755591..22755878,22756044..22756185, 22756267..22756387,22756472..22756534,22756615..22756965) /locus_tag="BRARA_H03028" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03028" CDS join(22755689..22755878,22756044..22756185, 22756267..22756387,22756472..22756534,22756615..22756758) /locus_tag="BRARA_H03028" /codon_start=1 /product="hypothetical protein" /protein_id="RID52433.1" /db_xref="Phytozome:Brara.H03028" /translation="MAQQSLIYSFVARGTVILVEFTDFKGNFTTVAAQCLQKLPSSNN KFTYNCDGHTFNYLVEDGFTYCVVAVDSAGRQIPMAFLERVKEDFNKRYGGGKAATAQ ASSLNKEFGSKLKEHMQYCMDHPDEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIE LLVDKTENLRSQAQDFRTTGTQMRRKMWLQNMKIKLIVLAIIVALILIIVLSVCHGFK C" gene complement(22758239..22761026) /locus_tag="BRARA_H03029" /db_xref="Phytozome:Brara.H03029" mRNA complement(join(22758239..22758518,22758598..22758681, 22758759..22758867,22758948..22759071,22759149..22759245, 22759325..22759457,22759545..22759622,22759710..22759817, 22759918..22759985,22760050..22760148,22760234..22760360, 22760438..22760522,22760611..22760687,22760869..22761026)) /locus_tag="BRARA_H03029" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03029" CDS complement(join(22758439..22758518,22758598..22758681, 22758759..22758867,22758948..22759071,22759149..22759245, 22759325..22759457,22759545..22759622,22759710..22759817, 22759918..22759985,22760050..22760148,22760234..22760360, 22760438..22760522,22760611..22760687,22760869..22760955)) /locus_tag="BRARA_H03029" /codon_start=1 /product="hypothetical protein" /protein_id="RID52434.1" /db_xref="Phytozome:Brara.H03029" /translation="MEKAMERQRVLLHHLQPLPSSSSQASSLSVSACLAGGSAAYQRT SLYGDDVVIVAAQRTALCKAKRGSFKDTYPDELLASVLRAVIEKTKLDPSEVGDIVVG TVLGSGSQKATEFRMAAFYAGFPETVPIRTVNRQCSSGLQAVADVAAAIKAGFYDIGI GAGLESMTTSPRGWKGSVNPKVKKFEQAQSCLLPMGITSENVAHRFGVSREEQDQAAV DSHRKAASATASGKFKDEITPVNTRIVDPKTGDEKPITVSVDDGIRPSTTLSGLAKLK PVFKQDGSTTAGNSSQVSDGAGAVLLMKRSVAIKKGLPILGVFRTFAAVGVDPAVMGV GPAAAIPAAVKAAGLELDDIDLFEINEAFASQFVYCRNKLGLVPEKINVNGGAIAIGH PLGATGARCVATLLHEMKRRGKDCRFGVVSMCIGSGMGAAGVFERGDGVDELSNVRKV E" gene 22761162..22763985 /locus_tag="BRARA_H03030" /db_xref="Phytozome:Brara.H03030" mRNA join(22761162..22761244,22761685..22763717, 22763801..22763985) /locus_tag="BRARA_H03030" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03030" CDS 22761700..22763703 /locus_tag="BRARA_H03030" /codon_start=1 /product="hypothetical protein" /protein_id="RID52435.1" /db_xref="Phytozome:Brara.H03030" /translation="MVQYNFKKITVVPNGKDFIDIILSRTQRQTPTVVHKGYKINRLR QFYMRKVKYTQTNFHEKLSTIIEEFPRLDQIHPFYGDLLHVLYNKDHYKLALGQVNTA RNLISKIAKDYVKLLKYGDSLYRCKCLKVAALGRMCTVLKRITPSLAYLEQIRQHMAR LPSIDPNTRTVLICGYPNVGKSSFMNKVTRADVDVQPYAFTTKSLFVGHTDYKYLRYQ VIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYTIAQQAALFHSIK SLFMNKPLVIVCNKTDLMPMENISEDDKKLIDEMKAEAMKTAMGASEEAVLLKMSTLT EEGVMSVKNAACERLLDQRVEAKMKSKKINDHLNRFHVAIPKPRDNIERPHSIPQVVL EAKAKEAAEKEKRKTEKDLEEENGGAGVYSASLRKHYILEHDEWKEDIIPEILDGHNV ADFLDPDILLRLEELEREEAIRQADGEEEDFEMDGEELTDEQKEQLAKIRNKKAVLIR EHRLKKTVAQNRSTVPRKFDKDNKYTTKRMGRELSSLGLDPSAAVDRARSKSRGRKRD RSEDAMDVDDEQEGNKKMRVRSKSRSMSISRSQSRPPAHEVVPGDGFKDSTQKKAALK ISNSSHKKRDKNARRGEADRVIPTLRPKHLFSGKRGKGKTDRR" gene <22765784..22766072 /locus_tag="BRARA_H03031" /db_xref="Phytozome:Brara.H03031" mRNA join(<22765784..22765925,22766005..22766072) /locus_tag="BRARA_H03031" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03031" CDS join(22765784..22765925,22766005..22766066) /locus_tag="BRARA_H03031" /codon_start=1 /product="hypothetical protein" /protein_id="RID52436.1" /db_xref="Phytozome:Brara.H03031" /translation="MFNKLFPCCKFGEGRASHRGGDQKLLIRRNQRLRRYTETERSIK KLKIFSGRTRVGTRTKLGTETEE" gene complement(22766545..22768791) /locus_tag="BRARA_H03032" /db_xref="Phytozome:Brara.H03032" mRNA complement(join(22766545..22766952,22767039..22767203, 22767282..22767425,22767513..22767708,22768354..22768791)) /locus_tag="BRARA_H03032" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03032" CDS complement(join(22766839..22766952,22767039..22767203, 22767282..22767425,22767513..22767708,22768354..22768721)) /locus_tag="BRARA_H03032" /codon_start=1 /product="hypothetical protein" /protein_id="RID52437.1" /db_xref="Phytozome:Brara.H03032" /translation="MQYKNLGRSGLKVSTLSFGAWVTFGNQLDVKEAKSILQCCRDHG VNFFDNAEVYANGRAEEIMGQAIRELGWRRSDVVVSTKIFWGGPGPNDKGLSRKHIVE GTKASLKRLDMDYVDVLYCHRPDASTPIEETVRAMNYVIDKGWAFYWGTSEWSAQQIT EAWGAAERLDLVGPIVEQPEYNMFARHKVESEFLPLYTNHGIGLTTWSPLASGVLTGK YNKGAIPSDSRFALENYKNLANRSLVDDVLRKVSGLKPIADELGVTLAQLAIAWCASN PNVSSVITGATRESQIQENMKAVDVIPLLTPHVLDKIEQVIQSKPKRPESYR" gene <22771788..>22772134 /locus_tag="BRARA_H03033" /db_xref="Phytozome:Brara.H03033" mRNA join(<22771788..22771925,22772054..>22772134) /locus_tag="BRARA_H03033" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03033" CDS join(22771788..22771925,22772054..>22772134) /locus_tag="BRARA_H03033" /codon_start=1 /product="hypothetical protein" /protein_id="RID52438.1" /db_xref="Phytozome:Brara.H03033" /translation="MAVKKLNTTVDGVEILKPRTDNREYRKIVLKNSLQVLLISDPDT DKCAASMSVSIRSFSDPQGLEGLAHLPAT" gene 22774829..22777609 /locus_tag="BRARA_H03034" /db_xref="Phytozome:Brara.H03034" mRNA join(22774829..22774970,22775537..22775617, 22775861..22776607,22776745..22776883,22776966..22777609) /locus_tag="BRARA_H03034" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03034" CDS join(22774870..22774970,22775537..22775617, 22775861..22776607,22776745..22776883,22776966..22777193) /locus_tag="BRARA_H03034" /codon_start=1 /product="hypothetical protein" /protein_id="RID52439.1" /db_xref="Phytozome:Brara.H03034" /translation="MTVLPTWILAMMCLFFFVGAMENKTHDKISSLSRSDEIEWNRHA VTNPDEVADEVLALVEMSARNHTERRKLGYFTCGTGNPIDDCWRCDRNWHKNRKRLAD CGIGFGRNAIGGRDGRFYVVTDPSDHDAVNPRPGTLRHAVIQDRPLWIVFKRDMVIQL KQELIVNSFKTIDGRGANVHIANGGCITIQFVTNVIVHGLHIHDCRPTGNAMVRSSET HFGWRTMADGDAISIFESSHVWIDHNSLSHCADGLVDAVMGSTAITISNNHMTHHNEV MLLGHSDSYTKDRAMQVTIAYNHFGVGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGS ANPTINSQGNRYAAPKNPFAKEVTKRVDTPASHWKGWNWRSEGDLLQNGAYFTSSGAA ASGSYARASSLAAKSSSLVATITSEAGALPCRRGRQCSS" gene <22778893..>22779474 /locus_tag="BRARA_H03035" /db_xref="Phytozome:Brara.H03035" mRNA <22778893..>22779474 /locus_tag="BRARA_H03035" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03035" CDS 22778893..22779474 /locus_tag="BRARA_H03035" /codon_start=1 /product="hypothetical protein" /protein_id="RID52440.1" /db_xref="Phytozome:Brara.H03035" /translation="MSRVLSVVCVLLVMSFVHARARQVPGEFDEGKTTPHEDTKTTSM HAPDKSPPTSLGDKKCIGLIGGLGGIGKYGGIGGAAGIGGFHGIGGIGKYGGIGGAAG IGGFHGIGGIGKYGGIGGAAGIGGFHGLGGVGGLGGAGGGIGGIGGTGGGLGGVGGLG GGIGKAGGIGGVGGIGGGHGVVGAVGGGIVPHP" gene 22781500..22785716 /locus_tag="BRARA_H03036" /db_xref="Phytozome:Brara.H03036" mRNA join(22781500..22781614,22781942..22782034, 22782230..22782364,22782460..22782549,22782633..22782782, 22782920..22784229,22784284..22784586,22784683..22785716) /locus_tag="BRARA_H03036" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03036" CDS join(22781564..22781614,22781942..22782034, 22782230..22782364,22782460..22782549,22782633..22782782, 22782920..22784229,22784284..22784586,22784683..22785559) /locus_tag="BRARA_H03036" /codon_start=1 /product="hypothetical protein" /protein_id="RID52441.1" /db_xref="Phytozome:Brara.H03036" /translation="MEGNNAMKILEEIKSSDLIENRVQLLTQLAQLDTQENSDVASFL HSLTALWEDVTCLDVSQCLLNKAILHVASKYLALDLSDCSQYFLAFGIKVSQWCGKHL YMSVLSMEESQEEEHSSIFFQLLLDYLRFSASSFTAIGKICFVSDEASAVTVHKFVSE QLNLTKEVILNAKKVESFSSEIFKAVQGVIDSIVRLCKEFSPTVNQCVNEMKTNGNVG ISTMEEGNDVRNLVSIITMGVKSMSELGMLAARDGGNLVTILNTSWKGVITLLQIDKQ TLASKVDVGEIILKLISLIKESLRFSAVAWSCSVKENISATEARRVFLPVKFYLINAF KVAALFPNQVSMVFKEISLCILMISAFKVSLSQQTHGKYASEVMTDLLEKTTVDLLNA LLNAGEITQELRLSLLDSLFIDEQCFPTQVCNKQGHGSQTEPSLVDILSLSVESAASA RGLLLARVVLFQAVMRYSSELEEDAKLAITRKLQWLLDVLTDEKVYTSVLSSQLPMAD GSGKTIVWESMFSALLLSLKTLMITLSSSPAWEELETLLLKNLLHPHFLCWQIVMELW CFWARHATDVTVANVIDKLCIFMLSMSTSEAPLCPDSVLRRTAKRTAKSICFLLTHSP KSLTAQVYKNISTESRSESAPDAYLALLLEGFPLNFLPDRMISDAKKQIVAEFFHFIE NFTEKTSNSSRYFVQGGPVVALSACLGILKMSIPEIDSRTLKFGVALIQKLRNSKDEM TRVRYTEILSETLSIISRSEQLYTCQEMDNVITELQRLFITETDNSQHHLHKLKPSLA LFLSGLSNYEMSETETCPKSRAVWELYHLLLRKRHWALVHHAVTAFGYFCARTGCAQL WRFVPEDAALAFDIASGKEAKTERFMSELKMFLEKEQALLSTTASQEELEMLSKEGTQ VKATVQKLLEGRKQQRSMEVEKQSNKRRKLPEGICRGVELLQKGMKRINEGLSEMSSD ESQDFQKSLLNQFSCLEDLVSHLVSLAASE" gene <22788510..>22788902 /locus_tag="BRARA_H03037" /db_xref="Phytozome:Brara.H03037" mRNA <22788510..>22788902 /locus_tag="BRARA_H03037" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03037" CDS 22788510..22788902 /locus_tag="BRARA_H03037" /codon_start=1 /product="hypothetical protein" /protein_id="RID52442.1" /db_xref="Phytozome:Brara.H03037" /translation="MRNSPKLCVVFLIMVLLFGPSHELAPFWPDTTVIVINNLGGPLL TVHCKSKNDDLGVHMVAANKDYHFSFQPNVWKTTLFFCGFQWNNQFKRFNIFDATRDE GVGEKFNWSIKPDGPCKLGKKVKCFPWK" gene complement(<22789395..>22793044) /locus_tag="BRARA_H03038" /db_xref="Phytozome:Brara.H03038" mRNA complement(join(<22789395..22789718,22792829..>22793044)) /locus_tag="BRARA_H03038" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03038" CDS complement(join(22789395..22789718,22792829..>22793044)) /locus_tag="BRARA_H03038" /codon_start=1 /product="hypothetical protein" /protein_id="RID52443.1" /db_xref="Phytozome:Brara.H03038" /translation="SPSYTLGKRRTDHNLLIPEAELKGIGAELHYTQSGGDITFHGPH QAILYPVISLRSIGIGAKNYVETLERSMVVWVGDRKIGAIGVHISSGITSHGLACNID TDLKYFEHIVPCGIAGKEVTSLRRETDTLLPSEEVIHEQLVSCLAKAFSYDDFVWKED PSFILDNQEKKSFFRFISV" gene <22792015..>22792407 /locus_tag="BRARA_H03039" /db_xref="Phytozome:Brara.H03039" mRNA <22792015..>22792407 /locus_tag="BRARA_H03039" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03039" CDS 22792015..22792407 /locus_tag="BRARA_H03039" /codon_start=1 /product="hypothetical protein" /protein_id="RID52444.1" /db_xref="Phytozome:Brara.H03039" /translation="MRNSSKLCVAFLIMVLLFGPSHELPPLWPRTDLTMTNNIGGPVL TVHCKSKDDDLGVHMVASKTDYHFSFQPNIWKTTLFFCSFQWNDQVKQFDIFDAPRDQ DDGYKFNWTIKPDSPCKIGKQIKCFPWK" gene <22794243..>22794635 /locus_tag="BRARA_H03040" /db_xref="Phytozome:Brara.H03040" mRNA <22794243..>22794635 /locus_tag="BRARA_H03040" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03040" CDS 22794243..22794635 /locus_tag="BRARA_H03040" /codon_start=1 /product="hypothetical protein" /protein_id="RID52445.1" /db_xref="Phytozome:Brara.H03040" /translation="MRKSPNLCLAFLIMVLLFGLSHGLPPFWPRTDLTMTNNLGGPVL TVHCKSKDNDLGVHMVAAKTDYHFSFQPNIWRTTLFFCSFQWNNQVKRFDIFDATRDQ DDGYKFNWTIKPDGPCKLGKNAKCFPWK" gene 22797042..22798359 /locus_tag="BRARA_H03041" /db_xref="Phytozome:Brara.H03041" mRNA join(22797042..22797292,22797831..22797902, 22797980..22798359) /locus_tag="BRARA_H03041" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03041" CDS join(22797078..22797292,22797831..22797902, 22797980..22798124) /locus_tag="BRARA_H03041" /codon_start=1 /product="hypothetical protein" /protein_id="RID52446.1" /db_xref="Phytozome:Brara.H03041" /translation="MTEAMIRKKPGMASVKDMPLLQDGPPPGGFAPVRYARRISNTGP SAMAIFLTVSGAFAWGMYQVGQGNKIRRALKEEKYAARRAILPILQAEEDERFVSEWK KYLDYEADVMKDVPGWKVGENVYNSGRWMPPATGELRPDVW" gene complement(22798840..22808789) /locus_tag="BRARA_H03042" /db_xref="Phytozome:Brara.H03042" mRNA complement(join(22798840..22799150,22799238..22799314, 22799415..22799495,22799577..22799633,22799721..22799777, 22799854..22799953,22800051..22800121,22800193..22800690, 22800785..22800961,22801077..22801226,22801315..22801485, 22801575..22801643,22801746..22801760,22801847..22801961, 22802032..22802171,22802245..22802403,22802487..22802636, 22802726..22802860,22802956..22803168,22803330..22803428, 22803615..22803734,22803851..22804056,22804142..22804319, 22804526..22804586,22804681..22804790,22804896..22805027, 22805105..22805275,22805368..22805494,22805578..22805615, 22805704..22805805,22805880..22805937,22806221..22806322, 22806408..22806554,22806637..22806773,22806848..22806997, 22807123..22807282,22807369..22807427,22807518..22807674, 22807773..22807918,22807989..22808132,22808207..22808332, 22808589..22808789)) /locus_tag="BRARA_H03042" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03042" CDS complement(join(22799036..22799150,22799238..22799314, 22799415..22799495,22799577..22799633,22799721..22799777, 22799854..22799953,22800051..22800121,22800193..22800690, 22800785..22800961,22801077..22801226,22801315..22801485, 22801575..22801643,22801746..22801760,22801847..22801961, 22802032..22802171,22802245..22802403,22802487..22802636, 22802726..22802860,22802956..22803168,22803330..22803428, 22803615..22803734,22803851..22804056,22804142..22804319, 22804526..22804586,22804681..22804790,22804896..22805027, 22805105..22805275,22805368..22805494,22805578..22805615, 22805704..22805805,22805880..22805937,22806221..22806322, 22806408..22806554,22806637..22806773,22806848..22806997, 22807123..22807282,22807369..22807427,22807518..22807674, 22807773..22807918,22807989..22808132,22808207..22808332, 22808589..22808591)) /locus_tag="BRARA_H03042" /codon_start=1 /product="hypothetical protein" /protein_id="RID52447.1" /db_xref="Phytozome:Brara.H03042" /translation="MAASAKVSVGSHVWVEDPDEAWIDGEVEEANSDEITVNCSGKTV VAKVNAVYPKDPEFPELGVDDMTKLAYLHEPGLLLNLKCRYDSNEIYTYTGNILIAVN PFKRLPHLYGVDTMKQYKGTPFGELSPHPFAVADSAYRKMINEGVSQAILVSGESGAG KTESTKMLMRFLAYMGGRAESEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFV EIQFDQRGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPEQETERYKLGKPS TFRYLNQSNCYALDGLDDSKEYLATRKAMDVVGIGSEDQDAIFRVVAAILHLGNIEFA KGEESEAAEPKDEKSLFHLKTAAELFMCDEKALEDSLCKRVMVTRDESITKSLDPDSA ALGRDALAKIVYSKLFDWLVTKINNSIGQDPDSKHIIGVLDIYGFESFKTNSFEQFCI NLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFIDNQDILDLIEKKPGGIIALLDE ACMFPRSTHDTFAQKLYQTFKDHKRFSKPKLAQTDFTICHYAGDVTYQTELFLDKNKD YVVGEHQALLSSSDCSFVSSLFPPLPEESSKTSKFSSIGSQFKHQLQSLLESLSTTEP HYIRCVKPNNLLKPEIFENINILQQLRCGGVMEAIRISCAGYPTRKPFNEFLTRFKIL APETTNRSNDEVDACKKLLAKVDLKGFQIGKTKVFLRAGQMAELDAHRAEVLGRSARI IQRKVLSYQSRKKFLLLQAASTDIQALCRGQVARVSFEKMRIEVACLRIQNHARTYIC QKSYKSLCSSACSVQTGMRAKAARVELQFRKKRRAAIIIQSQTRRFLCRRHYVRMKKA AIATQCGWRVRVARRELRNLKMAAKEAGALQDAKSKLENQLEELTSNLELEKQMRMEI EEAKSQEIEALQSALTDIKLKLKETQETKSAEISRLQSALQDMQLEIEELSKGLEMSN DLSAENEQLKELVSSLQNKNDGDVSKLSVEQIKQEVPVIDQTAIIKLEAENQQLKELV SSLEEKIDALDRKHDETSSNITEQLKENVSSDYEVVSNLAAENERLKALVGSLEKKID GNNSSEGQTEGKSMLKEESLTEDGSTDNERVNKLAAQNKDLNDLVSSLEKKIDETEKK YEEASRLCEERLKQAVDAETKLIEAKTSMLRLQERVSDMETEEHIRRKQALVNSTSRR MSPQVSFTGASENGHHESLAPIPAKKTGTESSRIEQQPHEFVDVLLKCVSQNVGFSHG KPVAAITIYKCLIHWKIFEADKTSIFDKIVPVFGSAIENQEDDNHLAYWLTNTSTLLF LLQRSLRHSPTGSSPTKPPQPTSFLGRMTQGFRSTSSPNLSTDVVHQVDAKYPALLFK QQLTAYVETMYGIIRENFKREVSSLLSSCIQKSSHESSVVKSPSKSSEENLAAKSSED NNSPAKSSEDNNSPAKSSEENLTVKSSEENSLKKSSEENSLKKSSEDNDSPAKSSEEN LTAKSKDDNSPAKSSEENSLNKSSEENLPAKSSSPKQLSEEDSPAKEGQAAMLSAENS PANSWQNIIGLLNYNLITWKKNYVPPFLVQKIFSQTFQYINVQLFNCLLLEQEYCTFN MGKEVKAGLDELDSWCSQATEEFVGSSWDELKPTRQAVVLLVTEQKSTITYDDLTTNL CPVLSTQQLYRICTLSNNEDHNNHNVSPEVISNLKLLMTNEDEDSRSFLLDDDSSIPF ETDEIANCMQEKDFANVKSVSELADNPNFLFLKD" gene complement(<22811582..>22812562) /locus_tag="BRARA_H03043" /db_xref="Phytozome:Brara.H03043" mRNA complement(join(<22811582..22811673,22811795..22811921, 22812002..22812072,22812406..>22812562)) /locus_tag="BRARA_H03043" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03043" CDS complement(join(22811582..22811673,22811795..22811921, 22812002..22812072,22812406..22812562)) /locus_tag="BRARA_H03043" /codon_start=1 /product="hypothetical protein" /protein_id="RID52448.1" /db_xref="Phytozome:Brara.H03043" /translation="MDHIYRQWLKRFSFKNATIALTIVNVLIFLYLLRDLFTSSSSSS SSSRRIISAQLRYIKEAREIRLAMQPLELIKRVKEIQQEASTGHETDVKQNTEAVDLS KRRKAFLPTNEESSLKALEEWRKRKMERARQRDLEKTGGVSSSKTS" gene complement(22813660..>22818302) /locus_tag="BRARA_H03044" /db_xref="Phytozome:Brara.H03044" mRNA complement(join(22813660..22814206,22814296..22814337, 22817040..22817181,22817327..22817413,22817731..22817793, 22817882..22817953,22818120..22818205, 22818290..>22818302)) /locus_tag="BRARA_H03044" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03044" CDS complement(join(22814079..22814206,22814296..22814337, 22817040..22817181,22817327..22817413,22817731..22817793, 22817882..22817953,22818120..22818205,22818290..22818302)) /locus_tag="BRARA_H03044" /codon_start=1 /product="hypothetical protein" /protein_id="RID52449.1" /db_xref="Phytozome:Brara.H03044" /translation="MNCAISGEVPVEPMVSKKTGLLYEKRLIERHISDYGKCPVTGEL HTIDDIVSIKTGKIVKPKPLHTASIPGLLGAFQTEWDSLMLTNFSLEQQLHSARQELS HALYQHDAACRVIARLKKERDEARQLLSEAERQLPAAPEAATANATLSNGKREWNPVK TLPDLSGTGKATCVKFGPDAKYVAVGSMDRNLRIFGLPSDDSTEDSAQDS" gene 22820544..22823639 /locus_tag="BRARA_H03045" /db_xref="Phytozome:Brara.H03045" mRNA join(22820544..22820778,22821969..22822655, 22822748..22822939,22823032..22823157,22823247..22823639) /locus_tag="BRARA_H03045" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03045" CDS join(22820737..22820778,22821969..22822655, 22822748..22822939,22823032..22823157,22823247..22823354) /locus_tag="BRARA_H03045" /codon_start=1 /product="hypothetical protein" /protein_id="RID52450.1" /db_xref="Phytozome:Brara.H03045" /translation="MSQDVISSHEQLSMDEITSPLTAQIVDFCDPQFFQETFNQTSEV TSASNCCGYVENNNNNGSKQDHEDNNNNNENGDLSIIFDSQDDFDNDITASIDFSSSI QFPASDQLQDQFDFTGVQLHQPSNVLYSSSSCDPLPPPLSVFEDDCLSSVPSYNIGSL NPTSPSCSFLGNPGLPTYMSVTGNMMNTGLAMERSGFYSGSIHLGSDFKPSHDQLMEI QADTSGMFFPDSIKPIFNPENHHLQTLDGGENQNHLVATPVLPQCGTDITGLDDGSVN KVAKLTAEQRKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDELCEP HRHGSSSHHEEDDDDVGVKEEEQLVDSSDIFSHISGVNSFKCNYPIQSWI" gene complement(22823948..22825330) /locus_tag="BRARA_H03046" /db_xref="Phytozome:Brara.H03046" mRNA complement(join(22823948..22824316,22824799..22825083, 22825172..22825330)) /locus_tag="BRARA_H03046" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03046" CDS complement(join(22824192..22824316,22824799..22825083, 22825172..22825184)) /locus_tag="BRARA_H03046" /codon_start=1 /product="hypothetical protein" /protein_id="RID52451.1" /db_xref="Phytozome:Brara.H03046" /translation="MSKRGRGGTSGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKG IKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNA GVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV" gene complement(22825871..22827234) /locus_tag="BRARA_H03047" /db_xref="Phytozome:Brara.H03047" mRNA complement(join(22825871..22826460,22826685..22826785, 22826878..22826997,22827137..22827234)) /locus_tag="BRARA_H03047" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03047" CDS complement(join(22825960..22826460,22826685..22826785, 22826878..22826935)) /locus_tag="BRARA_H03047" /codon_start=1 /product="hypothetical protein" /protein_id="RID52452.1" /db_xref="Phytozome:Brara.H03047" /translation="MSTSVKGFLKGLRHITQIFDEGKDHDIQIGFPTDVKHVAHIGSD GPASNAPSWMNDFNPQGNENGQVVSRRDANNNPVGEGVGLQELLPPPDKPKHKKTRRK SESQNGSPPRRNSNVLPSEMVPRPSRRHHRSRHASLDSSNDPSLRRRRVVVSVNEDEG SNQLSDSSSASHRKSSSRHRKVKGSGGGEVSMKKTKAKPEKSTVQSDGTCNDNNTGDK D" gene complement(<22827847..>22828299) /locus_tag="BRARA_H03048" /db_xref="Phytozome:Brara.H03048" mRNA complement(<22827847..>22828299) /locus_tag="BRARA_H03048" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03048" CDS complement(22827847..22828299) /locus_tag="BRARA_H03048" /codon_start=1 /product="hypothetical protein" /protein_id="RID52453.1" /db_xref="Phytozome:Brara.H03048" /translation="MHVSKPQKCSLCNRIFLTPQDLISHCNTFHSNHHFPTFSSSAAA APTIFRHHYPNPNHAMSGRNGFYLNYYRSGHIDEQGMFLKGCPANTPANNSNFLFGQQ EKPKLINFFPAMASESSRTLPLLCQLEQRRPSQDTATESGSIDLTLRL" gene complement(22829139..22832666) /locus_tag="BRARA_H03049" /db_xref="Phytozome:Brara.H03049" mRNA complement(join(22829139..22829585,22829657..22829877, 22829986..22830098,22830347..22830941,22831238..22831420, 22831492..22831656,22831732..22832047,22832489..22832666)) /locus_tag="BRARA_H03049" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03049" CDS complement(join(22829246..22829585,22829657..22829877, 22829986..22830098,22830347..22830941,22831238..22831420, 22831492..22831656,22831732..22831980)) /locus_tag="BRARA_H03049" /codon_start=1 /product="hypothetical protein" /protein_id="RID52454.1" /db_xref="Phytozome:Brara.H03049" /translation="MMRGRSDGGQKKRLIASVCVVALFLCFLYMYYDSSSQGASALEY GRSLRKLGSSYLGGDDEDTKQDGSVSNEEDSLVVAKSFPVCDDRHSEIIPCLDRNFIY QMRLKLDLSLMEHYERHCPPPERKFNCLIPPPSGYKVPIMWPKSRDEVWQANIPHTHL AKEKSDQNWMVVKGDKINFPGGGTHFHYGADKYIASIANMLNFSNDVLNDEGRLRTVL DVGCGVASFGAYLLSSDIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSR SFELAHCSRCRIDWLQRDGILLLELDRVLRPGGYFAYSSPEAYAQDEDSLRIWKEMST LVERMCWRIAAKRNQTVVWQKPLSNDCYLEREAGTQPPLCRSDADPDAVYGVSMEACI TPYSKHDHKTKGSGLAPWPARMTSPPPRLADFGYATHMFEKDTELWKQQVDSYWNLMS SKIKANTVRNIMDMKAHMGSFAAALKDKDVWVMNVVSPYGPNTLKLIYDRGLIGTNHN WCEAFSTYPRTYDLLHAWTVFSDIKSKGCSVEDLLLEMDRILRPTGFIIIRDKESIGE SIKKYMKALHWEVVASEKVTTGSELDQDNEDGENNVVFIVRKKLWLTSESLRDNE" gene 22833652..22836073 /locus_tag="BRARA_H03050" /db_xref="Phytozome:Brara.H03050" mRNA join(22833652..22833839,22834376..22834534, 22834737..22834912,22835084..22835497,22835579..22835690, 22835760..22836073) /locus_tag="BRARA_H03050" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03050" CDS join(22833797..22833839,22834376..22834534, 22834737..22834912,22835084..22835497,22835579..22835690, 22835760..22835854) /locus_tag="BRARA_H03050" /codon_start=1 /product="hypothetical protein" /protein_id="RID52455.1" /db_xref="Phytozome:Brara.H03050" /translation="MAKEPVRVLVTGAAGQIGYALVPMIARGIMLGADQPVILHMLDI PFAAEALNGVKMELIDAAFPLLKGVVATTDAVEGCTGVNVAVMVGGFPRKEGMERKDV MSKNVSIYKSQAAALEKHAAPNCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLD HNRALGQISERLSVPVSDVKNVIIWGNHSSTQYPDVNHAKVQTSSGEKPVRELVKNDE WLNGEFITTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPEGTFVSMGVYSDG SYNVPSGLIYSFPVTCRNGEWDIVQGLPIDEVSRKKMDLTAEELKEEKDLAYSCLS" gene 22836494..22839794 /locus_tag="BRARA_H03051" /db_xref="Phytozome:Brara.H03051" mRNA join(22836494..22836802,22836969..22837331, 22837402..22837626,22837705..22838414,22838999..22839794) /locus_tag="BRARA_H03051" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03051" CDS join(22837019..22837331,22837402..22837626, 22837705..22838414,22838999..22839616) /locus_tag="BRARA_H03051" /codon_start=1 /product="hypothetical protein" /protein_id="RID52456.1" /db_xref="Phytozome:Brara.H03051" /translation="MSTNKKTIVWFRRDLRIEDNPALAAAAHEGSVFPVFIWCPEEEG QFYPGRASRWWMKQSLAHLTQSLKALGSELTLIKTHNTVSAILDCVRATGATKVVFNH LYDPVSLVRDHTVKEKLVERGIAVQSYNGDLLYEPWEIYCEKGKPFTNFNSYWKKCLD MSVESVVLPPPWRLIPLTAAETVWACSLEELGLENEAEKPSNALLTRAWSPGWSNADK ILNEFIEKQLIDYAKNSKKVVGNSTSLLSPYLHFGEISVRRVFQCARMKQIIWARDKN GQGEESAVLFLRGIGLRDYSRYICFNFPFTHEQSLLSHLRFFPWDADVEKFKAWRQGR TGYPLVDAGMRELWATGWMHNRIRVIVSSFAVKFLLLPWKWGMKYFWDTLLDADLECD IIGWQYISGSLPDGHELDRLDNPAIQGAKYDPEGEYIRQWLPELARLPTEWIHHPWDA PLTVLKASGVELGTNYAKPIVDIDTARELLTKAISRTREAQIMIGAAPDEIVADSFEA LEAANTVKEHGLCPSSNDQQVPSDVRYSGSKRVKPAEEEEEEEREMKKLRGFNEVIRE EEERGLFSTAESSSSSSVRSVFMVSHSCSLVSEGKNLEGIQDSSDQIATSLGKNG" gene <22840019..>22841062 /locus_tag="BRARA_H03052" /db_xref="Phytozome:Brara.H03052" mRNA join(<22840019..22840762,22840943..>22841062) /locus_tag="BRARA_H03052" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03052" CDS join(22840019..22840762,22840943..22841062) /locus_tag="BRARA_H03052" /codon_start=1 /product="hypothetical protein" /protein_id="RID52457.1" /db_xref="Phytozome:Brara.H03052" /translation="MAKNSNDDETKDGLDNKKPFVSASDFSVPIIDFAGVHADALSRE GIVEKIKDAAEKWGMFQVINHGVPLTVLEEIKDRVIRFHEEDTEVKKSYFSRDYTKTF NYFNSFEREDLSVGNWRDSFACYMAPDLPNPEDLPVACRDAMIIYSNHVKKLGGLIVE LVSEALGVSSETLKRMDCTKGLQMICHYYPPCPQPDLTLGTRKHTDNTFITILLQDQV GGLQVLHQDCWVDVTPIPGALVVSVGDFLQMMTNNKFTSVNHRVLANRVGPRISVACF FCYPTNRNSTA" gene complement(22841553..22843430) /locus_tag="BRARA_H03053" /db_xref="Phytozome:Brara.H03053" mRNA complement(join(22841553..22842018,22842124..22842445, 22842806..22843430)) /locus_tag="BRARA_H03053" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03053" CDS complement(join(22841767..22842018,22842124..22842445, 22842806..22843311)) /locus_tag="BRARA_H03053" /codon_start=1 /product="hypothetical protein" /protein_id="RID52458.1" /db_xref="Phytozome:Brara.H03053" /translation="METKDLDTYSERKAFDETKEGVKGLVDAHITEIPRIFRVPERTL SDKKPSVSASDPTIPIIDFADVHVSREHIVEKIKDAAGNWGFFQVINHGVPLTVLEEI QEGVRRFFEQDLEVKKSYFTRDAAKRFVYNSNFDLYSSSLCVGWRDSFACYMAPDPPN PEELPVVCRDAMIEHTKHMTSLGVLLFELLSEALGLSSDKLKSMDCMKGFLMICHYYP PCPQPDLTIGTNNHSDNSFLTILLQDQVGGLQIHHQDHWVDVTPIPGALVINIGDFLQ LITNDNFISAEHRVLSNRNETRISVASFFSTSMLPNATVYGPIKELLSEENPPKYREF TLEEYTKGYFKKGLDGTSYLSNFKL" gene 22845551..22846978 /locus_tag="BRARA_H03054" /db_xref="Phytozome:Brara.H03054" mRNA join(22845551..22845695,22846018..22846132, 22846219..22846308,22846399..22846497,22846602..22846978) /locus_tag="BRARA_H03054" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03054" CDS join(22845628..22845695,22846018..22846132, 22846219..22846308,22846399..22846497,22846602..22846727) /locus_tag="BRARA_H03054" /codon_start=1 /product="hypothetical protein" /protein_id="RID52459.1" /db_xref="Phytozome:Brara.H03054" /translation="MGFFSFLGRVLFASLFILSAWQMFNDFGSDGGPAAKELAPKLHL AKAHLSSRLGVALPDIEVKQVVWAIVGLKGLGGLLFVVGNIFGAYLLAVYLVVVSPIL YDFYNYGPQDREFSLLFTEFLQSVALLGALLFFIGMKNSTTTSSSSSSAKRNLKKRTP KPKAA" gene 22848632..22851372 /locus_tag="BRARA_H03055" /db_xref="Phytozome:Brara.H03055" mRNA join(22848632..22848846,22849190..22850891, 22850963..22851372) /locus_tag="BRARA_H03055" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03055" CDS join(22849203..22850891,22850963..22851139) /locus_tag="BRARA_H03055" /codon_start=1 /product="hypothetical protein" /protein_id="RID52460.1" /db_xref="Phytozome:Brara.H03055" /translation="MLKTLLVHGPLLFFFFFLISSVVAGEENDGGLSICNCDDEDSYF SYEGILESQKVGDFLIAVAYFSIPIELLYFVSRTNVSSPYIWVVCEFIAFIVLCGMSH LLSGFTYGPHYPWVMTAATVFKMLTAIVSFLTAISLVTLLPLLLKAKVREFMLSKKTR ELNREVGLIMKQTETSLHVRMLTTKIRTSLDRHTILYTTLVELSKTLGLKNCAVWIPN EIKTEMNLTHELNGENVGRGPGGGPGGFSIPITESDVVRIKRSVEVNMLSAGSALASV TTRGKSGQTVGIRVPMLRVCNFKGGTPEAIHMCYAILVCVLPLRRSWSYQELEIVKVV ADQVAVAISHAVILEESQLMREKLAEQNRALQVARENAMRANQAKAAFEEMMGDAMRR PVRSILELLPLITQDGVSLPETQKVIVDAMGRTSELLLHLVNNAGDVASGTHCFSLRS VVKETACLARCLCLGNGFGFSTDVDRALPDCVVGDARKVLQVVLHMLGGVMNRKIKGN VSFKVVPERGSSEVVKESQEAAWRQCYSKEYVEVKFGFDVAAEGEESSSSSSSTKFMQ GNVLVVEDGQGLVKSLSVVFRFQLRRSIVSRGGGYSGETFKTSTPPSTNNGHWRQ" gene 22851932..22857735 /locus_tag="BRARA_H03056" /db_xref="Phytozome:Brara.H03056" mRNA join(22851932..22852087,22852622..22852842, 22853114..22853225,22853334..22853422,22853514..22853582, 22853652..22853784,22853949..22854107,22854541..22854889, 22855081..22855193,22855316..22855466,22855575..22856041, 22856119..22856284,22856370..22857735) /locus_tag="BRARA_H03056" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03056" mRNA join(22852239..22852299,22852622..22852842, 22853114..22853225,22853334..22853422,22853514..22853582, 22853652..22853784,22853949..22854107,22854541..22854889, 22855081..22855193,22855316..22855466,22855575..22856041, 22856119..22856284,22856370..22857735) /locus_tag="BRARA_H03056" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03056" CDS join(22852659..22852842,22853114..22853225, 22853334..22853422,22853514..22853582,22853652..22853784, 22853949..22854107,22854541..22854889,22855081..22855193, 22855316..22855466,22855575..22856041,22856119..22856284, 22856370..22857563) /locus_tag="BRARA_H03056" /codon_start=1 /product="hypothetical protein" /protein_id="RID52461.1" /db_xref="Phytozome:Brara.H03056" /translation="MTETVNEDSGVGRSVEASSNGHHSLSGESLSLSKWRSSAQVENG TPSTSLSYWDTDDDEDHGLKPSQLFGKHKWKIEKFSEIKKRELRSNYFEAGGYKWYIL IYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKFSDTLHRFWKK EHDWGWKKFMESTKLQDGFIDDSDSLTIEAQVQVIRERVDRPFRCLHCGYRRELVRVY LSNVEQNCRRFVEEKRSKLGRLIEDKARWTSFGVFWLGMDQNSRHRMSREKMDVILKG IVKHFFIEKEVTSTLVMDSLYSGLKALEGQSKSKKARARSLDAKEWPAPIVSVDKDMF VLVDDVLLLLERAALEPLPPKENKAPQNRTKDGNDGEEVSNEAVERDERHLTELGRRA VEIFVLTHIFNSKIEVAYKEAIALKRQEDLIREEEEEWLAETEQRAKRGAAEREKKSK KKQAKQKRNKNKGKDKKKEEKVTLATHEKDLEENHHDEEENDSVTEKAQPSAEKTDTL EEVSDISDSVDGSADILHPDLEDGDSSSVHWDADALEIHPPPSEGSSISISTPNGIAE RKTQSTMDDSSSTCSNDSIRSGVTNGSYKGNMLNFRNQKSPNQGKNQQVKITSDTRSL VTEPDDDQPKSQNSSSESDWVVVSHIQESESSRNRRPVGKQRNVAQVIVNSVDIHRRE EKSAAVVSSPRTAAKNPSPLTQTQTKPEKRSGSNAEAVPNKKVMSATVPPSSSQVSPP SSVSQSQAVGLKADMQKIPSLKQPATTTIVTRPSSAPIIPAMRPAPVIVSSSVQPTTA LPRSVSSAGRLGPDSSLRNHQTYTPQSYKHAIVGNTPGSSSSFNHHPSSHGVGLTTLP SASYTQTPAFQSSSFPFNQDGSFRSRSFNSVNMGMNNRYTPAVTSNSSLNHIDTETAR QQAQSLMTDEFPHLDIINDLLEDENCGNIVFNGSIYNSQPQLFNNQYSYLGGAADLGI SGELLSSGRSRSFGDEGFHYMPRGPYAEGLIPTQWQMANMDLSLLAMRNSNVEDTASY HHTYNFGLDSTNQSFSSGINGYTEFRPSNGH" CDS join(22852659..22852842,22853114..22853225, 22853334..22853422,22853514..22853582,22853652..22853784, 22853949..22854107,22854541..22854889,22855081..22855193, 22855316..22855466,22855575..22856041,22856119..22856284, 22856370..22857563) /locus_tag="BRARA_H03056" /codon_start=1 /product="hypothetical protein" /protein_id="RID52462.1" /db_xref="Phytozome:Brara.H03056" /translation="MTETVNEDSGVGRSVEASSNGHHSLSGESLSLSKWRSSAQVENG TPSTSLSYWDTDDDEDHGLKPSQLFGKHKWKIEKFSEIKKRELRSNYFEAGGYKWYIL IYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKFSDTLHRFWKK EHDWGWKKFMESTKLQDGFIDDSDSLTIEAQVQVIRERVDRPFRCLHCGYRRELVRVY LSNVEQNCRRFVEEKRSKLGRLIEDKARWTSFGVFWLGMDQNSRHRMSREKMDVILKG IVKHFFIEKEVTSTLVMDSLYSGLKALEGQSKSKKARARSLDAKEWPAPIVSVDKDMF VLVDDVLLLLERAALEPLPPKENKAPQNRTKDGNDGEEVSNEAVERDERHLTELGRRA VEIFVLTHIFNSKIEVAYKEAIALKRQEDLIREEEEEWLAETEQRAKRGAAEREKKSK KKQAKQKRNKNKGKDKKKEEKVTLATHEKDLEENHHDEEENDSVTEKAQPSAEKTDTL EEVSDISDSVDGSADILHPDLEDGDSSSVHWDADALEIHPPPSEGSSISISTPNGIAE RKTQSTMDDSSSTCSNDSIRSGVTNGSYKGNMLNFRNQKSPNQGKNQQVKITSDTRSL VTEPDDDQPKSQNSSSESDWVVVSHIQESESSRNRRPVGKQRNVAQVIVNSVDIHRRE EKSAAVVSSPRTAAKNPSPLTQTQTKPEKRSGSNAEAVPNKKVMSATVPPSSSQVSPP SSVSQSQAVGLKADMQKIPSLKQPATTTIVTRPSSAPIIPAMRPAPVIVSSSVQPTTA LPRSVSSAGRLGPDSSLRNHQTYTPQSYKHAIVGNTPGSSSSFNHHPSSHGVGLTTLP SASYTQTPAFQSSSFPFNQDGSFRSRSFNSVNMGMNNRYTPAVTSNSSLNHIDTETAR QQAQSLMTDEFPHLDIINDLLEDENCGNIVFNGSIYNSQPQLFNNQYSYLGGAADLGI SGELLSSGRSRSFGDEGFHYMPRGPYAEGLIPTQWQMANMDLSLLAMRNSNVEDTASY HHTYNFGLDSTNQSFSSGINGYTEFRPSNGH" gene 22857987..22858893 /locus_tag="BRARA_H03057" /db_xref="Phytozome:Brara.H03057" mRNA join(22857987..22858228,22858312..22858461, 22858537..22858893) /locus_tag="BRARA_H03057" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03057" CDS join(22858040..22858228,22858312..22858461, 22858537..22858680) /locus_tag="BRARA_H03057" /codon_start=1 /product="hypothetical protein" /protein_id="RID52463.1" /db_xref="Phytozome:Brara.H03057" /translation="MNLGSVTRYLEGEVIDEGGKDKESKVAKLPSRFIERFVLKGIKV DLIEPGRIVCSMKVQPHLLNAGKFLHGGAMATVVDLIGTAAIYTNVDSDQSEGVSVEI NVSYLDAAFLDEEIEIESRALRVGKAVAVASVELRRKKDGKMIAQGRHTKYLAPRPKL " gene 22859444..22860882 /locus_tag="BRARA_H03058" /db_xref="Phytozome:Brara.H03058" mRNA join(22859444..22859641,22859722..22859870, 22859970..22860027,22860468..22860882) /locus_tag="BRARA_H03058" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03058" CDS join(22859639..22859641,22859722..22859870, 22859970..22860027,22860468..22860716) /locus_tag="BRARA_H03058" /codon_start=1 /product="hypothetical protein" /protein_id="RID52464.1" /db_xref="Phytozome:Brara.H03058" /translation="MADVEPEVAAAGVPKKRTFKKFAFKGVDLDALLDMSTDDLVKLF PSRIRRRFSRGLTRKPMALIKKLRKAKREAPQGEKPEPVRTHLRNMIIVPEMIGSIIG VYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGVGATHSSRFIPLK" gene 22861222..22861993 /locus_tag="BRARA_H03059" /db_xref="Phytozome:Brara.H03059" mRNA 22861222..22861993 /locus_tag="BRARA_H03059" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03059" CDS 22861230..22861766 /locus_tag="BRARA_H03059" /codon_start=1 /product="hypothetical protein" /protein_id="RID52465.1" /db_xref="Phytozome:Brara.H03059" /translation="MANQVISGIKETVQSINGAARPWGDFLDLSAFSFPSSFSDATTR LTQNLTHFRINYTIILSLLLALTLITRPIAILAFVAVGLAWFFLYFAREEALTVFGFT VDDGVVAVLLIGLTIAALVTTGVRLSALTTVGFGALVLILHAALRGTEDLVTDDLESP YGPMLSTAGGDNGDYSGI" gene complement(22863931..22865827) /locus_tag="BRARA_H03060" /db_xref="Phytozome:Brara.H03060" mRNA complement(join(22863931..22864213,22864353..22864414, 22864514..22864652,22864796..22865007,22865499..22865827)) /locus_tag="BRARA_H03060" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03060" CDS complement(join(22864176..22864213,22864353..22864414, 22864514..22864652,22864796..22865007,22865499..22865737)) /locus_tag="BRARA_H03060" /codon_start=1 /product="hypothetical protein" /protein_id="RID52466.1" /db_xref="Phytozome:Brara.H03060" /translation="MMGSVGLNLRETELCLGLPGGDTASPLTGTKRGFSETVDLKLNL NNEPESKEGSKSHDVVSAISKEKSSCTKDPTKPPAKAQVVGWPPVRSYRKNVMGSCQK SSSSADTAAFVKVSMDGAPYLRKIDLKMYKSYDELSDALSNMFSSFTMGKNGGEEGMI DFMNERKVMDTVSSWDYVPSYEDKDGDWMLVGDVPWPMFVDTCKRLRLMKGSDAIGLA PRAMEKCKSRA" gene complement(22877211..22881779) /locus_tag="BRARA_H03061" /db_xref="Phytozome:Brara.H03061" mRNA complement(join(22877211..22877478,22877579..22877636, 22877711..22877802,22877897..22878016,22878129..22878218, 22878315..22878407,22878504..22878594,22878707..22878825, 22879118..22879231,22879312..22879440,22879603..22879705, 22879855..22879939,22880017..22880265,22880416..22880554, 22880644..22880773,22880857..22880920,22881009..22881257, 22881391..22881779)) /locus_tag="BRARA_H03061" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03061" CDS complement(join(22877383..22877478,22877579..22877636, 22877711..22877802,22877897..22878016,22878129..22878218, 22878315..22878407,22878504..22878594,22878707..22878825, 22879118..22879231,22879312..22879440,22879603..22879705, 22879855..22879939,22880017..22880265,22880416..22880554, 22880644..22880773,22880857..22880920,22881009..22881257, 22881391..22881580)) /locus_tag="BRARA_H03061" /codon_start=1 /product="hypothetical protein" /protein_id="RID52467.1" /db_xref="Phytozome:Brara.H03061" /translation="MGGAASTPRSTGGDDVSVEEYLIATFVGEKSFPLASDVWNKLLE LPLRSRWPRDRVHQACQLFAQSNGNTRHLAKLLIHLSWCLQELLQASSDDDDDDAHSS LYKKALNATYISSLFLKHLIENGKSEELHLSLDESEPVPHGFVMDQDIQNFVMHSVLS FIGSTQVSPNSYVLHQELLNFMVVAMSTQLLSGPSPGPRDANPFLDAAMAQEKSIVCM AVRRLLLNYISRNHTPNAKTYLYSDGDSPGILERVGSAAATFVLLPLNYLVNNTGDGS KYPLAESSLHVLLILIHYQKSILSDESMTDKSDDSATSESVSKVHVFSSGNTFTKALA NARDVEFDRSDLEGNAYSGPHVRIPFASLFDTLCMCLSDEGAVLLLYSLLQGNSYFKE YVLVRTDMDTMLMPILETLYNASRRTSSNQIYMMLIVLLILSQDSSFNSSIHKMILPS VPWYKEHLLHQTSLGSLMVIILIRTVQHNLSKLRDVYLQTTCLATLANMAPHAHHLSA YASQRLVSLFYMLSRKYNKLSDLTGDKLQSIKISLTGEDDSVSEDLAAELQIFTDFLR LVLDILNAILTYALPRNPEIVYAIMHRQEVFQPFKNHPRFHELVENIYTVLDFFNSRM DTQRSDREWSVQKVLQFIIDNCRSWRGEGMKMFTQLHFSYEQESHPEEFFIPYVWQLA LSRCGFSFNPDAINLFPVPHQVEEQIEDGKGDEVEEGEEKKVKELIEQRIVFDP" gene complement(22882486..22884770) /locus_tag="BRARA_H03062" /db_xref="Phytozome:Brara.H03062" mRNA complement(join(22882486..22882816,22882972..22883105, 22883198..22883317,22883402..22883581,22883653..22883826, 22883913..22883963,22884065..22884158,22884518..22884770)) /locus_tag="BRARA_H03062" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03062" CDS complement(join(22882741..22882816,22882972..22883105, 22883198..22883317,22883402..22883581,22883653..22883826, 22883913..22883963,22884065..22884158,22884518..22884681)) /locus_tag="BRARA_H03062" /codon_start=1 /product="hypothetical protein" /protein_id="RID52468.1" /db_xref="Phytozome:Brara.H03062" /translation="MAERVVESGSNNGGDAEKSLKEKGNEFFKAGNYLKAAALYTQAI KLDPSNATLYSNRAAAFLSLVKLSKALADAETTIKLNPQWEKGYFRKGSVLEAMEKYD DALAAFEMALQYNPQSAEVSRKIKRLGQLQKEKQRAQELESLRSNVNMAKHLDSFKSE LSANYGAEEGWKEMFSFLVETMETAVKSWHETSKVDTRVYFLLDKEKTQTDKFAPAVN IDKAFESPHTHSNCFTYLRQYAEESFSEAACLVTSKSSISYPQVWKGQGSRKWKLGQN DGIFVQFESPSIRNVWFIPSSKEKGQTLCRDPQALDIGAHEILPRIFKEVSKSS" gene complement(22885250..22887936) /locus_tag="BRARA_H03063" /db_xref="Phytozome:Brara.H03063" mRNA complement(join(22885250..22885706,22885779..22886039, 22886133..22886351,22886430..22886657,22886875..22886977, 22887060..22887172,22887320..22887381,22887462..22887581, 22887854..22887936)) /locus_tag="BRARA_H03063" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03063" CDS complement(join(22885404..22885706,22885779..22886039, 22886133..22886351,22886430..22886657,22886875..22886977, 22887060..22887172,22887320..22887381,22887462..22887570)) /locus_tag="BRARA_H03063" /codon_start=1 /product="hypothetical protein" /protein_id="RID52469.1" /db_xref="Phytozome:Brara.H03063" /translation="MSRNKGLAEQDLSKLDVTVLHPLSPEVISRQATINIGTIGHVAH GKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDEKCPRPMCYKAYGSGKE DNPLCDVPGFENSKMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQ PQTSEHLAAVEIMQLKHIIILQNKIDLIQENVAINQHEAIQKFIMNTVADGAPIVPVS AQLKYNIDVVCEYIVKKIPIPKRNFISPPNMIVIRSFDVNKPGFEVDEIKGGVAGGSI LRGVLKVNQLIEIRPGIVVKDERGNPKCTPIYSRIISLYAEQNELQYAVPGGLIGVGT TMDPTLTRADRLVGQVLGEIGSLPDVFVELEVNFFLLRRLLGVRIKGSEKQGKVTKLT KGEILMLNIGSMSTGAKVVGVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWG QIQAGTTIEVPPSPF" gene 22888505..22893300 /locus_tag="BRARA_H03064" /db_xref="Phytozome:Brara.H03064" mRNA join(22888505..22888805,22889117..22889278, 22889367..22889483,22889554..22889638,22889882..22889990, 22890081..22890148,22890219..22890344,22890427..22891379, 22891463..22891663,22891748..22891808,22892317..22892430, 22892524..22892637,22892715..22892804,22892901..22893300) /locus_tag="BRARA_H03064" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03064" CDS join(22888711..22888805,22889117..22889278, 22889367..22889483,22889554..22889638,22889882..22889990, 22890081..22890148,22890219..22890344,22890427..22891379, 22891463..22891663,22891748..22891808,22892317..22892430, 22892524..22892637,22892715..22892804,22892901..22892927) /locus_tag="BRARA_H03064" /codon_start=1 /product="hypothetical protein" /protein_id="RID52470.1" /db_xref="Phytozome:Brara.H03064" /translation="MNFEMMESIWSHDQPPPPPPLVPSPKPVARQRSRSVFRLLVQRE ISPKTKSVPRKRWGVRRCDTADSPCGTSSETVSEQRHNLISWVEAESLQHLSADYCPL VPPPRSTIAAAFSSDGRTLASTHGDHTVKIIDCETGKCLKVLSGHRRTPWVVRFHPRH SEIVASGSLDHEVRLWNAITSECIRSHDFYRPIASIAFHAEGDILAVASGHKLHIWHY NKTGEDATPAIVLKTRRSLRAVHFHPHGVPLLLTAEVTDIDSSDSAMTRATSPGYLRY PPPAIFFTNTQSGGSHTSMAAELPLVPLPYLLLPSYSPDDPRIQYSTAATGPRSAQSR FQSNQSSVEQGGGRTMSTPPPLPLAISGDLVPENSQARARTSTTAVDAMDIDEAQPVG GGNRIPRQVSSQSDLLEFGQFQQLFHSRDRVSWEVPFLQGWLMAQSQAGVLPTGSSGT APPHTGSSSASHTSTASLEAAVASLEIPGGVNLYGVSARDRTRFSGSGLAGGLASRNA QQEAGADAQPVVNRLPSELASSIAAAELPCTVKLRVWAHDIKDPCAILRSDKCRLTIH HAVLCSEMGAHFSPCGRYLAACVACVIPHAEADPGLQTLVQQDSGLATSPTRHPVTAH QVMYELRVYSLEKETFGSVLVSRAIRAAHCLTSIQFSPNSEHILLAYGRRHGSLLKSI VSDGETTSHFFTVLEIYRVADMELVRILPSSEDEVNVACFHPSPGGGLVYGTKEGKLR IFRYNTAAASNLTAPNSSPEENLAEVQTYALEC" gene complement(22893446..22898421) /locus_tag="BRARA_H03065" /db_xref="Phytozome:Brara.H03065" mRNA complement(join(22893446..22893751,22893857..22893958, 22894032..22894340,22894426..22894656,22894751..22894867, 22894982..22895134,22895238..22895381,22895777..22896161, 22896403..22896570,22897108..22897337,22897548..22897657, 22897760..22897987,22898289..22898421)) /locus_tag="BRARA_H03065" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03065" CDS complement(join(22893659..22893751,22893857..22893958, 22894032..22894340,22894426..22894656,22894751..22894867, 22894982..22895134,22895238..22895381,22895777..22896161, 22896403..22896570,22897108..22897337,22897548..22897657, 22897760..22897970)) /locus_tag="BRARA_H03065" /codon_start=1 /product="hypothetical protein" /protein_id="RID52471.1" /db_xref="Phytozome:Brara.H03065" /translation="MGESQAMVAEGYNASAATVESNIDSSQSVANVSLVNGTGPEGGL TTSAENGTATDNVPVTAPGAGHVEYAGSALSPEEERLWSIVRANSSEFNAWTALIEET ERISQDNISKIRKVYDAFLAEFPLCYGYWKKYADHEARVGAMDKVVEVYERAVQGVTY SVDIWLHYCVFAINTYGDPDTIRSLFERAVVYVGTDFLSSPLWDKYIEYEHMQQDWSR LAMIYTRILENPIQNLDRYFNNFKELAETRPLSELRSAEESATVAVASDASETALSES DGKADEGKSQADGTSEPSKLESAGSTDPEELKKYIGIREALYIKAKEFESKIIGFEMA IRRPYFHVRPLNVAELENWHNYLDFIENDGDFNKVVKLYERCLVACANYPEYWIRYVL SMGSSGSMDLADNALTRATQVFVKKQPEIHLFAARLKEQNGDIAGARAAFQLLHSEIS PGLLEAVIKHANMEQRLGNVDDAFSVYEQVIAVEKGKENSILLPLLYAQYSRFSYLVL RDAEKARKIIVEALDHVQPSKHFMEALIFFETILPPPRKIEYLDPLVENLIKPNVDTQ NTASSTEREELSLIYIEFLGLFGDVESIKKAEDRHCKLFLPHRSRSELKKRSADEFLS SDRTKLAKPYNETAPAQPVSNAYPNAPAQWSGGYAAQPQAWPQPQAAPAQPQQWNPAY GQQQAAYGAYGGYPAGYAAPQAPTPVPQAAAYGAYPPQAYPAAATAPLAAPVQQPAAA PPQAYYNTYY" gene 22899648..22901026 /locus_tag="BRARA_H03066" /db_xref="Phytozome:Brara.H03066" mRNA join(22899648..22900103,22900202..22900411, 22900657..22901026) /locus_tag="BRARA_H03066" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03066" CDS join(22899676..22900103,22900202..22900411, 22900657..22900834) /locus_tag="BRARA_H03066" /codon_start=1 /product="hypothetical protein" /protein_id="RID52472.1" /db_xref="Phytozome:Brara.H03066" /translation="MDRSIFISLALASLLVGVVSARDWNILNQLKGLSSSSSQNGIVS SGVSTNLKRYCESWRINVEVHNVRNFDVVPQECVSHVKKYMTSSQYEDDVERAVDEVI LHFGSMCCTKTKCDGMDAWIFDIDDTLLSTIPYHEKNGFFGGEKLNSTKFEDWMRKRK APPVPHMVKLYHDIRERGIKIFLVSSRKEYLRSATVDNLIQAGYYGWSNLILRGIEDE HKEVKQYMSEKRTWLMGLGYRVWGVMGSQWSSFAGCPLPKRTFKLPNSIYYVA" gene complement(22906469..22910538) /locus_tag="BRARA_H03067" /db_xref="Phytozome:Brara.H03067" mRNA complement(join(22906469..22906708,22906807..22906940, 22907051..22907176,22907254..22907410,22907668..22907777, 22907866..22908013,22908215..22908356,22908662..22908752, 22908866..22908971,22909154..22909253,22909340..22909445, 22909735..22909971,22910066..22910538)) /locus_tag="BRARA_H03067" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03067" CDS complement(join(22906809..22906940,22907051..22907176, 22907254..22907410,22907668..22907777,22907866..22908013, 22908215..22908356,22908662..22908752,22908866..22908971, 22909154..22909253,22909340..22909445,22909735..22909971, 22910066..22910221)) /locus_tag="BRARA_H03067" /codon_start=1 /product="hypothetical protein" /protein_id="RID52473.1" /db_xref="Phytozome:Brara.H03067" /translation="MKMDIVGVEDVHILDPDLLQLPCLSPSPLKASSHIADELFSHWL SLPETATLVKCLIDEAKSATPTNLSKSYATINVPGATPLVFLSNGTPPLSPRSSPGSP RFSRQRASPPSLRSPLRSVKEPKHELIPQFYFLHGRPPAKELKEQCISMVDHFFSNFI DGLHVDEFKSITKEVCKLPSYLSPALFRKMDPESSGIVTRDAFIKYWIDGNMLTMDTA SQIYNILRQQDCKHLTQADFKPLLDELLATHPGLQFLRTTSEFQERYAETVIYRIFYY INRSRTGCLTLRELKRGNLIAAMQQLDEEDDINKIIRYFSYEHFYVIYCRFWELDGDH DCYIDKDNLIKYGNHALTYRIVDRIFSQAPRKFTSKVEGKMSYEDFVYFILAEEDKSS EPSLEYWFKCIDLDGNGVITPNEIQFFFEEQLHRMESITQEPVHFNDILCQIIDMIKP EEENCITLQDLKGSKLSGNVFNILFNLNKFMAFETRDPFLIRQEREDPSLTEWDRFAQ REYVRLSMEEDVEEVSNGSADEPLEPPF" gene <22911427..22913072 /locus_tag="BRARA_H03068" /db_xref="Phytozome:Brara.H03068" mRNA <22911427..22913072 /locus_tag="BRARA_H03068" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03068" CDS 22911427..22912854 /locus_tag="BRARA_H03068" /codon_start=1 /product="hypothetical protein" /protein_id="RID52474.1" /db_xref="Phytozome:Brara.H03068" /translation="MAHLQSLNIIETSHISPSNGTVPSTTLPLTFFDAPWLTLPLGES LFFFSYQNSTEHFLKDYLPNLKQSLSTTLQHFFPYAGNLVTPPRPDPPYLRYNDGQDS LLFTVAESLGTDFDLLITDSPKDIKVLHDFLPKLPPPHVSPEGIQTRPVMVIQVTIFP GKGICIGNTSTHVAGDGVSFTHFMKYWMSLTKSNCKDPATLLLPSPPIHSCRNIIKDP GEVAAGHLERFWSQNSGKHNSHPTPENMVRATFTMSRNQIDNLKSLVTEQSENQTPVS TFVVTLAFTWVNLIKTLVGETEDKDEEVFNLMINVDCRNRLKLTEPIPTTYFGNCMAP GIVSVKKQDLLGEKGVLAASDAITWRIKDMLSSDLLKTAPSWGQGVRKWVMSRFPTSI AGAPKLGLYDMDFGLGKPCKMEMVHIETGGSIAFSESRDGSNGVDVGLALEKTEMDTF VSIWQQGIKKFNKWQDTSNFTIYVS" gene complement(22916614..22918497) /locus_tag="BRARA_H03069" /db_xref="Phytozome:Brara.H03069" mRNA complement(join(22916614..22917123,22917283..22917369, 22917517..22917653,22917770..22917821,22917912..22918072, 22918248..22918497)) /locus_tag="BRARA_H03069" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03069" CDS complement(join(22916839..22917123,22917283..22917369, 22917517..22917653,22917770..22917821,22917912..22918072, 22918248..22918401)) /locus_tag="BRARA_H03069" /codon_start=1 /product="hypothetical protein" /protein_id="RID52475.1" /db_xref="Phytozome:Brara.H03069" /translation="MAAKDQATTSDDAIRVSGMEFSYEAEDPIFFDFNLDLPAGSRCL LVGANGSGKTTLLRILAGKHMVGGKNVVQVLSRSAFHDTQLVCSGDLSYLGGSWSKTV GSAGEVPLQGDFSAEHMIFGVEGIDPVRREKLIDLLDINLQWRMHKVSDGQKRRVQIC MGLLHPFKVLLLDEVTVDLDVVARMDLLEFFKEECDQRGATIVYATHIFDGLETWATH LAYIQNGELNRSSKMADISEMKTSPNLLSVVESWLRSETKIDKKKKKEPVPAWKPTPF DNSPFRSSKHMAYYR" gene complement(22918669..22920672) /locus_tag="BRARA_H03070" /db_xref="Phytozome:Brara.H03070" mRNA complement(join(22918669..22918981,22919078..22919326, 22920125..22920672)) /locus_tag="BRARA_H03070" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03070" CDS complement(join(22918907..22918981,22919078..22919326, 22920125..22920625)) /locus_tag="BRARA_H03070" /codon_start=1 /product="hypothetical protein" /protein_id="RID52476.1" /db_xref="Phytozome:Brara.H03070" /translation="MSFEEEEKEEEETFEHTLLVVREVSVYKIPPRTTSGGFKCGEWL QSDKIWSGRLRVVSCKDRCEIRLEDSNSGDLFAACFVDPGRRENSVEPSLDSSRYFVL RIDDGRGKYAFIGLGFAERNEAFDFNVALSDHEKYVRREKEKESGETSESDDHIDIHP AVNHRLKEGETIRINVKPKPTTNGTGMLSAALSGNGKPLALAPPPTAATKTRSPLPPP PNDPVTSRIASDSSTGNTRRRNDPLSDLSQLKKNLPPTQGSGPTKSTGAASGWAAF" gene 22920835..22923904 /locus_tag="BRARA_H03071" /db_xref="Phytozome:Brara.H03071" mRNA join(22920835..22920912,22921355..22921631, 22921705..22922124,22922217..22922352,22922436..22922735, 22922858..22923074,22923250..22923353,22923441..22923509, 22923585..22923904) /locus_tag="BRARA_H03071" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03071" CDS join(22920897..22920912,22921355..22921631, 22921705..22922124,22922217..22922352,22922436..22922735, 22922858..22923074,22923250..22923353,22923441..22923509, 22923585..22923659) /locus_tag="BRARA_H03071" /codon_start=1 /product="hypothetical protein" /protein_id="RID52477.1" /db_xref="Phytozome:Brara.H03071" /translation="MATASAAFKSREDHRKQIELEEARKAGLAPAELDEDGKEINPHI PQYMSSAPWYLNAEKPSLKHQRNWKSDPNYTKSWYDRGAKIFQAEKYRKGACQNCGAM THTAKACMDRPRKIGAKYTNKNIAPDEKIESFELDYDGKRDRWNGYDPSTYHRVVDLY EAKEDARKKYLKEQQIKKLEEKNNNQEGDDAATSDGDEEDDDDLKVDEAKVDESRQMD FAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDINSAHYDPKTRSMREDPLPDAD PNDKFYLGDNQYRNSGQALEFKQMNIHSWEAFDKGQDMHMQAAPSQAELLYKNFKVAK DKLKTQTKDTIMEKYGNASTEDEIPMELLLGQSERQVEYDRAGRIIKGQEVILPKSKY EEDVLANNHTSVWGSWWKDHQWGYRCCQQTIRNSYCTGSAGIEAAEASLDLMKANIAR KEACEESPKKVEEKRMAAWGSDVPEDLELNEEALANALKKEDERKREEKDERKRKYNV KYTNDVTPEEMEAYRMKRVHHEDPMKDFL" gene 22924204..22926512 /locus_tag="BRARA_H03072" /db_xref="Phytozome:Brara.H03072" mRNA join(22924204..22924297,22924669..22924850, 22924970..22925086,22925581..22925751,22925832..22926044, 22926149..22926512) /locus_tag="BRARA_H03072" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03072" CDS join(22924680..22924850,22924970..22925086, 22925581..22925751,22925832..22926044,22926149..22926343) /locus_tag="BRARA_H03072" /codon_start=1 /product="hypothetical protein" /protein_id="RID52478.1" /db_xref="Phytozome:Brara.H03072" /translation="MSFNKVPNVPGSPALSALLKVSVIGGLGLYAITNSLYNVEGGHR AVMFNRLTGIKEKVYPEGTHFMLPWFERPIIYDVRARPYLVESTTGSHDLQMVKIGLR VLTRPMGDRLPQIYRTLGENYSERVLPSIIHETLKAVVAQYNASQLITQREAVSREIR KILTERASNFDIALDDVSITTLTFGKEFTAAIEAKQVAAQEAERAKFIVEKAEQDKRS AVIRAEGEAKSAQLIGQAIANNQAFITLRKIEAAREIAQTIAQSANKVYLSSNDLLLN LQGMNLEPGPKK" gene 22927514..22928197 /locus_tag="BRARA_H03073" /db_xref="Phytozome:Brara.H03073" mRNA 22927514..22928197 /locus_tag="BRARA_H03073" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03073" CDS 22927589..22928047 /locus_tag="BRARA_H03073" /codon_start=1 /product="hypothetical protein" /protein_id="RID52479.1" /db_xref="Phytozome:Brara.H03073" /translation="MQKAIRPYESSWTKTIPGNSIFRPKAEDKPSSSLSWLTSSSSPQ KLSLSIKESSNVLVMENAVVVFARRGCCMGHVARRLLLTHGVNPLVAEVDDEDENIII SEWGKTMINKEKLPVMFIGGKMIGGLENLMAAHISGDLVPTLRQAGALWL" gene 22928449..>22932644 /locus_tag="BRARA_H03074" /db_xref="Phytozome:Brara.H03074" mRNA join(22928449..22928824,22928897..22929058, 22929126..22929191,22929281..22929418,22929512..22929565, 22929646..22929834,22929920..22930009,22930089..22930223, 22930319..22930441,22930550..22930635,22930727..22931258, 22931328..22931405,22931481..>22932644) /locus_tag="BRARA_H03074" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03074" CDS join(22928636..22928824,22928897..22929058, 22929126..22929191,22929281..22929418,22929512..22929565, 22929646..22929834,22929920..22930009,22930089..22930223, 22930319..22930441,22930550..22930635,22930727..22931258, 22931328..22931405,22931481..>22932644) /locus_tag="BRARA_H03074" /codon_start=1 /product="hypothetical protein" /protein_id="RID52480.1" /db_xref="Phytozome:Brara.H03074" /translation="MSKLTAESGSETGRAIQLVYTDENGKLKTDPEAIGALQKLKGPV AVVSLFGKAQHGKSFIWNQLVSRSIGFEVQTLHRPCTGDIWMWIEPVKRISEDGTEYS LVLLDVEGADSNGVLASRSSQIFSLAILLSSVFIYGPTFGVDDISLDLSRLLEISKHD FVGEAKDNTFSGLGQFSPVFVQLMTDINSETVEGGEDVTQNNRVEALRPQLLYGVNAL VKFVSERVRPKKRGDSTIVTGPPLAAFTKFFSENVNNSVLPKISSLWLSVEESEGRKA RDTATEVYMSSLERAETLEESMLIEAHNKAVAKALTAFCESSIGNNEVKQRYKRELWS FFAKAFEDHKRVADMEAYSRCCNAIEDMGKKLWALPCSRDANSSDIIKALDTAVAEYE VSINGPMKWQTLSSFLKKSIQDILVHRRGNQMEELLSENSKLKLQHRSTESTMDLLKK QLEGGEKMRKEYQKRYEGAIDDMNKLSDQFKNRIHDLESKCNSIHDEHSKLMEVLGST RLEAAEWKRKYEGALDENGASNASIKRCDKSIDWKIKYENTISEQKAVSEKIAAMEER LKQASAKEDGMRAEFSRVLDEKEKVITEKATKLATLEQLLSSTRSELKKSELKVKECS LEAKDLRVQVSDLNEKCESMISAAKSRENETQALRQERDYLDKNHLSHMEELGKLCLR LEDAESKASSAKKLADSLRMEAEAAQNNEKSLQTSLVEKCIEIERAKSRIQELEKVCL KLKSEESEASASNKLVDSMKTEADALRMNVNELQTSLHDKCIEIDQANCRIEELEKIC LKLKDAEEGAAAAKELASLRKTEVESARCNENKLQALLQEKSIEIDNLERQKNALSET LETRAKQNEEAVSEWHRIINAEKEKNMRVNTMQRVDSFMVSDEATPLQRVKRLKVEAS ITSSGSVFETEEDTASQESGRAMSAMTPRRCTSSGGGGGESSSTGMDHSKYTMKKLRD EILKHGFGAELVGLKNARKSVLVELYERCV" gene 22939580..22940517 /locus_tag="BRARA_H03075" /db_xref="Phytozome:Brara.H03075" mRNA 22939580..22940517 /locus_tag="BRARA_H03075" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03075" CDS 22939620..22940285 /locus_tag="BRARA_H03075" /codon_start=1 /product="hypothetical protein" /protein_id="RID52481.1" /db_xref="Phytozome:Brara.H03075" /translation="MASFAVNSTFCFLVAVLCFIGNNVEGREGKIFFSKFTHLDRPNN KEVHLTTAPAPAPGPAQANGRLGDGPFGPGSGMIPQTKKESWPVSSTTTDEEFEKLMA TFDQEKSNNQLPEEFEEEEESQEDLSEQKDKYNNNGYTYTTNNYNDNRRGYGNEEERQ GMSDTRFMENGKYFYDTRGRSSEYTPSRRYESARGNEHPNEFETMEEYYKSLEGSQEE FEP" gene complement(<22941945..>22943132) /locus_tag="BRARA_H03076" /db_xref="Phytozome:Brara.H03076" mRNA complement(<22941945..>22943132) /locus_tag="BRARA_H03076" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03076" CDS complement(22941945..22943132) /locus_tag="BRARA_H03076" /codon_start=1 /product="hypothetical protein" /protein_id="RID52482.1" /db_xref="Phytozome:Brara.H03076" /translation="MRPTVDIPPRKLLLAESSPRSSPRKHNWNNKVSNKIATSEHEED NEDSNNKETKEYCYDSDTDDPYASEHFRMFEFKIRRCTRSRSHDWTDCPFAHPGEKAR RRDPRRFQYSAEVCPEFRRDGDCSRGDECEFAHGVFECWLHPIRYRTEACKDGKHCKR KVCFFAHSPRQLRVLPPENVSGGGSASGSPAAAKNPCCMFCSSSPTSTLLGNLSHLSR SPSLSPPLSPAHKAAAFSRLRNRAASAVSEAVSAAAAAGSVNYNDVLNELVNSLDSIN LAEALHVSSSSPVTTPVSAAAAAFASSCGLSNQRLHLQQQQSSPLQFALSPSTPSYLA TSFSPSSPSYLTNSPRANIFSGEITPRQRQKNEFTAVRDKTSFEDGSCGDPDLGWVND LLT" gene 22949215..22950847 /locus_tag="BRARA_H03077" /db_xref="Phytozome:Brara.H03077" mRNA join(22949215..22949329,22949463..22949624, 22949707..22949890,22949978..22950123,22950230..22950313, 22950389..22950847) /locus_tag="BRARA_H03077" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03077" CDS join(22949294..22949329,22949463..22949624, 22949707..22949890,22949978..22950123,22950230..22950313, 22950389..22950775) /locus_tag="BRARA_H03077" /codon_start=1 /product="hypothetical protein" /protein_id="RID52483.1" /db_xref="Phytozome:Brara.H03077" /translation="MSQSGLRTEELDRFNYPEGFARRRGSYALAHFECEGKLGKMYGG YPNSALVKLFAKLGLHRYNWLEGTNFQFGRLKRFNMGSAATAYFITLVARLPTSHLEQ IFQVVVEEERLGILDLTCRHSRPHEGTESSKKEMPSLRPHRQPAPTSYKGRLFDWPSS DFAWPSSFSDTKRFYLLNESELQCDWISLYVELAICTSHRKIKARDLTKFHLEIVQVA IESLDDKEPPSLMSRAALLYVTYKDVVKSLRTGEPCLSKAAVRRVFNESKGRLSIQGD CWVEAETGLAFSEIPSKKSSKKGSLKLKRRLGVHKLWRLSSPRWYQTYKNRGDLDN" gene complement(22953396..22955227) /locus_tag="BRARA_H03078" /db_xref="Phytozome:Brara.H03078" mRNA complement(join(22953396..22953750,22953850..22954205, 22954289..22954826,22954906..22954992,22955077..22955227)) /locus_tag="BRARA_H03078" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03078" CDS complement(join(22953595..22953750,22953850..22954205, 22954289..22954826,22954906..22954992,22955077..22955136)) /locus_tag="BRARA_H03078" /codon_start=1 /product="hypothetical protein" /protein_id="RID52484.1" /db_xref="Phytozome:Brara.H03078" /translation="MALQAAYSLLPSTTASIHKEGRFSASLKETTLTSFSFSNNLRSD KISIKEQRRQNPRFSTGIRAQTVTATPPSNEASPEQKKTQRKGTAVITGASSGLGLAT AKALADTGKWHVIMACRNFLKAEKAAKSVGMCKEDYTVMHLDLASLESVKQFVDNYRR TERPLDVLVCNAAVYQPTAKEPSFTAEGFELSVGTNHLGHFLLSRLLLDDLKKSDYPS KRMIIVGSITGNTNTLAGNVPPKANLGDLRGLASGLNGQNSSMIDGGEYDGAKAYKDS KVCNMLTMQELHRRYHEETGVTFASLYPGCIATTGLFREHVPLFRLLFPPFQKYITKG YVSEEEAGKRLAQVVSDPSLGKSGVYWSWNNNSSSFENQLSKEASDAEKAKKLWEVSE KLVGLA" gene 22956236..22958093 /locus_tag="BRARA_H03079" /db_xref="Phytozome:Brara.H03079" mRNA join(22956236..22956317,22956656..22956773, 22956849..22956917,22957010..22957125,22957194..22957248, 22957339..22957462,22957541..22957588,22957685..22957779, 22957856..22958093) /locus_tag="BRARA_H03079" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03079" CDS join(22956247..22956317,22956656..22956773, 22956849..22956917,22957010..22957125,22957194..22957248, 22957339..22957462,22957541..22957588,22957685..22957779, 22957856..22957957) /locus_tag="BRARA_H03079" /codon_start=1 /product="hypothetical protein" /protein_id="RID52485.1" /db_xref="Phytozome:Brara.H03079" /translation="MKRGKGEKKEKKNRDGSGQVVPLTEPVVIPTATVGTRSWIGGLF TRSSRRQDKSIDYTLSPLQEERLQRLQDRLLVPFDETSPDHQESLKALWNVAFPNVNL TGLVTEQWKEMGWQGPNPSTDFRGCGFIGLENLLFSARVYPVCFRRLLLKQRGDRAQW EYPFAVAGINISFMLIQMLDLQNAPKPKCIPGMNFLKLLEEDENAFDVLYCIAFAMMD AQWLAMHASYMEFNEVLQATRNQLERELSLDDIHRIQDLPAYNLLFQ" mRNA join(22956848..22956917,22957010..22957125, 22957194..22957248,22957339..22957462,22957541..22957588, 22957685..22957779,22957856..22958093) /locus_tag="BRARA_H03079" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03079" CDS join(22957088..22957125,22957194..22957248, 22957339..22957462,22957541..22957588,22957685..22957779, 22957856..22957957) /locus_tag="BRARA_H03079" /codon_start=1 /product="hypothetical protein" /protein_id="RID52486.1" /db_xref="Phytozome:Brara.H03079" /translation="MGWQGPNPSTDFRGCGFIGLENLLFSARVYPVCFRRLLLKQRGD RAQWEYPFAVAGINISFMLIQMLDLQNAPKPKCIPGMNFLKLLEEDENAFDVLYCIAF AMMDAQWLAMHASYMEFNEVLQATRNQLERELSLDDIHRIQDLPAYNLLFQ" gene complement(22961372..22964375) /locus_tag="BRARA_H03080" /db_xref="Phytozome:Brara.H03080" mRNA complement(join(22961372..22961833,22961913..22962090, 22962177..22962351,22962537..22962757,22962850..22964375)) /locus_tag="BRARA_H03080" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03080" CDS complement(join(22961586..22961833,22961913..22962090, 22962177..22962351,22962537..22962757,22962850..22962942)) /locus_tag="BRARA_H03080" /codon_start=1 /product="hypothetical protein" /protein_id="RID52487.1" /db_xref="Phytozome:Brara.H03080" /translation="MVNGKGSMKKSNLDRFLHCTTPLVPPQSLPKGEIRSLNGLWHPW EREKVEFFRLSDLWDCYDEWSAYGASVPIHLSNGESLVQYYVPYLSAIQIFTSHSSLI RLREESEDGESDGRYPFSDSSSDESVSVEGLENNLNDRLGYLYLQYFELSSPYTRVPL MDKINELAQRYPGLMSLRSVDLSPASWMSVAWYPIYHIPMGRTIKDLSTCFLTYHTLS SSFQDMDPEENGGDKERMRKEGEDITLSPFGMATHKMQGNVWLSHDQDVQERLVSLYS VADSWLKQLRVQHHDFNYFCTMSMTYRA" gene complement(<22963506..>22964030) /locus_tag="BRARA_H03081" /db_xref="Phytozome:Brara.H03081" mRNA complement(<22963506..>22964030) /locus_tag="BRARA_H03081" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03081" CDS complement(22963506..22964030) /locus_tag="BRARA_H03081" /codon_start=1 /product="hypothetical protein" /protein_id="RID52488.1" /db_xref="Phytozome:Brara.H03081" /translation="MASASPTATLLKPNPPPPRKPTITTASVSPPRRHTLPRRDFLSL TAAAASTLILTQSIQFVAPSPASAAEDEEYVKDTSAVISKVRTTLSMERTDPNVADTV AELREASNSWVAKYRKEKALLGKASFRDMYAALNAVSGHYVSFGPTAPIPAKRKARIL EEMETAEKALSRGR" gene 22969946..22973469 /locus_tag="BRARA_H03082" /db_xref="Phytozome:Brara.H03082" mRNA join(22969946..22970268,22971212..22971661, 22971757..22972089,22972162..22972279,22972367..22972590, 22972673..22973469) /locus_tag="BRARA_H03082" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03082" CDS join(22971428..22971661,22971757..22972089, 22972162..22972279,22972367..22972590,22972673..22973107) /locus_tag="BRARA_H03082" /codon_start=1 /product="hypothetical protein" /protein_id="RID52489.1" /db_xref="Phytozome:Brara.H03082" /translation="MGGCVSKSNEEPMYRPRLGMGCCGSKMGRRTLSGRIVSLHDLVS IPNRITSSGKSKSSCVFTQQGRKGVNQDSMIVWEDFMSKDMTFCGVFDGHGPNGHLVS RKVRESLPVRLLSFMHSIQSKQNGSTNKSDSQEADKEEEAASEEDKLKLLWEEAFLKS FNAMDKELRSHPNVECFCSGSTAVTIIKQGSHLFMGNIGDSRAILGSKDSNDSMVAVQ LTVDLKPDLPREAERIKQCKGRVFALEDEPEVPRVWLPFDNAPGLAMARAFGDFCVKD YGVISIPDFSHRLLTDRDQFIVLASDGVWDVLSNEEVVEVVASASSRASAARLVVDSA SREWKLKYPTSKMDDCAVVCLFLDGKMDSESSYYEEQRSSSVESDESQRTEPCLQRNA TVRSSSVESNSCGNENAETDDAEKEKATEGEQHWSGLEGVTRVNSLVQLPRFSGD" gene 22973806..22976003 /locus_tag="BRARA_H03083" /db_xref="Phytozome:Brara.H03083" mRNA join(22973806..22974181,22974273..22974377, 22974465..22974527,22974624..22974657,22974744..22974866, 22974943..22975005,22975084..22975177,22975264..22975309, 22975413..22975480,22975602..22975650,22975732..22976003) /locus_tag="BRARA_H03083" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03083" CDS join(22974128..22974181,22974273..22974377, 22974465..22974527,22974624..22974657,22974744..22974866, 22974943..22975005,22975084..22975177,22975264..22975309, 22975413..22975480,22975602..22975650,22975732..22975884) /locus_tag="BRARA_H03083" /codon_start=1 /product="hypothetical protein" /protein_id="RID52490.1" /db_xref="Phytozome:Brara.H03083" /translation="MARHDPNPFADEEINPFANYTNVPAASNSYLKPLPPEPYDRGAT VDIPLDSGKDLRAREMELQAKENELKRKEQELKRREDAIARTGVVIEEKNWPEFFPLI HNDIPNEIPLHLQKIQYVAFTTLLGLVGCLLWNFVAVTVAWIKGEGPTIWLMSIIYFI AGVPGAYVLWYRPLYRATRTDSALKFGAFFFFYVFHIAFCGFAAVAPPVIFQGKSLTG FLPALELLTTNAAVGIMYFIGAGFFCIETLLNIWVIQQVYAYFRGSGKAAEMKREAAK STLMRAL" gene complement(<22976123..>22977940) /locus_tag="BRARA_H03084" /db_xref="Phytozome:Brara.H03084" mRNA complement(<22976123..>22977940) /locus_tag="BRARA_H03084" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03084" CDS complement(22976123..22977940) /locus_tag="BRARA_H03084" /codon_start=1 /product="hypothetical protein" /protein_id="RID52492.1" /db_xref="Phytozome:Brara.H03084" /translation="MNLIPKRHFSRCLTPLVSPSSPTKQSRIRELCKSGRLTDAIRIL NTTLSCEISAKPNLYATLLQTCTKVLSFTQGLQFHAHVVKSGLETDRYVGNSLLALYF KLGPDMKETRRVFDGMFVKDAISWSSMMSGYVRGKEHVKALEMFVEMVVSFGLEPNAF TLSAAVKACSEIGDVSLGRCFHCLVIARGFEWNRVISSTLAYMYGANQEPVDARRVFD EMPEPDVFSWTAVLSAYSKNDLYEEALGLFYVGNRGKGLVPDESTFGTVLTACGNLRR VKRGKEIHGKLITNGISSNVVVESSLLDMYGKCGSVREARQVFNGMSKKNTVSWSALL GGYCQNGEHEKVIEMFREMEEKDLYCFGTVLKACAGLAAVRLGKEVHGKYVRRGCRDN VIVESALVDLYGKSGCVDSATRVYSKMAIRNMITWNAMLSALAQNGRGEEAVSFFDDM VKKGIKPDYISFIAVLTACSHTGLVEEGRNYFAMMTDSYGIKPGTEHYSCMIDLLGRA GLFEEAENMLERAECRDDASLWGVLLGPCAADADALAIAERIAKRMMGLDPKYHMSYV LLSNMYKSIGRHGDALKIRRLMVRRGVTKTIGQSWIDAH" gene 22977060..22980677 /locus_tag="BRARA_H03085" /db_xref="Phytozome:Brara.H03085" mRNA join(22977060..22979024,22979145..22979222, 22979293..22980677) /locus_tag="BRARA_H03085" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03085" CDS join(22978236..22979024,22979145..22979222, 22979293..22980405) /locus_tag="BRARA_H03085" /codon_start=1 /product="hypothetical protein" /protein_id="RID52491.1" /db_xref="Phytozome:Brara.H03085" /translation="MTGFAVKPVKEEEELPVETAIDEDPKVKTFKDFPSTDSYLDLDS CNWLGSNNSNIEEFSLEDTDFGFFEEDMEIGQEGVTVSEETRTVMSESVEVTSKLCCG VSGVSSISSESMIDVKPLLCGGMSANFDGVAEPAVKEAEPVVSKDSGPMEDEKGETSS AESESETSSSSSSSSASSSSEEEEEEESDEEESNKEKKFEDQMVMGEEDDMAEELEEG EIRSVDEEHEVEEDDVNEMVAWSNDEDEDLGWQTNEPLRTKNELKELPHVPPVDATLE PHHVMLPLGVVLSVMSTQVTVGGMEEHGPLAEGSILWITERRTPLGLVDEIFGQVECP LYSVRFNSENEVPEGVSEGTPVSYVADYAQHILNIKELQKKGYDASGDNDEEVSEELE FSDDEKEAQYRKTQKMEKRGMMDDQKDGNARNKKKKNNDLGTSTSNNDSREWTENRGS SSLSSNRSDPQMGGPVTNHQPRPRMEGFPPNGGGWRPQSNQQNSHQFPPMPSQMAIPN LPPPMQRPLMAMQNQMMFRPQFNGGQLRMPAGPGGLNFFQGQATAPWPALVGQNCFNQ RPFGMGRGIQPPQFPMNPQFQMLNNRPQAPVNPQFPMQPQFPVNPQFQMRNNNRPQSP MNPQFPMQPQFPMNPQFQMRNNNRPQSPMNPYFQM" gene 22980920..22982449 /locus_tag="BRARA_H03086" /db_xref="Phytozome:Brara.H03086" mRNA 22980920..22982449 /locus_tag="BRARA_H03086" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03086" CDS 22981019..22982308 /locus_tag="BRARA_H03086" /codon_start=1 /product="hypothetical protein" /protein_id="RID52493.1" /db_xref="Phytozome:Brara.H03086" /translation="MSSSYASNYTKLITLTKQLSSYANQGSHEQALNLFRQMHSSFAL PLDAHVFSLALKSCAAAFRPLLGASIHAHAFKSNFLSNPFVGSALLDMYGKCVSVSHA RKLFDESPHRNAVVWNAMISHYTHCGNIKEAVDLYEAMDVMPNESSFNAIIKGLVSTE DGSYKAIGFYRKMVEFRFKPTLITLLALVSACSVIGAFRLIKEIHSYAFRNLIEPHPQ LKSGLVEAYGRCGSIDYVQLVFESMVDRDVVAWSSLVSAYALHGDADSALRAFREMES AKVRPDDIAFLNVLKACSHAGLADEAIGYFKRMQDGYGLRASKDHYSCLVDVLSRVGR FEEAYKVIQAMPEKPTAKTWGALLGACRNYGEVELAEIAAKELWKIEPENPANYVLLG KIYMSVGRQEEAERLRMEMRDRGVKVSPGSSWCLFKD" gene complement(<22986709..>22987896) /locus_tag="BRARA_H03087" /db_xref="Phytozome:Brara.H03087" mRNA complement(<22986709..>22987896) /locus_tag="BRARA_H03087" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03087" CDS complement(22986709..22987896) /locus_tag="BRARA_H03087" /codon_start=1 /product="hypothetical protein" /protein_id="RID52494.1" /db_xref="Phytozome:Brara.H03087" /translation="MRFTQLLWCLLLLLGFGFLAEAILDPVDFLALQAIRKSLDDLPG SNFFRSWDFTSDPCGFAGVYCDGDRVVSLNLGDPRAGSPGLSGRINPALGKLSALTEL SIVPGRIMGALPATISQLKDLRFLAISRNFISGEIPASLGEVRRLRTLDLSYNQLTGT IPPAVGSLPELSNLILCHNHLTGSIPPFLSQTLTRIDLKRNSLTGSLSPASFPPSLQY LSLAWNQLTGPVDQVLLRLNQLNYLDLSLNRLTGTIPGRILAFPITSLQLQRNFFYGL IQPADQVNIPTVDLSYNRFSGQISPLLSSVENLYLNSNRFTGEVPAIFVERLLSASIQ TLYLQHNFLTGIQISPAAEIPASSSLCLQYNCMVPPIQTPCPLKAGPQKTRPTTQCNE WRG" gene 22995435..22997143 /locus_tag="BRARA_H03088" /db_xref="Phytozome:Brara.H03088" mRNA 22995435..22997143 /locus_tag="BRARA_H03088" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03088" CDS 22995580..22996938 /locus_tag="BRARA_H03088" /codon_start=1 /product="hypothetical protein" /protein_id="RID52495.1" /db_xref="Phytozome:Brara.H03088" /translation="MNFFKSVFSDDPDPPETESESDSPKHSEEEHEDPDQSNPEHEDD DNSGWSFGGLMKTIADRSESVIETYRRDLQEFGTGLKKEIEVAQGSLGTVGHAIDELG NTVIKGTAEIIAQGKEAILAAGNESDSSDNTSSGTSLGRRDSFSSKPYSRFDAQVSAV QGDLGTYSEEPEDSDDYKKWESEFSLGEKGEEMESLLEGNGDMRGVYKRVVPSVVDHE TFWFRYFYKVHKLKQAEDLRANLVKRAISLDDEEELSWDIDDEEETSEIVAAKDVSRL KLEGNDDMGRGDVSKTAKDEVTVSEVSNVGLKSDTDSAEKKETDSEQVPESKPVVDAA KPVVDAITPPPPPASEEATIEVSVKPEAVPKSEESAPTQDSAAKPDGAVSSSAQEEDL GWDEIEDMSSIDGKETSRSSGGSPNRAELRKRLSAAEEDEDLSWDIEDDDDEEASPST KA" gene 22997243..>22998092 /locus_tag="BRARA_H03089" /db_xref="Phytozome:Brara.H03089" mRNA join(22997243..22997351,22997481..22997639, 22998033..>22998092) /locus_tag="BRARA_H03089" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03089" CDS join(22997349..22997351,22997481..22997639, 22998033..>22998092) /locus_tag="BRARA_H03089" /codon_start=1 /product="hypothetical protein" /protein_id="RID52496.1" /db_xref="Phytozome:Brara.H03089" /translation="MLFFSYFKDLVGQEVTVELKNDLAIRGTLHSVDQYLNIKLENTR VVDQDKFPHMLSVRNCFIRGSVVRYVQLPP" gene 23005142..23006848 /locus_tag="BRARA_H03090" /db_xref="Phytozome:Brara.H03090" mRNA 23005142..23006848 /locus_tag="BRARA_H03090" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03090" CDS 23005154..23006458 /locus_tag="BRARA_H03090" /codon_start=1 /product="hypothetical protein" /protein_id="RID52497.1" /db_xref="Phytozome:Brara.H03090" /translation="MASSPFISLLLLLIISLSSSSFAQPSFRPKALVLPVTKDQTTLQ YTTVINQRTPLVPASVVFDLGGRNLWVDCDRGYVSSTYTSPRCRSAVCSRAGSDGCSQ CFSPPRPGCNNNTCSGTPDNTVTRTATSGEIATDVVSIQSTNGSNPGRVVQIPDLVFV CGATFLLQGLASGTVGMAGMGRHNIGLPSQFAAAFSFNRKFAVCLTSGRGVAFFGNGP YVFLPGIPISTVATTPLLINPVSTASAFPSGEKSSEYFIGVTAIKIDEKTVPINATLL KIDGTTGVGGTKISTVNPYTVLETSIYNTFTSAYVREAAGRNITRVASVAPFGACFST ENVGVTRLGYAVPEIQLVLHSNDVVWRIFGANSMVSVSDDVICLGFVDGGVNARTSVV VGGYQLEDNLIEFDLASNRFGFSSTLLGRRTNCANFNFTSTA" gene <23008802..>23010169 /locus_tag="BRARA_H03091" /db_xref="Phytozome:Brara.H03091" mRNA <23008802..>23010169 /locus_tag="BRARA_H03091" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03091" CDS 23008802..23010169 /locus_tag="BRARA_H03091" /codon_start=1 /product="hypothetical protein" /protein_id="RID52498.1" /db_xref="Phytozome:Brara.H03091" /translation="MASSTYIFSALLLCIFSLSSSSSLAKQSPRPNALVLPITKDKTT LQYTTVINSGTSRNEPGTPLVAASLVFDLGGRHLWVETDTYYWSTSFQTSHCNSPMCY RAGSHGCSRCYSDYRPGCNADPCTLTFKNPVNGMVDTGDIASEAVFIQSTNGSNPGRV VKIPSLIFSLGTRDLLNGLANGTVGMAGMGRHSVIGLPSQFAKAFGFNRKFAVCLPSS SSSGGRGVAFFGGNGPYVFLPGIKISQLTTTPLLVNPVLVADPIIYQPFVYGEKSPEY FIGVTAIKVAEKTVPINTSLLKINGTTGLGGTKLSTVNPYTVLETSIFKAVTSAFVRE ATARNITRVPSVKPFGACVSTKNVVVTRLGYAVPEIQLVLQSKDVVWRIFGANSMVSV HSDVICLGFVDGGVNAETSVIIGGLQLEDNLIEIDLAKNTLGFSSTLLGRQTNCANFN FTSTA" gene complement(23010287..23014253) /locus_tag="BRARA_H03092" /db_xref="Phytozome:Brara.H03092" mRNA complement(join(23010287..23010577,23010693..23010801, 23011023..23011099,23011184..23011262,23011349..23011438, 23011582..23011706,23011805..23011985,23012072..23012265, 23012372..23012775,23012859..23012941,23013049..23013329, 23013389..23013957,23014059..23014253)) /locus_tag="BRARA_H03092" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03092" CDS complement(join(23010458..23010577,23010693..23010801, 23011023..23011099,23011184..23011262,23011349..23011438, 23011582..23011706,23011805..23011985,23012072..23012265, 23012372..23012775,23012859..23012941,23013049..23013329, 23013389..23013922)) /locus_tag="BRARA_H03092" /codon_start=1 /product="hypothetical protein" /protein_id="RID52499.1" /db_xref="Phytozome:Brara.H03092" /translation="MIFKIEDVTVYFPYDNIYPEQYEYMVELKRALDAKGHCLLEMPT GTGKTIALLSLITSYRLSRPDSPIKLVYCTRTVHEMEKTLGELKILHDYQVTHLGAQA KILALGLSSRKNLCVNPKVLAAENRDSVDAACRKRTASWVRALAAENPNVELCDYFES YEKAADNALLPPGVYTLEDLRAFGKNRGWCPYFLARHMVQFANVIVYSYQYLLDPKVA GIISKELQKESVIVFDEAHNIDNVCIEALSVSVRRVTLEGANRNLNKIRQEIDRFKAT DAGRLRAEYNRLIEGLALRGDLSGTDQWLANPALPNDILKEAVPGNIRRAEHFVHVLR RLLQYLEGRLDTENVEKESPVSFVSSLNSQAGIEQKTLKFCYDRLHSLMMTLEITDTD EFLPIQTVCDFATLVGTYARGFSIIIEPYDERMPHIPDPILQLSCHDASLAIKPVFDR FQSVVITSGTLSPIDLYPRLLSFNPVVSRSFKMSMTRDCICPMVLTRGSDQLPVSTKF DMRSDPGVVRNYGKLLVEMVSVVPDGVVCFFVSYSYMDGIIATWNETGILKEITQQKL VFFETQDVVETTLALDNYRRACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVVMFGVP FQYTLSKILLARLEYLRDTFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADK RYSRHDKRSKLPGWILSHLRDAHLNLSTDMAIHIAREFLRKMAQPYDKTGTMGRKTLL TQEDLEKMAETGVQDMVY" gene complement(23014327..23016283) /locus_tag="BRARA_H03093" /db_xref="Phytozome:Brara.H03093" mRNA complement(join(23014327..23014600,23014694..23014782, 23014858..23014952,23015067..23015115,23015222..23015527, 23015610..23015667,23016017..23016283)) /locus_tag="BRARA_H03093" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03093" CDS complement(join(23014543..23014600,23014694..23014782, 23014858..23014952,23015067..23015115,23015222..23015527, 23015610..23015667,23016017..23016165)) /locus_tag="BRARA_H03093" /codon_start=1 /product="hypothetical protein" /protein_id="RID52500.1" /db_xref="Phytozome:Brara.H03093" /translation="MEMEEGEGSTEEKYDVEIATTASSLGGSGVFHIINDILGFVLYM HQQIPSVLQDMSLDFDGLQTEFTDLEAHLTQPDVKPVVRRKLLSRKREVKHEIKKMQK LMTTISTLRSALQLLIREAPGVQRVVLILGGSPLRPQKAYELFFTHSVDVLRFEGDFS KSKATEALSKKTIRALISTGAGSTSCPGPMRLFILVQAPASLNLPQHFLPKRDFRYNR KFVPLKLRFKCKTQDNETDSLFNSDTNDLIWFQCRHVIKGLAFQQPVDE" gene complement(23017966..23018987) /locus_tag="BRARA_H03094" /db_xref="Phytozome:Brara.H03094" mRNA complement(23017966..23018987) /locus_tag="BRARA_H03094" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03094" CDS complement(23018080..23018811) /locus_tag="BRARA_H03094" /codon_start=1 /product="hypothetical protein" /protein_id="RID52501.1" /db_xref="Phytozome:Brara.H03094" /translation="MSVVICQAQEKIVTKNERNESFTQKGKMGGLSFLQSMSDITAIV RNVEDKAYVHPVVERSVSKLSEKSLEMCTESLGSETGSESGDELLLLAFQATTTPRVP SPPQEEEKDTAKKSTIKSFPPPINFVNGSKYNRVVRSLGEDGRVVVQAIMVSSQPPNF VAERGEGRLRLCLSPESSLRGHTHEEGEEDETEEGMEEETSENLEGKNGNKKFSRLSS RCKENGREPKPMLTAWKQQQFWVAT" gene complement(23021525..23026016) /locus_tag="BRARA_H03095" /db_xref="Phytozome:Brara.H03095" mRNA complement(join(23021525..23021922,23022055..23022170, 23022348..23022578,23022736..23022903,23023005..23023445, 23023534..23023620,23023879..23024010,23024097..23024294, 23024711..23024779,23024896..23025401,23025461..23026016)) /locus_tag="BRARA_H03095" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03095" CDS complement(join(23021637..23021922,23022055..23022170, 23022348..23022578,23022736..23022903,23023005..23023445, 23023534..23023620,23023879..23024010,23024097..23024294, 23024711..23024779,23024896..23025401,23025461..23025950)) /locus_tag="BRARA_H03095" /codon_start=1 /product="hypothetical protein" /protein_id="RID52502.1" /db_xref="Phytozome:Brara.H03095" /translation="MRTLLSHRPCVTSPLLISASFPPFPRRCIRLSSFSPPRHKRLSS LSIRNASLESADQTSPSRPRTLYPGGYKRPELAVPGLLLRLDADEVMSGNRDETLDLI DRALAKSVQIVVLDGGVNAGKLYEAACLLKSLVKGRAYLLIAERVDIAAAVGASGVAL SDEGLPAIVARNTLMGSNSESVVLPLVARIVKDVESALTASSSEGADFLILVGSREDQ QLADSLLKSVKIPIFVVCISKGEAKEELQLLRSGASGFVVSLHDLRSSRDVALRQFLD GASYVNENETPLVEASDLHEKAAGLVKFEDKQKEIIEMEKSVLRETIEIIHKAAPLME EVSLLVDAASRIDEPFLMVIVGEFNSGKSTVINALLGKRYLKEGVVPTTNEITFLCYS DLESEEQQRCQRHPDGQYICYLPAPILKDINIVDTPGTNVILQRQQRLTEEFVPRADL LVFVLSADRPLTESEVAFLRYTQQWKKKFVFILNKSDIYRDTRELEEAISFVKENTQK LLNTENVILYPVSARSALEAKLSAAALVGRDDLEVSDPDSKWRTQSFSELEKFLYSFL DSSTVTGMERIRLKLETPIAIAERLLSSVESLVLQDCVAAREDLASADKIINRTKEYT LTMEYESTSWRRQALSLIDKARLQVVDLIESTLRLSSLDLAISYVFKGENSASVAATS KVNGEILAPALSNAQDLLGKYAEWLQSNTAREGSLSLKSFENKWPQYVNSKTQLGIDT YDLLRKTNKFSLKTIQNLSAGTTSKRLEQDIREVFFVTVGGLGAAGLSASLLTSVLPT TLEDLLALGLCSAGGYVAIANFPYRRQAIIGKVNKVADALAQQLEDAMQKDLSDATNN LVNFVNIVAKPYREEAQLRLDRLLGIQKELSDIRSKLQLLQVEIDNLHVLR" gene complement(23026084..>23027498) /locus_tag="BRARA_H03096" /db_xref="Phytozome:Brara.H03096" mRNA complement(join(23026084..23026258,23026365..23026425, 23026565..23026634,23026892..23027024,23027261..23027351, 23027421..>23027498)) /locus_tag="BRARA_H03096" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03096" CDS complement(join(23026167..23026258,23026365..23026425, 23026565..23026634,23026892..23027024,23027261..23027351, 23027421..23027498)) /locus_tag="BRARA_H03096" /codon_start=1 /product="hypothetical protein" /protein_id="RID52503.1" /db_xref="Phytozome:Brara.H03096" /translation="MTTIRRFSCNDLLRFTSVNLDHLTETFNMSFYMTYLARWPDYFH VAEAPGNRVMGYIMGKVEGQGESWHGHVTAVTVSPEYRRQQLAKKLMNLLEDVSDKID KAYFVDLFVRASNTPAIKMYEKLGYIIYRRVLRYYSGEEDGLDMRKALSRDVEKKSVI PLKRPITPDELEYD" gene 23027678..23030101 /locus_tag="BRARA_H03097" /db_xref="Phytozome:Brara.H03097" mRNA join(23027678..23028603,23029062..23030101) /locus_tag="BRARA_H03097" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03097" CDS join(23027746..23028603,23029062..23029481) /locus_tag="BRARA_H03097" /codon_start=1 /product="hypothetical protein" /protein_id="RID52505.1" /db_xref="Phytozome:Brara.H03097" /translation="MDLLRQEILKKRQSLSEESGGKKFYKRSEIEQKKLQKLREEERR EHELKAQRRAAAAAADNGGKSSIDSSAPGSSTASASTKSSASESAAIADSKSLTDEKN IETLTLPRQEVIRRLRLLKQPVTLFGEDDQARLDRLKYVLKEGLFEVDSDVTDGQTND FLRDISELKKRQKSGIMGDRKRKGRDERGREEGDRGETRDGELSSGGESSDVDADKDL KRLKSNFEDLCDEDKILVFYKKLLIEWKQELDAVENTERRTAKGKKNVATFKQCARYL TPLFNLCRKKGLPSDIRQALMVMVKHCIKRDYLAAMDHYIKLAIGNAPWPIGVTMVGI HERSAREKIHTNSVAHIMNDETTRKYLQSVKRLMTFCQRRYPTMPSKAVEFNSLANGS DLQSLLAEERHFGGDRAQVSEERLRLMPSQNDS" gene complement(23029016..23031971) /locus_tag="BRARA_H03098" /db_xref="Phytozome:Brara.H03098" mRNA complement(join(23029016..23030101,23030174..23030234, 23030317..23030417,23030548..23030607,23030677..23030755, 23030884..23030954,23031053..23031109,23031197..23031380, 23031491..23031655,23031792..23031971)) /locus_tag="BRARA_H03098" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03098" CDS complement(join(23029739..23030101,23030174..23030234, 23030317..23030417,23030548..23030607,23030677..23030755, 23030884..23030954,23031053..23031109,23031197..23031380, 23031491..23031655,23031792..23031928)) /locus_tag="BRARA_H03098" /codon_start=1 /product="hypothetical protein" /protein_id="RID52504.1" /db_xref="Phytozome:Brara.H03098" /translation="MEESHIEEEGERQTKHEVAPALISVHPFQKSVAVTVGSALRVFD LIEGRPVSLVDESDGPSHKDSIRAIRYGSSGKLFASAGDDKLVKIWSADSWHCLNTIS SEKRVTAVSISSDDSYVCYADKFGVVWVVDLDGVNEGKVVLPSKKGVQLLCHYCSIIT SLEFSPDGRYILSSDRDFKIRVTVFPKKPLQGAHEIQSFCLGHTEFVTCITFVQNPEF AQGYLMSGSGDSSVRLWDITTGSLLDTCDVSPTVKHLESNESEPTQVTVTDICSLPNS SLAAVSIQSFQGIVLLHCDLSAHTLSITKVIKIPGDSFIPTSISFSTSTRLLWMVSGA SNGSNHPGYTRVRLILRIEAEPSSVLEDEQVPGGTTLLEHLQGKVSIEESVMSAAAEA VRAAMCSLMVKKQYSEENREFRKNNRNDKKPTQ" gene complement(<23032376..23034758) /locus_tag="BRARA_H03099" /db_xref="Phytozome:Brara.H03099" mRNA complement(join(<23032376..23032623,23032710..23033266, 23033501..23033738,23033811..23034758)) /locus_tag="BRARA_H03099" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03099" CDS complement(join(23032376..23032623,23032710..23033266, 23033501..23033738,23033811..23034480)) /locus_tag="BRARA_H03099" /codon_start=1 /product="hypothetical protein" /protein_id="RID52506.1" /db_xref="Phytozome:Brara.H03099" /translation="MGSSKLKRAIGAVKDQTSVGLAKVNGRSASLSELDVAIVKATRH EEYPAEEKYIREILSLTSYSRNYINACVNTLSRRLNKTKCWTVALKTLILIQRLLAEG DKAYEQEIFFATRRGTRLLNMSDFRDVSRSNSWDYSAFVRTYALYLDERLDYRMQTRH GKRGVYSVGGDAVEDKKDNPEADLSTAIVVRSQPIAEMKTEQIFTRIQHLQQLLDRFL ACRPTGSARNNRVVIVALYPIAKESFKIYYDVTEIMGVLIERFMELDIPDSIKVYDIF CRVSKQFDELDQFYSWCKNMGIARSSEDKSSLEETKQSNSVEADEEDDDARTEEVNEE QEDMNAIKALPAPPPKEEKREEEAKEEEVVTEEKKEEEVGDLVDLGDNVVAGGAGGDS LALALFDGPYASGSGSASGPGWEAFDDDSADWETALVQSATNLSGQKTELGGGFDMLL LNGMYQHGTVNAAVQSSTAYGASGSASSMAFGSAGRPAATMLALPAPATVNGSSNGPV TMDPFAASLVVAPPHYVQMNDMEKKQRMLMEEQMMWDQYSRGGHTNLRPNQNQPSYPY TPPY" gene complement(23034941..23037198) /locus_tag="BRARA_H03100" /db_xref="Phytozome:Brara.H03100" mRNA complement(join(23034941..23035850,23035927..23036034, 23036123..23036319,23036405..23036765,23037037..23037198)) /locus_tag="BRARA_H03100" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03100" mRNA complement(join(23034941..23035850,23035927..23036034, 23036123..23036319,23036405..23036770,23037037..23037198)) /locus_tag="BRARA_H03100" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03100" CDS complement(join(23035113..23035850,23035927..23036034, 23036123..23036319,23036405..23036765)) /locus_tag="BRARA_H03100" /codon_start=1 /product="hypothetical protein" /protein_id="RID52507.1" /db_xref="Phytozome:Brara.H03100" /translation="MESHSDAESSEMVGDWDFLPPPVKGTRVSENDRGGASTSTAAAG RGRVLPPWADPSYEWGGGKWKVDGRKNRKNKKKEKESGLSVEDVMKEYSSLPPQIAEW YWCIEYVAKYVKDLRCILDVMNMGYPTTNDYGSRINEILSLRILESFFDPAAAAAAAA TVVVGPRIEFDLSLSSTHVLNAILQHVTVSELRPGMPELSNFNLLPFFAHKNMSLPPC ALEVLRDVSAMEDQTSAAPTMEANDPVFRDDRSEHRRYVCEEQVHTGFEQTNMKDKDE VVVIDHEDSPPVQRDEVIVIDGDGTTAEQLINKDNVVVIDDEDSPPVQRDGSTAEKLI NKGNTTRETSSPGLDLRVKCTKDGAWLISESDEESDTVKDPPSSRPENVCWKCEREGG ASLLICSRSECAAKVHKECLNCPAHFDEDDNFHCPMCWYDRVTMEYRESQKLMSCAKR RLVKFLPLLSRASKRLR" CDS complement(join(23035113..23035850,23035927..23036034, 23036123..23036319,23036405..23036765)) /locus_tag="BRARA_H03100" /codon_start=1 /product="hypothetical protein" /protein_id="RID52508.1" /db_xref="Phytozome:Brara.H03100" /translation="MESHSDAESSEMVGDWDFLPPPVKGTRVSENDRGGASTSTAAAG RGRVLPPWADPSYEWGGGKWKVDGRKNRKNKKKEKESGLSVEDVMKEYSSLPPQIAEW YWCIEYVAKYVKDLRCILDVMNMGYPTTNDYGSRINEILSLRILESFFDPAAAAAAAA TVVVGPRIEFDLSLSSTHVLNAILQHVTVSELRPGMPELSNFNLLPFFAHKNMSLPPC ALEVLRDVSAMEDQTSAAPTMEANDPVFRDDRSEHRRYVCEEQVHTGFEQTNMKDKDE VVVIDHEDSPPVQRDEVIVIDGDGTTAEQLINKDNVVVIDDEDSPPVQRDGSTAEKLI NKGNTTRETSSPGLDLRVKCTKDGAWLISESDEESDTVKDPPSSRPENVCWKCEREGG ASLLICSRSECAAKVHKECLNCPAHFDEDDNFHCPMCWYDRVTMEYRESQKLMSCAKR RLVKFLPLLSRASKRLR" gene complement(23037559..23040276) /locus_tag="BRARA_H03101" /db_xref="Phytozome:Brara.H03101" mRNA complement(join(23037559..23037815,23037904..23038257, 23038354..23038499,23038566..23038606,23038711..23038820, 23038911..23038978,23039083..23039331,23039518..23039584, 23039675..23039735,23039963..23040276)) /locus_tag="BRARA_H03101" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03101" CDS complement(join(23037732..23037815,23037904..23038257, 23038354..23038499,23038566..23038606,23038711..23038820, 23038911..23038978,23039083..23039331,23039518..23039584, 23039675..23039735,23039963..23040135)) /locus_tag="BRARA_H03101" /codon_start=1 /product="hypothetical protein" /protein_id="RID52509.1" /db_xref="Phytozome:Brara.H03101" /translation="MEGTLERHSLLQFGQLSKLSFDNRPPSNAAESSELRNELGADGD WGEKEFILSQDFFCTPDYITPDNQNLMSDLNMSMDHSPCPRSPVKLTSAKSKRCRQDS FTFNTSDSTWASKYRVDEQEDDDIDIDEIMVDKTERTGYVSRSAVALRSRVMPPPCLK NPYVMNESDTATDPFGYQRSKCASFLPASMGGDGLSRYLTDFHEIQQIGAGNFSRVFK VLKRIDGCLYAVKHSTRKLYLDSERCKAMMEVQALAALGFHENVVGYYNSWFENEQLY IQLELCDHSLSKKSSLRISEREILVIMHQIAKALQFVHEKGIAHLDVKPDNIYIKNGV CKLGDFGCATRLDKSLPVEEGDARYMPQEVLNENYEHLDKVDIFSLGVTVYELIRGSP LTESRNKSLNIKQGKLPLLPGHSLQLQQLLKTMMDRDPSRRPSARELVEHPMFDRIRG " gene <23042128..>23044677 /locus_tag="BRARA_H03102" /db_xref="Phytozome:Brara.H03102" mRNA join(<23042128..23042151,23042242..23042269, 23042353..23042401,23042501..23042538,23042616..23042668, 23042758..23042880,23043004..23043139,23043246..23043496, 23043591..23043719,23043811..23043944,23044032..23044132, 23044213..23044304,23044393..23044523,23044611..>23044677) /locus_tag="BRARA_H03102" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03102" CDS join(23042128..23042151,23042242..23042269, 23042353..23042401,23042501..23042538,23042616..23042668, 23042758..23042880,23043004..23043139,23043246..23043496, 23043591..23043719,23043811..23043944,23044032..23044132, 23044213..23044304,23044393..23044523,23044611..23044677) /locus_tag="BRARA_H03102" /codon_start=1 /product="hypothetical protein" /protein_id="RID52510.1" /db_xref="Phytozome:Brara.H03102" /translation="MWRRSNLPGNPDSDSISEEEEEQVDVSLGENDEIGLLLQTKLEK LIGGEPNYAGETGYISREATPRKISSSLEEVPDSPEESYFASSTRDGLRCISAQASVP DDEMPHDDPVATWSTISREAKSLLHLNGIASVSSSHSSALRAKRGGSKAVKDNVRPKF SFHSHAHGEKSSKISDMAEYFEPPDDDQAAIEEDPIAECPNDSDEISDNTEDAVSMLL IPPPDKIRVTKRSSKSYSRRQGKCLKFAHKGSSTNIQDSDSADDELPGPMDSGSSTDD EPTCQSSVPNISNQKRQFVGDLFNEAVKASSLNKEGHSFDSPKLSGGSSLYGKLQQIM KQEKEMEIEITKKLQCGMGQADASSYIDIKIMSRHLEGKLIVCKCSVIDLPVDSLLFK NTQALAAKDTETTVIFNPKVCVDVDIEIGRFVRVHAPWKEMEVNNTKEVIILCSYFSS L" gene <23045228..>23046041 /locus_tag="BRARA_H03103" /db_xref="Phytozome:Brara.H03103" mRNA join(<23045228..23045377,23045474..23045522, 23045608..>23046041) /locus_tag="BRARA_H03103" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03103" CDS join(23045228..23045377,23045474..23045522, 23045608..23046041) /locus_tag="BRARA_H03103" /codon_start=1 /product="hypothetical protein" /protein_id="RID52511.1" /db_xref="Phytozome:Brara.H03103" /translation="MAGIKVFGHPASTATRRVLIALHEKDLDFELVHVELKDGEHKKE PFLSRNPFGKVPAFEDGDFKLFESRAITQYIAHEYADKGNQLLSPGSKSMAILAMGME IEAHEFDSVASKLGWEQIFKNFFGLTTDQTVVKEEEVKLGKVLDNYEARLGESKYMAS DHFTLVDLHHIPVIQYLLGTPTKKLFEERPHVSAWVADITSRPSSQKILV" gene 23046299..23048633 /locus_tag="BRARA_H03104" /db_xref="Phytozome:Brara.H03104" mRNA join(23046299..23046618,23046764..23046867, 23046952..23047087,23047153..23047384,23047467..23047624, 23047698..23047892,23047959..23048059,23048158..23048254, 23048346..23048633) /locus_tag="BRARA_H03104" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03104" CDS join(23046379..23046618,23046764..23046867, 23046952..23047087,23047153..23047384,23047467..23047624, 23047698..23047892,23047959..23048059,23048158..23048254, 23048346..23048420) /locus_tag="BRARA_H03104" /codon_start=1 /product="hypothetical protein" /protein_id="RID52512.1" /db_xref="Phytozome:Brara.H03104" /translation="MAVATAPSLNGHFLRRPFSGVKKRRPWLLTGNLFDYRRNRDSRL LVFASSPSPLSPNSPTDALTAESCVNTGLDLFKRGRVKDALAQFETALSLDPNPIESQ AAYYNKACCHAYRGEGNKAADCLRVALRDYNLKFATILNDPDLASFRALPEFKQLQEE ARLGGEDIGDSFRRDLKLISEVRAPFRGFRKFFYFAFSAAAGISTLFTIPRLIQAVRG GEGAPDLLETTGNAAINIGGIVVLVALFIWENKKEEEQMVQITRDETLSRLPLRLSTN RVVELVQLRDTVRPVILAGKKETVTLAMQKADRFRTELLRRGVLLVPVVWGERKTPEV EKKRGFGASSKAAATSLPSIGEDFDTRAQSVVAQSKLKSEIRFKSEIVSPGEWERWIR DQQIAEGVVPGEDVYIILRLDGRVRRSGKGMPDWAEISKELPPMDDVLSKLER" gene 23049399..23050054 /locus_tag="BRARA_H03105" /db_xref="Phytozome:Brara.H03105" mRNA 23049399..23050054 /locus_tag="BRARA_H03105" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03105" CDS 23049431..23049796 /locus_tag="BRARA_H03105" /codon_start=1 /product="hypothetical protein" /protein_id="RID52513.1" /db_xref="Phytozome:Brara.H03105" /translation="MAKSFALFLTLMILSFFIISSPLVQAGFTNDLDGLEWATTGVHD SSGCRGSIADCIGAEEEEMDSEISRRILATTKYISYQSLKRNSVPCSRRGASYYNCQN GAQANPYSRGCSSIARCRS" gene 23050510..23052295 /locus_tag="BRARA_H03106" /db_xref="Phytozome:Brara.H03106" mRNA join(23050510..23050711,23050794..23050890, 23051170..23051242,23051367..23051468,23051582..23051831, 23051950..23052295) /locus_tag="BRARA_H03106" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03106" CDS join(23050588..23050711,23050794..23050890, 23051170..23051242,23051367..23051468,23051582..23051831, 23051950..23052095) /locus_tag="BRARA_H03106" /codon_start=1 /product="hypothetical protein" /protein_id="RID52514.1" /db_xref="Phytozome:Brara.H03106" /translation="MEVMSHSSSFLLPAGETSAKRYALIVLNQNIPCFTPLLWEHAKL RLCADGGANRIYDELPLFFPREDALLIRNRYKPDVIKGDMDSIRPDVLHFYLSLGTKV IDESHDQDTTDLDKCILFIRDSPLNQESSKLQILATGALGGRFDHEAGNLNVLYRYPD TRITLLSDDCLIQLLPKTHRHEIHIQPSLLGPHCGLIPIGAPSAKTTTTGLQWDLTDT EMRFGGLVSTSNLVKGEKIIVKSDSDLLWTISIKKQDSNKTLRSG" gene complement(23052943..23055122) /locus_tag="BRARA_H03107" /db_xref="Phytozome:Brara.H03107" mRNA complement(join(23052943..23053792,23054046..23055122)) /locus_tag="BRARA_H03107" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03107" CDS complement(join(23053098..23053792,23054046..23055081)) /locus_tag="BRARA_H03107" /codon_start=1 /product="hypothetical protein" /protein_id="RID52515.1" /db_xref="Phytozome:Brara.H03107" /translation="MKSPLIFLITLSFFLQSLLVASHNLANSSTICKTTPDPKYCRSV FPHSQGNVQQYGRFSIRKSLSQSRKFIRTVDKYLKRNAHLSQPAVIRALQDCRFLAGL TMDYLLTSFQTVNVTSAKSSSSKTLSFPKAEDVQTLLSAALTNEQTCLEGLTTAASSS ATWTVRNGVALPLVNDTKLFSVSLALFTKGWVPKKKKRAGFAWAHPRSGSSTHTKPFR LFRNGALPLKMTERTKAVYESLSRRKLTDGDGDDGSMVLISDIVTVSQDGTGNFTNIT AAVAAAPNNTDGSGGFFLIYVTAGIYEEYVLIAKNKKYMMMIGDGINQTVVTGNRSVV DGWTTFNSATFAVTAPNFVAVNMTFRNTAGPEKHQAVALRSGADFSIFYSCSFEAYQD TLYTHSLRQFYRECDVYGTVDFIFGNAAVVFQNCNLYPRKPMPNQFNAITAQGRSDPN QNTGTSIHNCTIKPADDLVSSNYTVRTYLGRPWKEYSRTVIMQSYIDGFVEPVGWREW NGDFALSTLYYAEYNNTGPGSNTTSRVTWNGYHVANSTDAANFTVTGLFLEDDWIWKT GVPYTSGLIS" gene 23057891..23059800 /locus_tag="BRARA_H03108" /db_xref="Phytozome:Brara.H03108" mRNA join(23057891..23058143,23058239..23058541, 23058623..23059126,23059406..23059800) /locus_tag="BRARA_H03108" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03108" CDS join(23057940..23058143,23058239..23058541, 23058623..23059126,23059406..23059657) /locus_tag="BRARA_H03108" /codon_start=1 /product="hypothetical protein" /protein_id="RID52516.1" /db_xref="Phytozome:Brara.H03108" /translation="MAGARSLVKANHTNVGSLILMALVFGSCVANGEYLGGRRGLVAV AGNPTVFDITKFGAVGNGATNSFKAFLNTWLQVCASPVPATLLVPKGEFLTGPVIFAG PCKSKVTIEVQGTITATPSGYATPEWFLFEHVDNVLLTGPGTFHGKGEAVWKADGCGK KVNCNLPPTSLKFRNILNLEIAGISSVNAKAFHMFLVKTTNVNIHNINIIAPAESPNT DGIHLSNAVNVNIVESTIGTGDDCISVGRGSTNVTVEHVTCGPGHGLSVGSLGKYPNE EDVSGIHFKSCTMKGTDNGLRIKSWGGSSPSKAVDIKFEDITMESVKNPIIIDQNYGS RGGDSKVAISDVLFKNVRGTTITKDEVQIMCSKSVPCTGVSVIDVNLNFVGDKGGHPS SSGGLIGALCTNANVIFGGKLSFPMCPK" gene 23060607..23061934 /locus_tag="BRARA_H03109" /db_xref="Phytozome:Brara.H03109" mRNA join(23060607..23060762,23060977..23061413, 23061491..23061570,23061665..23061934) /locus_tag="BRARA_H03109" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03109" CDS join(23060651..23060762,23060977..23061413, 23061491..23061570,23061665..23061692) /locus_tag="BRARA_H03109" /codon_start=1 /product="hypothetical protein" /protein_id="RID52517.1" /db_xref="Phytozome:Brara.H03109" /translation="MVSLKIQKRLAASVMKCGKGKVWLDPNESSDISMANSRQNIRKL VKDGFIIRKPTKIHSRSRARRMKIAKMKGRHSGYGKRKGTREARLPTKVLWMRRMRVL RRLLKKYRESKKIDKHMYHDMYMRVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFE AKRAKNKASRERKHARREERLAKGPGGDVAPATAPPAAAATTTTTAQTAEVPKKKSKK " gene complement(<23062847..>23063317) /locus_tag="BRARA_H03110" /db_xref="Phytozome:Brara.H03110" mRNA complement(<23062847..>23063317) /locus_tag="BRARA_H03110" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03110" CDS complement(23062847..23063317) /locus_tag="BRARA_H03110" /codon_start=1 /product="hypothetical protein" /protein_id="RID52518.1" /db_xref="Phytozome:Brara.H03110" /translation="MEFLCFFTLLLLSQSVALNIAEKTQTACEMCLECENPCDQPPPP PPPQEILCPPPLPPPPPPQILCPPPLPPPPPPPLETFCPPPPSPPPPSPPPPPPCTHC PLPLPPPQPPICDECVHNKPRPPIIITAAAASREVSASISFLTALALLVSSLLH" gene complement(23063752..23065052) /locus_tag="BRARA_H03111" /db_xref="Phytozome:Brara.H03111" mRNA complement(join(23063752..23064036,23064141..23064215, 23064308..23064367,23064532..23064569,23064664..23064778, 23064944..23065052)) /locus_tag="BRARA_H03111" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03111" mRNA complement(join(23063752..23064036,23064141..23064215, 23064308..23064367,23064532..23064569,23064664..23064781, 23064944..23065052)) /locus_tag="BRARA_H03111" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03111" CDS complement(join(23063926..23064036,23064141..23064215, 23064308..23064367,23064532..23064569,23064664..23064766)) /locus_tag="BRARA_H03111" /codon_start=1 /product="hypothetical protein" /protein_id="RID52519.1" /db_xref="Phytozome:Brara.H03111" /translation="MSNTAAAAAASSSKSKAAGSSQAPEKRKPLFQKELQHMMYGFGD EQNPLPETVALVEDIVVEYVTDLTHKAQEIGTKRGRLLVDDFLYLIRKDLPKLNRCRE LLAMQEELKQARKAFDVDEEKITSLD" CDS complement(join(23063926..23064036,23064141..23064215, 23064308..23064367,23064532..23064569,23064664..23064766)) /locus_tag="BRARA_H03111" /codon_start=1 /product="hypothetical protein" /protein_id="RID52520.1" /db_xref="Phytozome:Brara.H03111" /translation="MSNTAAAAAASSSKSKAAGSSQAPEKRKPLFQKELQHMMYGFGD EQNPLPETVALVEDIVVEYVTDLTHKAQEIGTKRGRLLVDDFLYLIRKDLPKLNRCRE LLAMQEELKQARKAFDVDEEKITSLD" gene 23071792..23074406 /locus_tag="BRARA_H03112" /db_xref="Phytozome:Brara.H03112" mRNA join(23071792..23072552,23072651..23073055, 23073151..23074406) /locus_tag="BRARA_H03112" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03112" CDS join(23072319..23072552,23072651..23073055, 23073151..23074194) /locus_tag="BRARA_H03112" /codon_start=1 /product="hypothetical protein" /protein_id="RID52521.1" /db_xref="Phytozome:Brara.H03112" /translation="MWRDGELDEEEELDDAVLSEAEEDVCSVLEDDGDEENKFQMHRE SFSKLLKRVSLSESKLYAQMSYLGNLAYSISKIKPANLSKYYGLRFVTSSAEKTELAL KAQVSGETKPKEEDEEEEETEDRMISASAAYEVVASAASYFQSRTTNILPFTDEEELL SSSSLTTSVTCVVAAEEDVKQAVADDLKSTISSPCDWFICDDDQTLTRFFVIQGSESL ASWQANLLFEPIEFEELNGAIVHRGIYEAAKGMYEQMLPEVQAHIKAHGNRAKFRFTG HSLGGSLSLLLNLMLLVRGEVPASSLLPVITFGAPFVLCGGDRLLEKLGLPKSHVQAI VMHRDIVPRAFSCNYPYHVAELLKAVNGNFRSHPCLNKQSMLYSPMGELLILQPDESF SPGHDLLPPGNGLYLLTTGGFESLDEEEQRLRAAQTVFLNTPHPLDILSDRSAYGSSG TIQRDHDMNSYLKAVRSVIRKEVSQIRKLKREHRRSLWWPILVARESGRSSGTAIGQI NGQDFAGMMKTGRKSLQRFSRLVASQHMPLILVLLFPVKLLFLEAFNVLSFR" gene <23076676..23080917 /locus_tag="BRARA_H03113" /db_xref="Phytozome:Brara.H03113" mRNA join(23076676..23076908,23077000..23077071, 23077403..23077760) /locus_tag="BRARA_H03113" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03113" mRNA join(<23076777..23076908,23077000..23077071, 23078208..23078275,23078472..23078595,23079799..23079876, 23080281..23080376,23080544..23080917) /locus_tag="BRARA_H03113" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03113" CDS join(23076777..23076908,23077000..23077071, 23078208..23078275,23078472..23078595,23079799..23079876, 23080281..23080376,23080544..23080624) /locus_tag="BRARA_H03113" /codon_start=1 /product="hypothetical protein" /protein_id="RID52523.1" /db_xref="Phytozome:Brara.H03113" /translation="MGDVILFIEDISDLKSNFSRCRICHEEEAESYFEAPCSCSGTLK FAHRDCIQRWCDEKGNTICEICLQEYKPGYTTTSKPSRFIEAAVTIRVRRQNEGRRNR RLVDRTESDFQECNSDAGRGASCCRYLALLFSVVLLIKHAFDVVYGANEYSFTIFTVF ALKAIGILLPMLVIFRTVAAIQSSLRHQFLESEEDALSSEEEEDGLEEEEQQQHLA" CDS join(23076777..23076908,23077000..23077071, 23077403..23077435) /locus_tag="BRARA_H03113" /codon_start=1 /product="hypothetical protein" /protein_id="RID52522.1" /db_xref="Phytozome:Brara.H03113" /translation="MGDVILFIEDISDLKSNFSRCRICHEEEAESYFEAPCSCSGTLK FAHRDCIQRWCDEKGNTICEICLQVIKLSGHNTR" gene complement(23081662..23083821) /locus_tag="BRARA_H03114" /db_xref="Phytozome:Brara.H03114" mRNA complement(join(23081662..23082082,23082225..23082313, 23082412..23082446,23082664..23082736,23082828..23082873, 23082961..23083053,23083160..23083268,23083350..23083490, 23083566..23083821)) /locus_tag="BRARA_H03114" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03114" CDS complement(join(23081888..23082082,23082225..23082313, 23082412..23082446,23082664..23082736,23082828..23082873, 23082961..23083053,23083160..23083268,23083350..23083490, 23083566..23083684)) /locus_tag="BRARA_H03114" /codon_start=1 /product="hypothetical protein" /protein_id="RID52524.1" /db_xref="Phytozome:Brara.H03114" /translation="MGHACVSTSSASSLRITAGFVSNGSSSFDSQKLSLPLPSRKTNK LVSERKNWKRSSSSSSSTPKAVYSDGNLWTPAPPSPQGVWSIRDDLQVPSSPYFPAYA QGPPPMVQERFQSIITQLFQYRIIRCGGAVDDDMANIIVAQLLYLDAVDPTKDIVMYV NSPGGSVTAGMAIFDTMRHIQPDVSTVCVGLAASMGAFLLSAGTKGKRYSLPNSRIMI HQPLGGAQGGQTDIDIQANEMLHHKANLNGYLAYQTGQSLEKINQDTDRDFFMSAKEA KDYGLIDAVIMNPLKALQPLAPA" gene complement(23084072..>23088870) /locus_tag="BRARA_H03115" /db_xref="Phytozome:Brara.H03115" mRNA complement(join(23084072..23084582,23084653..23084952, 23085038..23085301,23085372..23085638,23085727..23087383, 23087494..23087732,23087833..23088054,23088163..23088338, 23088431..23088485,23088574..>23088870)) /locus_tag="BRARA_H03115" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03115" CDS complement(join(23084229..23084582,23084653..23084952, 23085038..23085301,23085372..23085638,23085727..23087383, 23087494..23087732,23087833..23088054,23088163..23088338, 23088431..23088485,23088574..23088870)) /locus_tag="BRARA_H03115" /codon_start=1 /product="hypothetical protein" /protein_id="RID52525.1" /db_xref="Phytozome:Brara.H03115" /translation="MNRDGAGEGDSVSHEPSTSKTPREGGEEETKKDEKAKTVPFYKL FAFADSYDVLLMICGSVGAMGNGVGLPLMTLLFGDLIDSFGQNQNNKDIVDVISKVCV KFVYLGIGTLGAAFLQVACWMITGERQAARIRNMYLKTILRQDIGFFDVETNTGEVVG RMSGDTVLIQDAMGEKVGKFIQLIATFIGGFALAFAKGWLLTLVMLTSIPLLAMAGAA MAIIVTRASSQGQAAYAKAATVVEQTIGSIRTVASFTGEKEAINKYKKFITSAYKSSI QQGFSTGLGLGIMLFVLFSSYALAIWFGGKMILEKGYTGGAVINVLIIVVAGAMSLGQ TSPCVTAFSAGQSAAYKMFETIERKPLIDAYDLKGKILEDIRGDIELKDVHFSYPARP DEDIFDGFSLFIPSGATAALVGESGSGKSTVISLIERFYDPKAGQVLIDGVNLKEFQL KWIRSKIGLVSQEPVLFSSSIMENIAYGKENATIQEIKAATELANAAKFIDKLPQGLD TMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERVVQEALDRVMVN RTTVIVAHRLSTVRNADMIAVIHRGKMVEKGSHSELLRDPEGAYSQLIRLQEINKDAK TSDAASGSSFRNSSLKKSIEGSSSSVGNSSRHHSLNVVASGLERGGGSSRAGLEDKTG TEAQEPVPKVSLTRIAALNKPEIPVLLLGTVAAAINGAIFPLFGILISRVIEAFFKPA HELRRDSKFWALIFVALGVVSFIVSPTQMYLFAVAGGKLIRRIRSMCFEKAVHMEVGW FDEPQNSSGTLGARLSADAALIRALVGDALSLAVQNAASAASGLIIAFTACWELALII LVMLPLIGINGYIQVKFMKGFTADAKSKYEDASQVANDAVGSIRTVASFCAEEKVMQM YKKQCEGPIKDGIKQGFISGLGFGFSFFILFCVYAASFYAGARLVEAGRTTFNDVFQV FFALTMAAIGISQSSSFAPDSSKAKVAAASIFGIIDRKSKIDSSDETGTVLENVKGDI ELRHISFTYPARPDIQIFRDLCLTIRAGKTVALVGESGSGKSTVISLLQRFYDPDSGH ITLDGIELKKMQLKWLRQQMGLVGQEPVLFNDTIRANIAYGKGSEEAATESEIIAAAE LANAHKFISSIQQGYDTVVGERGIQLSGGQKQRVAIARAIVKEPKILLLDEATSALDA ESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIAEKGTHETLIKIDGG VYASLVQLHMTASN" gene complement(23091918..23094085) /locus_tag="BRARA_H03116" /db_xref="Phytozome:Brara.H03116" mRNA complement(23091918..23094085) /locus_tag="BRARA_H03116" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03116" CDS complement(23092510..23093988) /locus_tag="BRARA_H03116" /codon_start=1 /product="hypothetical protein" /protein_id="RID52526.1" /db_xref="Phytozome:Brara.H03116" /translation="MMIVKPQCASRVPPSLRRSTSFLQSVAIHSSDAKKLLPDDDAET VYRMINASNLQGELKESLSSSGIHLSKDLIDRVLKRVRFSHGNPLQALELYRHAGARR GFYHSSFSLDTMLYILGRSRKFDQLWDVLFEAKRKDPSLITPRTMQVVLGRVAKLCSL RQTVESFWKFKRLVPDFFDTSACFNALLRTLCQEKTMTDARNVYHSLKHQFQPDLHTF NILLSGWRSSEEAEAFFQEMTKEKGLKPDVVTYNSLIDVYCKDRAMDNAYKLIDQMRE EDVTPDVITYTTIIGGLGLIGQPDKAREVLKEMKEYGCYPDVPAYNAAIRNYCIARRL GDADKLVDEMVKKGLSPNATTYNLFFRVLSLSNDLGRSWELYVRMLGNGCLPNTQSCM FLIKMFKRHEKVDMALRLWEDMVGKGFGSYSLVSDVLLDLLCDLAKVDEAEKCLLEMV EKGHRPSNVSFKRIKLLMELANKHEEVSNLKQKMAVFSTEIQ" gene complement(23094171..23096224) /locus_tag="BRARA_H03117" /db_xref="Phytozome:Brara.H03117" mRNA complement(join(23094171..23094498,23094609..23094689, 23094780..23094884,23095021..23095093,23095193..23095245, 23095348..23095651,23095819..23095937,23096060..23096224)) /locus_tag="BRARA_H03117" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03117" CDS complement(join(23094340..23094498,23094609..23094689, 23094780..23094884,23095021..23095093,23095193..23095245, 23095348..23095651,23095819..23095889)) /locus_tag="BRARA_H03117" /codon_start=1 /product="hypothetical protein" /protein_id="RID52527.1" /db_xref="Phytozome:Brara.H03117" /translation="MSWSKACRGTRFSSYLENIHRTSRTVPLVPCSRYGAFNGNHHNL PSKRELWGSSSSFSHSKSMLLGGGAHRQYSTHSITETKSKKMLYYLTAVVFGMVGLTY AAVPLYRTFCQATGYGGTVQRKETVEEKIARHSESGTVTEREIVVQFNADVADGMQWK FTPTQREVRVKPGESALAFYTAENKSSAPITGVSTYNVTPMKAGVYFNKIQCFCFEEQ RLLPGEQIDMPVFFYIDPEFETDSRMDGINNLILSYTFFKVSEETTSTDSVDNKSSVP VQETN" gene complement(23096529..23099090) /locus_tag="BRARA_H03118" /db_xref="Phytozome:Brara.H03118" mRNA complement(join(23096529..23096900,23097079..23097424, 23098618..23099090)) /locus_tag="BRARA_H03118" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03118" CDS complement(join(23096655..23096900,23097079..23097424, 23098618..23099018)) /locus_tag="BRARA_H03118" /codon_start=1 /product="hypothetical protein" /protein_id="RID52528.1" /db_xref="Phytozome:Brara.H03118" /translation="MVLPSSTPSLTTGKKAKASPKCNFPVIDFSSLDRSKLSEEITKA CEVNGFFKVINHGVKPEIIWRFEREGEEFFNKPGTEKQRAGPASPFGYGCKNIGFNGD LGELEYLLLHANPTSVADKSETISHDDPFKFSSATNDYIRAIKDLACAIIDLTAENLW GQRSTKVSELIRDVRSDSILRLNHYPPAPYALRGFSQIGFGEHSDPQILTVLRSNDVD GLEVCSRDGLLWIPVPSDPTCFYVLVGDCLQALTNGRFTSVRHRVLANTAGKSRMSAM YFAAPPLDAIISPLPEMVSPENPRRYNTFTWGDYKTATYSLRLDVPRLEFFKTS" gene complement(23102981..23104282) /locus_tag="BRARA_H03119" /db_xref="Phytozome:Brara.H03119" mRNA complement(join(23102981..23103560,23103649..23103970, 23104104..23104282)) /locus_tag="BRARA_H03119" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03119" CDS complement(join(23103251..23103560,23103649..23103970, 23104104..23104245)) /locus_tag="BRARA_H03119" /codon_start=1 /product="hypothetical protein" /protein_id="RID52529.1" /db_xref="Phytozome:Brara.H03119" /translation="MTNIFCFSILANFLLAMLCTNMISSVSAGWMQAHATFYGGSDAS GTMGGACGYGDLYTDGYKTNTAALSTALFNDGKSCGGCYQMVCDATKVPQWCLKGKWI TITATNFCPPNYALPNDDGGWCNPPRPHFDMAQPAFETIAIYRAGIVPVLYRKVGCRR SGGMRFTMNGRDYFELVLISNVGGAGDLSQVWIKGSKSNKWETMSRNWGANWQSLTYL NGQSLSFKIQASNGKIKTAIDVVPSYWQFGQSFKSNINF" gene complement(23105708..23108116) /locus_tag="BRARA_H03120" /db_xref="Phytozome:Brara.H03120" mRNA complement(join(23105708..23105982,23106107..23106142, 23106231..23106344,23106437..23106655,23106754..23106879, 23106965..23107039,23107133..23107137,23107248..23107404, 23107529..23107609,23107692..23107745,23107929..23108116)) /locus_tag="BRARA_H03120" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03120" CDS complement(join(23105848..23105982,23106107..23106142, 23106231..23106344,23106437..23106655,23106754..23106879, 23106965..23107039,23107133..23107137,23107248..23107404, 23107529..23107609,23107692..23107745,23107929..23108000)) /locus_tag="BRARA_H03120" /codon_start=1 /product="hypothetical protein" /protein_id="RID52530.1" /db_xref="Phytozome:Brara.H03120" /translation="MAEHHHRIRVYLASAILLLAVINTGIAAENLSQQKLTSRILQNE IVKEVNENPNAGWIAAFNDRFANSTVAEFKRLLGVKPTPKNEFLGVPVVSHDISLKLP KEFDARTAWSQCSSIGRILDQGHCGSCWAFGAVESLSDRFCIKYNMNISLSVNDLLAC CGFLCGQGCNGGYPISAWRYFKHHGVVTEECDPYFDNTGCSHPGCEPAYPTPKCVRKC VSGNQLWRESKHYGVSAYKVRHGPQDIMAEVYKNGPVEVAFTVYEDFAHYKSGVYKHI TGANIGGHAVKLIGWGTSDDGEDYWLLANQWNRSWGDDGYFKIKRGTNECGIEHGVVA GLPSDRNVFNGINTSDDVLVSSF" gene complement(23110488..23112608) /locus_tag="BRARA_H03121" /db_xref="Phytozome:Brara.H03121" mRNA complement(join(23110488..23112032,23112200..23112275, 23112470..23112608)) /locus_tag="BRARA_H03121" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03121" mRNA complement(join(23110488..23112032,23112200..23112608)) /locus_tag="BRARA_H03121" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03121" CDS complement(23110691..23111755) /locus_tag="BRARA_H03121" /codon_start=1 /product="hypothetical protein" /protein_id="RID52531.1" /db_xref="Phytozome:Brara.H03121" /translation="MDLSLAPATNSDQEQDRDQELNSNIGASSSSGTGNDSNFPMMMT IPQPEKEHMFDKVVTPSDVGKLNRLVIPKQHAERYFPLDSSNNQNGTLLNFQDRNGKM WRFRYSYWNSSQSYVITKGWSRFVKEKKLDAGDIVSFQRGIGDESKRLKLYIDWRHRP NMMSVAQTHNQFGNFGFNFNFPTTSQCFNRFHPLPEYNTVPIHRSLNHQRSYYYNNHG QEFVGYVYGNLAGRCYYTGGSPFDQRSIVGSEPLVIESVPVVPGRLTPVILPPLPPPS MAGKRLRLFGVNMECGNNDNNQQEESWVLPRGEMGASSSSSALRLNLSSDHDDEDDNG DGDGDGGDQFAKKGKSSRFR" CDS complement(23110691..23111755) /locus_tag="BRARA_H03121" /codon_start=1 /product="hypothetical protein" /protein_id="RID52532.1" /db_xref="Phytozome:Brara.H03121" /translation="MDLSLAPATNSDQEQDRDQELNSNIGASSSSGTGNDSNFPMMMT IPQPEKEHMFDKVVTPSDVGKLNRLVIPKQHAERYFPLDSSNNQNGTLLNFQDRNGKM WRFRYSYWNSSQSYVITKGWSRFVKEKKLDAGDIVSFQRGIGDESKRLKLYIDWRHRP NMMSVAQTHNQFGNFGFNFNFPTTSQCFNRFHPLPEYNTVPIHRSLNHQRSYYYNNHG QEFVGYVYGNLAGRCYYTGGSPFDQRSIVGSEPLVIESVPVVPGRLTPVILPPLPPPS MAGKRLRLFGVNMECGNNDNNQQEESWVLPRGEMGASSSSSALRLNLSSDHDDEDDNG DGDGDGGDQFAKKGKSSRFR" gene complement(23116360..23119973) /locus_tag="BRARA_H03122" /db_xref="Phytozome:Brara.H03122" mRNA complement(join(23116360..23116901,23116975..23117844, 23117942..23118073,23118172..23118351,23118866..23118927, 23119014..23119125,23119227..23119384,23119753..23119973)) /locus_tag="BRARA_H03122" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03122" CDS complement(join(23116560..23116901,23116975..23117844, 23117942..23118073,23118172..23118351,23118866..23118927, 23119014..23119125,23119227..23119274)) /locus_tag="BRARA_H03122" /codon_start=1 /product="hypothetical protein" /protein_id="RID52533.1" /db_xref="Phytozome:Brara.H03122" /translation="MDTNTSDGPGEELLTKARKPYTITKQRERWTEDEHDRFLEALRL YGRAWQRIQEHIGTKTAVQIRSHAQKFFTKLEKEAEAKGIKALDIEIPPPRPKRKPNA PYPRKPGPSSSQAKQLVSSSECNQQAFLDLEKKISTGKENQEENCSGVNKYPLPNKVV TPGKRKTFMESSDPSLRKASADNETSKASNVDNNVVHEKNKDRDDDDDDDDDGGLHSA RHHPATTTSPSAATTTTSHQAFPAFPFQHDYRSFLHMSSTFSNLIMSTLLQNPAAHAA ATFAASAWPFTTNAGDSSTQMSSSPPTIAAIVAATVAAATAWWASHGLLPTPVPLPAV PVPTPAAMDNVGNDHLEKQSTAFQEQNMASKSPDSSSDDSDETGITKIKADGDKEEVV AALQDSNVSHKKNPVDRSSCGSNTPSGSDVETDALEKEKEADANQPSVIELGNRRSKI RDNNNNQTTDSWKEVSQGGRIAFQALFARERLPQSFSPPQVEENVNGIQISDTSMPLA HNNLNKIQDSCKADQESAVDEEPGKSLKMRETGFKPYKRCSMEAKESQVGNANNHNDE KVCCKRLRLEAEAST" gene complement(23120518..23121652) /locus_tag="BRARA_H03123" /db_xref="Phytozome:Brara.H03123" mRNA complement(join(23120518..23120828,23120896..23121117, 23121279..23121358,23121562..23121652)) /locus_tag="BRARA_H03123" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03123" CDS complement(join(23120775..23120828,23120896..23121117, 23121279..23121350)) /locus_tag="BRARA_H03123" /codon_start=1 /product="hypothetical protein" /protein_id="RID52534.1" /db_xref="Phytozome:Brara.H03123" /translation="MSTMGELACSYAVMILEDEGIAITADKIATLIKSAGVSCESYWP MLFAKMAEKRNVTDLIMNVGAGGGGGAPVSAAAPAAGGGGGGAAAAPAAEEKKKEEVA EESDGDLGFGLFD" gene 23126687..23127570 /locus_tag="BRARA_H03124" /db_xref="Phytozome:Brara.H03124" mRNA join(23126687..23126946,23127228..23127570) /locus_tag="BRARA_H03124" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03124" CDS join(23126741..23126946,23127228..23127477) /locus_tag="BRARA_H03124" /codon_start=1 /product="hypothetical protein" /protein_id="RID52535.1" /db_xref="Phytozome:Brara.H03124" /translation="MASLLDKAKDFVADKLAGVPKPEGSVTDVDLKDVNRDSVEYLAK VSVTNPYGHAIPICEINFTIHSGGREIGKGKIPDPGSLKAKDMTVLDVPIVVPYSILF NLARDVGADWDIDYLLEIGLTIDLPVVGDFTIPVTSKGEIKLPTFKDYF" assembly_gap 23131226..23141225 /estimated_length=unknown /gap_type="between scaffolds" gene 23141226..23142231 /locus_tag="BRARA_H03125" /db_xref="Phytozome:Brara.H03125" mRNA join(23141226..23141304,23141386..23141452, 23141543..23141599,23141691..23141729,23141879..23142231) /locus_tag="BRARA_H03125" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03125" CDS join(23141237..23141304,23141386..23141452, 23141543..23141599,23141691..23141729,23141879..23141938) /locus_tag="BRARA_H03125" /codon_start=1 /product="hypothetical protein" /protein_id="RID52536.1" /db_xref="Phytozome:Brara.H03125" /translation="MKGLSNGVDHEKNGNKRALPEESFFTWFSDAQHKEEDVEVEIHD EVADIIKEDLWANPLTYFNNEADEEDFDDEDDEGEEGDSDEDDDAEGEDGEE" gene <23143417..>23144677 /locus_tag="BRARA_H03126" /db_xref="Phytozome:Brara.H03126" mRNA join(<23143417..23143505,23143597..23143730, 23143818..23144140,23144481..23144566,23144605..>23144677) /locus_tag="BRARA_H03126" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03126" CDS join(23143417..23143505,23143597..23143730, 23143818..23144140,23144481..23144566,23144605..23144677) /locus_tag="BRARA_H03126" /codon_start=1 /product="hypothetical protein" /protein_id="RID52537.1" /db_xref="Phytozome:Brara.H03126" /translation="MNSFSNLECDKVFGKEDKPFSFLEYFSYQSEFTNNFFGLEDEII SSGNYDYYLPSASNFLALPDLEPISIVSHEDLLNDYGAVSWTEKESMFDEHQREDFNL VKKTETVKKRVREECSSSSYVAKPLSKETISLYYDMPIAQAAKELNIGLTLLKKKCRD LGIQRWPHRKIMSLDNLIENVKEKLEKGEGNADEQRKKLEKLKKEKKRMKELRQACYK ANHKRKRRLVMSTSTT" gene <23145729..>23146157 /locus_tag="BRARA_H03127" /db_xref="Phytozome:Brara.H03127" mRNA <23145729..>23146157 /locus_tag="BRARA_H03127" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03127" CDS 23145729..23146157 /locus_tag="BRARA_H03127" /codon_start=1 /product="hypothetical protein" /protein_id="RID52538.1" /db_xref="Phytozome:Brara.H03127" /translation="MTAKFSHEIDNSPEPEDAGTIRVTATIFGEDKNLTFTTLSLAKD FIDDENDECKSKEDLNYFLMEAGITDDLSCDAIMKLILYVDEVTCPTSSEYSPGCALK VRLDLVPNYLDDECIIKWVETNPVCPLCLLVGLPCECEDQ" assembly_gap 23146750..23156749 /estimated_length=unknown /gap_type="between scaffolds" gene 23156750..23159744 /locus_tag="BRARA_H03128" /db_xref="Phytozome:Brara.H03128" mRNA join(23156750..23156849,23157077..23157155, 23157256..23157318,23157401..23157531,23157612..23157717, 23157904..23158092,23158299..23158526,23158627..23158782, 23158884..23159045,23159214..23159330,23159420..23159744) /locus_tag="BRARA_H03128" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03128" CDS join(23156794..23156849,23157077..23157155, 23157256..23157318,23157401..23157531,23157612..23157717, 23157904..23158092,23158299..23158526,23158627..23158782, 23158884..23159045,23159214..23159330,23159420..23159596) /locus_tag="BRARA_H03128" /codon_start=1 /product="hypothetical protein" /protein_id="RID52539.1" /db_xref="Phytozome:Brara.H03128" /translation="MSDSKDVLDEATTMKLLESYGRVEELVYFANLKEQYEIVIHHYI QQGEAKKALEVLQKSSVSEELQYKFAPELIMLDAYETVEAWMASKNLNPRRLITAMMR YSSEPHAKNETHEVIKYLEFCVHQLHNEDPGIHNLLLSLYAKQEDDSALLRFLQCKFG KGRENGPEFFYDPKYALRLCLKEKRSRACVHIYSMMSMHEEAVALALQIDPELAMAEA DKVEDDEDLRKKLWLMIAKHVVKQEKGAKRENIRKAIAFLKETDGLLKIEDILPFFPD FALIDDFKEAICSSLEDYNKQIEQLKEEMNDATRGADNIRNDISALTQRYAVIDREEE CGVCKRKILTMTGDFRMAQGYSSSGPLAPFYVFPCGHSFHAQCLITHVTSCAHEEQAE HILDLQKQLTLLGSEPPRNINGNRSDEPITSTTTADKLRSELDDAIASECPFCGELMI NEISLPFIKPEETQHSASWDLRPQTNLANQRTISLPV" gene complement(23160833..23162529) /locus_tag="BRARA_H03129" /db_xref="Phytozome:Brara.H03129" mRNA complement(join(23160833..23161582,23162133..23162529)) /locus_tag="BRARA_H03129" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03129" CDS complement(join(23161169..23161582,23162133..23162525)) /locus_tag="BRARA_H03129" /codon_start=1 /product="hypothetical protein" /protein_id="RID52540.1" /db_xref="Phytozome:Brara.H03129" /translation="MTHMLSCAAYSSAVINIAGVVPRQHILSRRQFLKILSLSAIFCL SVVCGNTSLRYIPVSFNQAIGATTPFFTAVFSFLITCKTESTEVYLALLPVVSGIVLA SNSEPSFHLFGFLICVASTAGRALKSVVQGIILTSESEKLHSMNLLLYMAPMAACILL PFTLYIEGNVLRILIEKARTDPLIIFLLAGNATVAYLVNLTNFLVTKHTSALTLQVLG NGKAAVAAGVSVLIFRNPVTVMGVAGFGVTIMGVVLYSEARKRSKLLNQK" assembly_gap 23162530..23172529 /estimated_length=unknown /gap_type="between scaffolds" assembly_gap 23173738..23183737 /estimated_length=unknown /gap_type="between scaffolds" gene complement(<23183740..>23189081) /locus_tag="BRARA_H03130" /db_xref="Phytozome:Brara.H03130" mRNA complement(join(<23183740..23183895,23183969..23184223, 23184297..23184344,23184437..23184548,23184691..23184830, 23184915..23185136,23185214..23185312,23185534..23185653, 23185742..23185947,23186068..23186245,23186376..23186436, 23186531..23186640,23186797..23186928,23187045..23187215, 23187303..23187429,23187507..23187544,23187623..23187724, 23187808..23187865,23187941..23188042,23188122..23188268, 23188345..23188481,23188572..23188721,23188788..23188947, 23189023..>23189081)) /locus_tag="BRARA_H03130" /product="hypothetical protein" /db_xref="Phytozome:Brara.H03130" CDS complement(join(<23183740..23183895,23183969..23184223, 23184297..23184344,23184437..23184548,23184691..23184830, 23184915..23185136,23185214..23185312,23185534..23185653, 23185742..23185947,23186068..23186245,23186376..23186436, 23186531..23186640,23186797..23186928,23187045..23187215, 23187303..23187429,23187507..23187544,23187623..23187724, 23187808..23187865,23187941..23188042,23188122..23188268, 23188345..23188481,23188572..23188721,23188788..23188947, 23189023..>23189081)) /locus_tag="BRARA_H03130" /codon_start=1 /product="hypothetical protein" /protein_id="RID52541.1" /db_xref="Phytozome:Brara.H03130" /translation="SNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKQGRISGAAVRTY LLERSRVCQISDPERNYHCFYLLCAAPQEEIEKYKLGHPKTFHYLNQSKCYELVGISD AHDYLATRRAMDIVGISEKEQEAIFRVVAAILHIGNIEFTKGKEVDSSVPKDDKAKFH LKTAAELLMCDLKALEDALCKRVMVTPEEVIKRSLDPESAVTSRDGLAKTVYSRLFDW LVNKINNSIGQDANSKCLIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQHVFKM EQEEYTKEAIDWSYIEFVDNKDVLDLIEKKPGGIIALLDEACMFPKSTHETFANKLYQ TFKAHKRFIKPKLSRTDFTVAHYAGEVLYQSDLFLDKNKDYVIPEHQDLLGASKCPFV VGLFPPLPEETSKSSKFSSIGSRFKLQLQQLMETLNSTEPHYIRCVKPNNLLKPAVFE NVNIMQQLRCGGVLEAIRISCAGYPTRKPFFEFVNRFGLLCPSALEGSYDEKVVCKKI LDSMGLKGYQIGKTKIFLRAGQMAELDARRTEVLSGAAKKIQRRTRTHQAQKRFTVLR KATISLQALCRGRLSCKVYENLRREAAAVKIQKNGRRYYSRKSYKKLHVSALALQTGL RAMAARKEFRFRKQTKAATIVQAQWRCHRATTYYKKLKNGVIISQTRWRGRLARRELR KLKMASRETGALKEAKDMLEKKVEELTYRVQLEKRLRADLEEAKTQEQAKLQSSLEEM RKKVEEANALLVKEREAAKKAAEEAPPVIQETQVLVEDTKKIELMTEELESVKATLEN EKQRADEAVKKFEEAQESLEDRIKKLEESEKKGQQLQESLTRMEEKCTNLESENKVLR QQAVSMAPNKFLSGRSRSILQRGSESGHLAVDARSSLDLHSHSINHRDSSEVDDKPQK SLNEKQQENHELLIRCIVQPLGFKGNRPITACIIYKCLLQWRSFEVERTSVFDRIIQT IGHAIETQDNNNTLAYWLSNASTLLLLLQRTLKASGAAGMAPQRRRSSSATLFGRMTQ " CONTIG join(KZ862794.1:1..1444,gap(unk10000),KZ862795.1:1..397964, gap(unk10000),KZ862796.1:1..743,gap(unk10000),KZ862797.1:1..238443, gap(unk10000),KZ862798.1:1..23465,gap(unk10000), KZ862799.1:1..11672,gap(unk10000),KZ862800.1:1..82135, gap(unk10000),KZ862801.1:1..100492,gap(unk10000), KZ862802.1:1..428664,gap(unk10000),KZ862803.1:1..2021, gap(unk10000),KZ862804.1:1..102930,gap(unk10000), KZ862805.1:1..359222,gap(unk10000),KZ862806.1:1..18530, gap(unk10000),KZ862807.1:1..89876,gap(unk10000), KZ862808.1:1..184657,gap(unk10000),KZ862809.1:1..204943, gap(unk10000),KZ862810.1:1..138224,gap(unk10000), KZ862811.1:1..221488,gap(unk10000),KZ862812.1:1..884,gap(unk10000), KZ862813.1:1..703553,gap(unk10000),KZ862814.1:1..121749, gap(unk10000),KZ862815.1:1..8084,gap(unk10000),KZ862816.1:1..8441, gap(unk10000),KZ862817.1:1..192916,gap(unk10000),KZ862818.1:1..911, gap(unk10000),KZ862819.1:1..192872,gap(unk10000), KZ862820.1:1..2152,gap(unk10000),KZ862821.1:1..2848,gap(unk10000), KZ862822.1:1..416709,gap(unk10000),KZ862823.1:1..699,gap(unk10000), KZ862824.1:1..189706,gap(unk10000),KZ862825.1:1..2102, gap(unk10000),KZ862826.1:1..2045,gap(unk10000), KZ862827.1:1..204627,gap(unk10000),KZ862828.1:1..317377, gap(unk10000),KZ862829.1:1..479907,gap(unk10000), KZ862830.1:1..1239,gap(unk10000),KZ862831.1:1..60967,gap(unk10000), KZ862832.1:1..641,gap(unk10000),KZ862833.1:1..1184,gap(unk10000), KZ862834.1:1..120258,gap(unk10000),KZ862835.1:1..25677, gap(unk10000),KZ862836.1:1..445685,gap(unk10000), KZ862837.1:1..53620,gap(unk10000),KZ862838.1:1..49518, gap(unk10000),KZ862839.1:1..25467,gap(unk10000), KZ862840.1:1..299361,gap(unk10000),KZ862841.1:1..355807, gap(unk10000),KZ862842.1:1..210912,gap(unk10000), KZ862843.1:1..45656,gap(unk10000),KZ862844.1:1..160591, gap(unk10000),KZ862845.1:1..53035,gap(unk10000), KZ862846.1:1..118219,gap(unk10000),KZ862847.1:1..158061, gap(unk10000),KZ862848.1:1..120701,gap(unk10000), KZ862849.1:1..123807,gap(unk10000),KZ862850.1:1..223245, gap(unk10000),KZ862851.1:1..2389,gap(unk10000), KZ862852.1:1..608312,gap(unk10000),KZ862853.1:1..26285, gap(unk10000),KZ862854.1:1..481,gap(unk10000),KZ862855.1:1..7424, gap(unk10000),KZ862856.1:1..3993,gap(unk10000), KZ862857.1:1..1610326,gap(unk10000),KZ862858.1:1..121048, gap(unk10000),KZ862859.1:1..94528,gap(unk10000), KZ862860.1:1..109639,gap(unk10000),KZ862861.1:1..11811, gap(unk10000),KZ862862.1:1..29638,gap(unk10000),KZ862863.1:1..2773, gap(unk10000),KZ862864.1:1..433564,gap(unk10000), KZ862865.1:1..5744,gap(unk10000),KZ862866.1:1..245383, gap(unk10000),KZ862867.1:1..1734,gap(unk10000), KZ862868.1:1..394597,gap(unk10000),KZ862869.1:1..629,gap(unk10000), KZ862870.1:1..529081,gap(unk10000),KZ862871.1:1..36925, gap(unk10000),KZ862872.1:1..46157,gap(unk10000), KZ862873.1:1..230718,gap(unk10000),KZ862874.1:1..3545, gap(unk10000),KZ862875.1:1..207675,gap(unk10000), KZ862876.1:1..453087,gap(unk10000),KZ862877.1:1..47203, gap(unk10000),KZ862878.1:1..29303,gap(unk10000), KZ862879.1:1..1114250,gap(unk10000),KZ862880.1:1..2153, gap(unk10000),KZ862881.1:1..208670,gap(unk10000), KZ862882.1:1..1139523,gap(unk10000),KZ862883.1:1..2208538, gap(unk10000),KZ862884.1:1..4016,gap(unk10000),KZ862885.1:1..1953, gap(unk10000),KZ862886.1:1..4129984,gap(unk10000), KZ862887.1:1..5524,gap(unk10000),KZ862888.1:1..5780,gap(unk10000), KZ862889.1:1..1208,gap(unk10000),KZ862890.1:1..5352) //